CA1273274A - Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique - Google Patents

Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique

Info

Publication number
CA1273274A
CA1273274A CA000508583A CA508583A CA1273274A CA 1273274 A CA1273274 A CA 1273274A CA 000508583 A CA000508583 A CA 000508583A CA 508583 A CA508583 A CA 508583A CA 1273274 A CA1273274 A CA 1273274A
Authority
CA
Canada
Prior art keywords
sio2
layer
si3n4
protuberances
polishing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CA000508583A
Other languages
French (fr)
Inventor
Klaus D. Beyer
Karen A. Nummy
Eric Mendel
James S. Makris
Jacob Riseman
Nivo Rovedo
Seiki Ogura
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Application granted granted Critical
Publication of CA1273274A publication Critical patent/CA1273274A/en
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/763Polycrystalline semiconductor regions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/74Making of localized buried regions, e.g. buried collector layers, internal connections substrate contacts
    • H01L21/743Making of internal connections, substrate contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76202Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using a local oxidation of silicon, e.g. LOCOS, SWAMI, SILO

Abstract

ABSTRACT

A chemical-mechanical (chem-mech) method for removing SiO2 protuberances at the surface of a silicon chip, such protuberances including "bird heads". A thin etch stop layer of Si3N4 is deposited onto the wafer surface, which is then chem-mech polished with a SiO2 water based slurry. The Si3N4 acts as a polishing or etch stop barrier layer only on the planar portions of the wafer surface. The portions of the Si3N4 layer located on the top and at the sidewalls of the "bird' heads" and the underlying SiO2 protuberances are removed to provide a substan-tially planar integrated structure.

Description

1~73~74 Patent METHOD FOR REMOVING PROTUBERANCES AT THE SURFACE
OF A SEMICONDUCTOR WAFER USING A CHEM-MECH
POLISHING TECHNIQUE
.
Background of the Invention : -' . . .. ..
1) Technical Field The ~invention relates to methods for removing protuberances at the surface of a semiconductor wafer and more particularly to a method for removing the silicon dioxide protuberances (such as the "bird' heads") according to a chem-mech polishing technique.
The "bird's heads" are produced by conventional Recessed Oxide Isolation (ROI) processes.
2) Description of the Prior Art In the monolithic integrated circuit technology, it is usually necessary to isolate various active and passive devices from one another in the integrated circuit structure. Among different possibilities, complete dielectric isolation is widely used because of the significant advantages this technique offers, including the reduction of parasitic capacitances, improved performances, also, the ability of allowing the abutting of certain regions (such as the base or the emitter) against the isolation sidewalls, thereby finally resulting both in greater integration densi-ties and in self-alignment capabilities.
One form of dielectric isolation involves the formation of recesses or grooves at isolation regions in the silicon substrate covered with a thermally grown SiO2 and Si3N4 layer. During the formation of the grooves, the remainder of the silicon substrate is coated by a protective photoresist film. During CF4 RIE etching the Si3N4, SiO2 and the silicon are etched at isolation sites which are not covered by '~ e 1;~73'~74 photoresist. Following the formation of the grooves by conventional etching, the silicon substrate is submit-ted to a conventional thermal oxidation step, whereby the exposed silicon in the grooves is converted into silicon dioxide and fills up the grooves as well as oxidizing further into the silicon, to form the isolation regions.
Unfortunately, the use of this isolation tech-nique, so-called ROI, results in two major drawbacks known in the literature, as the "bird's beak" and the "bird's head" problems.
"Bird's heads" and "bird's beaks" are respective-ly non-planar silicon dioxide at the top periphery of the groove and the lateral oxidation underneath the silicon nitride layer. In particular the "bird's head"
is a ridge-shaped protuberance which delineates the periphery of the recessed oxide isolation regions formed by the ROI process. The process leaves the silicon substrate fully coated with either a thick (at the ROI locations) or a thin (at other locations) SiO2 layer. The ~bird's head" results from lateral, vertical and stress enhanced oxygen diffusion during the oxidation step. More details concerning the stress phenomenon and the different troubles caused by the ROI process, can be found in the published European patent application No. 82106651.1 ~Publication Number 071203) to Kemlage, and assigned to the same assignee as the present invention.
First of all, where associated with the "bird's head", the "bird's beak" produces difficulties when it is necessary to subsequently achieve good abutted diffusion regions against the sidewalls of the dielec-tric isola~tion region.
Secondly, the growth of the "bird's head", of an approximate height of 0.5um, produces a bumpy or irregular silicon surface topography during the ~OI
process. As a first consequence, the non-planarity of .

127327~

the silicon surface can lead to the formation of polysilicon xails during the timed Reactive Ion Etching (~IE) of doped polysilicon used to provide electrical contacting to the base and/or emitter regions. These polysilicon rails contribute to elec-trical shorts between adjacent devices. This phenome-non càn be understood from the teachings of an article entitled "Preventing formation of polysilicon rails"
by C.G. Jambotkar, and published in the IBM*Technical Disclosure Bulletin. Vol. 25. No. 12, May 1983, pp 6607-6608. Another consequence of the non planarity of the substrate surface, is the potential breakage of metal lands to be subsequently formed. Finally, it is widely recognized that a planar surface is beneficial to multi-level metal personalization schemes, by increasing significantly both wiring densities and reliability of the final devices.
Recently, the isolation has been considerably improved by forming a trench in the center of the ROI
region. The trench may be filled with doped poly-silicon to provide an electrical contact with the substrate where desired, or in other ~pplications, the trenches are filled with a dielectric insulating material, such as intrinsic polysilicon or silicon dioxide to provide additional isolation between devices.
There have been many attempts so far to solve the acute problems mentioned above resulting from the formation of these SiO2 ridge-shaped protuberances.
According to several references, different manufacturing processes have been suggested to define new processing steps in order to avoid such a forma-tion. Illustrating this approach, the above mentioned European patent application describes a process where 35 the underlying SiO2 layer is replaced by a silicon oxynitride (SiOXNy) layer. Also in U.S. Patent No.
3,886,000 to R. L. Bratter, the underlying SiO2 layer * Registered trade mark .-lX73;~
FI9-8~-030 -4-is eliminated and a silicon oxynitride layer is formed directly on the silicon substrate. All these tech-niques have been wanting in demonstrating effective-ness and controllability. In addition, they employ materials such as SiO N which are not widely used in thïs industry.
Unlike this approach, other proposals do not remove the problem but find a partial solution of the problem after it occurs. For example, U.S Patents
4,025,411 to Y. Hom-Ma and 4,039,359 to N. Nakamoto describe the problems caused by the "bird's heads" and suggest to solve it by an etching of the ridge, in order to planarize the structure.
In the former reference, the protuberances or "bird' heads" projecting above the surface of the substrate, which directly result from the ROI process, are removed by: first planarizing the structure with a planarizing medium such as a photoresist (having approximately the same etching rate as SiO2), then etching back both SiO2 and the photoresist with the same etching rate, for instance in a RF sputtering equipment. The result is a silicon wafer with a flat surface. In the latter reference, an alcohol solution of a doping impuritv such as boron, is applied to the substrate surface having "bird's heads". By a thermal treatment, the solution is converted into a boron doped SiO2 film, which has approximately the same etching characteristics that the underlying SiO2. The substrate is immersed in an appropriate etchant, which etches both oxides at the same rate. According to this process, the "bird's heads" are smoothed, however the process claims only a reduction of the step height te.g. from 0.6 to 0.2 microns), but not a complete removal. In addition, with this process, P
doped regions are for~ed in the silicon locations covered with said boron doped SiO2 film and therefore may constitute an additional limitation to this FI9-~4-030 _5-particular process.

Objects of the Invention It is therefore a primary object of the present invention to provide a method for totally removing asperities, typically SiO2 protuberances, from the main or active surface of a silicon substrate, by a polishing technique.
It is another object of the present invention to provide a method for totally removing asperities, typically SiO2 protuberances, from the main or active surface of a silicon surface, based on a chemical-mechanical (chem-mech) polishing technique, therefore eliminating the need of employing photoresists as a planarizing medium, which are known to be a source of contamination.
It is another object of the present invention to provide a method for totally removing asperities, typically SiO2 protuberances, from the main or active surface of a silicon substrate, by a chem-mech polish-ing technique, fully compatible with any known semi-- conductor manufacturing process.
It is another object of the present invention to provide a method for totally removinq asperities, typically SiO2 protuberances, as those resulting from a CVD SiO2 fill near the edge of the isolation region, to subsequently achieve good abutted diffusion regions against the sidewalls of the ROI regions with limited mask alignment problems.
It is still another object of the present inven-tion to provide a method for totally removing asperi-ties, typically SiO2 protuberanccs, as those resulting from the` ROI process and usually called "bird's heads", to avoid the formation of undesired poly-silicon rails when polysilicon deposition is performed for elec~rical contact purposes.
It is sti71 another ob~ect of the present 1~73~74 FI9-8~-030 -6-invention to provide a method for totally removing asperities, typically SiO2 protuberances, as those resulting from the ROI process and usually called "bird' heads", to leave a perfectly smooth and planar substrate surface, appropriate for further processing with improved wiring densities and reliability.
It is still another object of the present inven-tion to provide a method for simultaneously removing the "bird' heads" and polysilicon in the polysilicon filled trench substrate contact technology, in order to leave a perfectly smooth and planar substrate surface, where the surface of the remaining poly-silicon and of the ROI region are substantially coplanar.

SummarY of the Invention The present invention is a method for removing the asperities, typically the ridge-shaped SiO2 protuberances (so-called "bird's heads") resulting from the ROI process at the surface of the silicon substrate. The invention is accomplished by applying chemical-mechanical polishing to said surface, which has been previously coated with a blanket polishing stop barrier layer, typically of CVD (Chemical Vapor Deposition) Si3N4. The portions of the Si3N4 layer, located on the curved top of the "bird's heads" and the underlying SiO2 protuberances, are removed because they are submitted to a considerably higher chem-mech polishing rate than the portions covering the planar substrate surface. This CVD Si3N4 layer unexpectedly acts therefore as a polishing or etch stop barrier layer only on the planar portions of the substrate surface. The difference between these polishing rates may be varied simply by controllably applying differ-ent pressure magnitudes on the polishing pad. A
successful planarization of the protuberances by a chem-mech polishing process depends on the polishing ~73i~7~
F I 9 - 8 ~ - O 3 0 _ 7 _ solution chemistry. In that respect, SiO2 water based slurries have demonstrated their efficiency. Polishing rate ratios between SiO2 and Si3N4 preferably should be between a lower limit of four to one and a higher limit of forty to one. According to the present invention, it has been unexpectedly demonstrated that only the silicon nitride on the "bird's heads" and the silicon dioxide thereunder, are removed during the polishing process, while the remaining portions of the silicon nitride located on the planar surface of the wafer, do effectively act as an etch stop layer.
The foregoing and other objects, features and advantages of the invention will be apparent from the following more par;ticular description of a preferred embodiment of the invention as illustrated in the accompanying drawings.

Brief Descri~tion of the Drawings Figs. 1 through 8 illustrate one method embodi-ment of removing protuberances by using the chem-mech polishing technique of the present invention, when the polysilicon filled trench substrate contact technology is combined with the standard ROI process, and the substantially planar structure resulting therefrom.
Figs. 9 through 11 illustrate another method embodiment of removing protuberances by using the chem-mech polishing technique of the present inven-tion, when the ROI process includes the step of forming the ROI regions by silicon dioxide deposition instead of by thermal oxidation.

Description of the Preferred Embodiments The preferred embodiments which will be described in the present description, relate to the manufactur-ing of standard inte~rated circuits. In the first preferred embodiment detailed thereafter, the SiO2 protuberances will be the "bird' heads" as resulting 1;~7;~;~74 from the standard ROI process, where grooves are first formed in the silicon substrates, then the exposed silicon is thermally oxidized to form the ROI regions.
In said first preferred embodiment, the ROI process is combined with the polysilicon filled trench substrate contact technology according to which a trench is formed in the ROI regions, then filled with doped polysilicon to achieve low resistivity electrical contacts with the silicon substrate.
Referring now, more particularly to Fig. 1, there is shown a schematic cross sectional view of a semiconductor structure 10, typically a silicon substrate 11 of a predetermined type of conductivity and provided with a Recessed Oxide Isolation (ROI) region 12. It is to be understood, that usually substrate 11 is in fact comprised of a P- silicon substrate having a N+ blanket subcollector region with a N- epitaxial layer grown on it. The structure, as a part of a silicon wafer, has been produced with known processing steps, such as described in the above mentioned European patent application, i.e. the steps of: providing a silicon substrate; depositing a silicon oxide layer and then a silicon nitride layer to form a composite layer on said substrate; removing ~5 determined portions of said composite layer to form a patterned oxidation mask stack or ROI mask which exposes selected areas of the substrate; oxidizing said exposed areas to create Recessed Oxide Isolation regions to isolate one device from the another;
finally removing said oxidation mask to expose the whole substrate. The structure shown in Fig. 1, directly results from this process and exhibits both "bird's head" and "bird's beak" characteristics respectively referenced 12a and 12b. In particular, the "bird's head" referenced by numeral 12a, can be understood as a ridge-shaped SiO2 protuberance, which delineates the periphery of the ROI region at the 1~73f~74 FI9-8~-030 -9-surface of the substrate. The substrate 11 has there-fore an irregular SiO2 surface 13 with SiO2 protuber-ances correspOnding to said previously mentioned "bird's head" 12a. The thickness of the ROI region 12 is about 1000 nm.
- As said above, according to a preferred embodi-mentj t~e invention will be described by reference to a process sequence leading to the simultaneous removal of the "bird's head" a~nd of the polysilicon trench fill in the particular polysilicon substrate contact technology.
A new Si3N4 layer 14 is blanket deposited by LPCVD (Low Pressure Chemical Vapor Deposition) as shown in Fig.2, and conforms with the topography of the substrate surface. The Si3N4 layer has to be sufficiently thick (about 50 to 300nm), to be subse-quently used as a poli~hing stop barrier during the chem-mech polishing process. Then, the structure of Fig. 2 is placed in a Low Pressure Chemical Vapor Deposition (LPCVD) equipment to produce a SiO2 layer 15 with preferably a thickness of about 500 nm, which acts as a trench etch masking oxide. As shown in Fig.
4, a trench 16 is now formed according to standard Reactive Ion Etching (RIE) techniques in a CF4 atmo-sphere to etch the dielectrics. The silicon etching(depth of about 4.5 ~m) is performed in a SF6/C12 atmosphere.
A composite dielectric layer is now formed, (see Fig. 5) consisting of a thermal SiO2 layer 17 (about 50 nm), a CVD Si3N4 layer 18 (50 to 100 nm), and a CVD
SiO2 layer 19 (200 to 500 nm). The total composite layer, which acts to passivate the trench, should be sufficiently thick to prevent capacitive coupling between doped silicon trench fill and adjacent de-vices, as well known. However, the Si3N4 layer 18 i should not be too thick, excessive thickness would result in non deslred disloc~tions in the silicon , .

1~7;3~74 substrate, during subsequent hot processing steps. The bottom of the trench is opened by Reactive Ion Etching (RIE) to expose the substrate (see Fig. 6). The trench is filled with boron-doped polysilicon according to conventional techniques, e.g. elther by depositing polysilicon in a RF equipment or by epitaxial silicon deposition, which selectively deposits on silicon but not on the composite dielectric layer. Appropriate techniques are described in U.S. Patents 4,233,091 to Y. Kawabe and 4,473,598 to L. M. Ephrath, the latter being assigned to the same assignee as of the present invention. The following two step process is recom-mended : first a 200 nm thick LPCVD intrinsic poly-silicon is deposited to act as a nucleation layer, at a low temperature (650C), followed by deposition at high temperature (1000C) of a 2500 nm of boron-doped polysilicon. The resulting structure with an overlying layer 20 of polysilicon, is shown in Fig. 7. As clear from Fig. 7 the surface of the structure is not flat but rather irregular because both the composite layer and the polysilicon layer, have been deposited confor-mally. The surface is bumpy at the location of the "bird's head" and one may notice a typical cusp 21 at the location of the center of the trench. The struc-ture of Fig. 7 will now be planarized according to the chem-mech polishing method of the present invention.
It is to be noted that the method is an in-line process and is fully compatible with any known semi-conductor manufacturing process.
A 24 inch diameter two side free polisher or a 18 inch diameter Strasburgh single side polisher in conjunction with a Rodel 210 finishing pad, can be used as the polishing equipment. The polishing slurry consists of 8 liters of fifty weight percent colloidal silicon ~Monsanto Syton HT 50 or Nalco Nalcoag 2349), l50gr of the sodium salt of dichloroisocyanuric acid, 450gr of Na2 CO3 H2O and 32 liters of de-ionized ~.~73~74 (D.I.) water.
The following set of specifications summarizes the above polishing conditions:
1) Poiishing media colloidal SiO2 dispersed in water.
2) Slurry pH : alkaline, pH 9.5 -12.5 3) Slurry flow rate : 400-500 cc/min on two side free polisher 100-200 - cc/min on Strasburgh single side polisher 4) Polish pressure : 2.5 psi.
5) System temp. : 40-50 C
6) Polishing pads : Top pad - Perforated Suba 4 on two side free polisher Bottom pad - Rodel 210 I
2 on both types of polishers (Both pads from Rodel Inc.) It has been found experimentally that water based silicon dioxide slurries polish the silicon layer without following the contour of the cusp, which is a part of the 3 ~m thick polysilicon layer. The depth of the cusp may be as great as 1.5 ~m. Removing 1.5 to 2 microns of the polysilicon layer by polish-ing, completely removes the cusp. The selection of thepolishing pads is important for uniform removal.
During the chem-mech polishing, a total number of twelve wafers were polished simultaneously. The polishing rates of Si3N4 and polysilicon were deter-mined. The thickness of one test wafer covered withSi3N4 or thermally grown SiO2 or polysilicon was measured initiallv. The eleven load silicor. wafers were chosen in such a way that their wafer thickne55es were approximately the same and either higher or lower than of the thickness of the test wafer. The impact of the wafer thickness on the Si3N~ polishing rate is shown in Table I. If the Si3N4 test wafer is thinner .

~73'~74 ~I9-84-030 -12-than the load wafers, the Si3N4 polishing rate is between 12.4 and 18.7 nm/min according to run 1, 3, and 5 of Table I. On the other hand, if the Si3N4 test wafer is thicker than the load wafers, the Si3N4 polishing rate is between 25.5 and 36.5 nm/min accord-ing to run 4, 6, and 7.
.
Table I

RUN POLISHING RATE STARTING WAFER THICKNESS IN
IN NM/MIN TEST WAFER ~M LOAD WAFER

1 12.4 .396 .409 2 23.5 "" .396 3 14.3 "" .403 4 25.5 .401 .396 18.7 "" 403 6 36.5 .403 .401
7 33.2 "" .398 The dependency of the Si3N4 polishing rate on wafer thickness can be projected from the wafer to wafer study to a single wafer with locally different thicknesses. It is remarkably unexpected to note that Si3N4 protuberances are polished significantly faster than Si3N4 on planar surfaces. Only the Si3~4 on the "bird' heads" and the SiO2 thereunder are removed du~ing the planarization process, while the remaining portions of Si3N4 act as a stop layer.
As shown in Table II, the appropriate polishing rate ratios between thermally grown SiO2 and Si3N4 and between polysilicon and Si3N4 are six to one and eight to one, respectively. Within that limit, Si3N4 can act effectively as a polishing stop barrier layer for ; thermally grown SiO2 and for polysilicon. However, in its broader range, polishing rate ratios between SiO2 and Si3N4 comprised between a lower limit of four to . .

1;~73'~74 one and a higher limit of forty to one, should be acceptable. For polishing rate ratios lower than the low limit, Si3N4 cannot act as a polishing stop layer on planar Sio2 surfaces. For polishing ra'e ratios greater than the high limit, the Si3N4 polishin~ rate is too slow to remove Si3N4 on the top anZ at the sidewalls of sio2 protuberances, efficiently-Table II

MAT~RIAL POLISHING RATE STARTING WAFER THICKNESS
IN NM/MIN TEST WAFERIN ~M
LOAD WAFER

Si3N4 18.7 .401 .403 SiO2 115.8 .403 .409 POLYSIL.164.3 .424 .429 The final structure after planarization is illustrated in Fig.8. As clearly shown, the tops of the "bird' heads" 12a have been removed, leaving a perfectly planarized structure. A polysilicon stud 22 remains in the trench to provide substrate contacting.
At the device locations, the SiO2 layer producedoriginally by the ROI process is now coated by the Si3N4 polishing stop barrier which can be beneficial for subsequent processing steps, as an additional insulating layer. The structure of Fig. 8 is now ready for completing the remaining steps of the conventional semiconductor processing.
It is to be understood that the description should not be construed to be limited to the particu-lar embodiment discussed above, the invention being of wide application. A second preferred embodiment will be described now, where the ROI regions are not created by thermal oxidation but by filling the groove (or recess) with a dielectric material such as SiO2.

.

:

1;~73;~74 As shown in Fig. 9, a conventional recess (or groove) 23 of a width between 5 and 200 ~m and of a depth between 0.5 and 1.0 um, has been formed into a silicon substrate 24 either by RIE processing, or by wet etching. The silicon is etched while a thermal oxide~/LPCVD Si3N4 composite layer structure 25/26 pass-ivates the silicon surface outside the groove and acts as the ROI mask stack. After the growth of a very thin thermal oxide layer 27 between 25 and 50 nm (and optionally a very thin Si3N4 layer between 25 and 50 nm), an undoped CVD SiO2 or a CVD borosilicate layer 28 is deposited. Other suitable materials such as CVD
glasses can be deposited as well, preferably glasses with a thermal expansion coefficient matching the one of silicon in order to reduce thermal stresses.
According to Fig. 9, the thickness of the CVD oxide layer 28 should be slightly higher than the depth of the ROI recess. As shown in Figure 9, CVD SiO2 protu-berances are formed at the edge of a ROI region where the SiO2 layer 28 overlaps the ROI mask stack. SiO2 layer 28 is delineated by utilizing non critical photo alignment step with a subsequent oxide removal by a HF
or buffered HF solution steps outside the areas covered by photoresist.
As shown in Fig. 10, after the removal of the photoresist (not shown), a CVD Si3N4 layer 29 is blanket deposited onto the structure, and will subse-quently act as polishing stop layer in the flat portion of the ROI region. According to Figure 11, after chem-mech polishing of the CVD SiO2 protuber-ances using the method described above, a rather planar ROI isolation region 28 is obtained, which does not contain any "bird's head" or "bird's beak". Also, as long thermal oxidation steps were omitted, the defect density is substantially reduced near the ROI
region. Introducing the chem-mech method of the present invention in that alternative of the ROI

1~7;3~74 process allows therefore the production of ROI regions without "bird's head" and "bird's beak" and their related de~ects. In addition it provides an integrated structure with a substantially smooth and planar surface.
, ,T,he trenches may thus be formed and processing cont,inued until final completion of the desired FET or bipolar devices. The polishing stop layer 29 is especially useful in cases where narrow as well as wide (in excess of about 20 ~m) oxide-filled trenches are employed, to avoid the tendency of the chem-mech polishing action to remove excess amounts of such wide trench oxide, relative to the oxide within the narrow-er trenches, that otherwise would occur in the absence of an oxide polishing stop. Alternatively, layer 28 of Fig. 9 may be left unpatterned and polishing stop layer 29 may be more fully removed (not shown) by a non-critical masking step except over the central region of a wide oxide-filled trench to avoid exces-- 20 sive removal of the oxide in such central trench area.
The omission of a silicon polishing stop as well as an oxide polishing stop is feasible in those relatively few instances where all of the trench widths are less than about 20 ~m (eliminating the need for an oxide polishing stop) and only FET devices are to be formed on the chip between the trenches eliminating the need for a silicon polishing stop. FET devices are surface devices, do not require thin epitaxial layers (if any) and do not require a great depth of silicon dioxide to adequately isolate one device from another. Hence, the inadvertent removal of some small surface amounts of silicon from the FE~ device area of the chip is of no serious consequence so that a silicon polishing stop is not needed.
The present invention focuses on the chem-mech polishing planarization of SiO2 "bird's head" formed during the formation of the ROI region, either by ~ .
~ .

:- :

~ ~73~74 thermal oxidation or by oxide deposition. It is to be understood that the method of the present invention may be applied to any kinds of glassy protuberances, formed during any step of the semiconductor process-S ing. For example, in certain applications, glass filmsare deposited on silicon substrates. The surfaces of these glass- films are frequently characterized by protuberances measuring typically 0.5 ~m in height, and covering an area of several square microns at their base. These surface protuberances are still considered to be detrimental surface features.
Examples of others protuberances, such as SiO2 studs or bumps, are described in U.S. Patent 4,473,598 (see reference 11 in Fig. 6). They can also be planarized with the above method. On the other hand, said method may also be adapted to others materials similar to undoped thermal or CVD SiO2, such as CVD borosilicate or CVD boroalumina silicate glasses, and the like with only minor changes in the parameters of the process.
It is also clear that the invention is not limited to those particular embodiments described above, but may still be applied to structures having other standard ROI regions or where the recessed oxide surrounds either a deep polysilicon or a dielectric filled trench, to provide device isolation. However, as explained above, it is only required that the fill material for the trench, has a substantially higher polishing rate for chem-mech polishing than the polishing stop barrier material such as Si3N4 which has been selected in this preferred embodiment.
Complete bipolar devices were built utilizing conventional processing after the formation of the isolation.~The collector-emitter breakdown yields were determined for processes removing the "bird's heads"
by the chem-mech polishing process of the present invention, by RIE planarization as described in the prior art statement and by a standard process, which 1~73'~74 did not eliminate the "bird's head" at all. According to the results which were obtained, the yields of the different,isolation processes were found comparable.
, While there has been shown and described what is considered at present to be the preferred embodiment of the,present invention, it will be appreciated by those` skilled in the art that modificationS of such embodiment may be made. It is therefore desired that the invention not be limited to this embodiment, and it is intended to cover in the appended claims all such modifications as fall within the true spirit and scope of the invention.
Having thus described our invention, what we claim as new and desire to secure by Letters Patent is:

Claims (15)

The embodiments of the invention in which an exclusive property or privilege is claimed are defined as follows:
1. Method for removing protuberances at the surface of a semiconductor wafer, comprising the steps of a) preparing a semiconductor substrate having an irregular surface provided with protuberances as well as planar surface portions, b) blanket depositing a layer of a polishing stop barrier onto said irregular surface;

c) chem-mech polishing said surface to remove only the portions of said polishing stop barrier layer located at the top and at the sidewalls of the protuberances and the protuberances underlying said removed portions as well, while the other portions located on the planar surfaces of said polishing stop barrier layer remain substantially unattacked.
2. Method according to claim 1, wherein said protuberances are glassy.
3. Method according to claim 1 wherein the material forming said polishing stop barrier layer is Si3N4.
4. Method according to claim 3, wherein the thickness of said layer is about 100 nm.
5. Method according to claim 3 wherein said protuberances are comprised of SiO2.
6. Method according to claim 5 wherein SiO2 protuberances are the so-called "bird' heads" which normally result from the Recessed Oxidation Isolation (ROI) process, at the periphery of the ROI regions.
7. Method according to claim 6 wherein during said chem-mech polishing step an SiO2 water based slurry is used comprising 8 liters of fifty weight percent colloidal silicon, 150gr of the sodium salt of dichloroisocyanic acid, 450gr of Na2CO3.H2O and 32 liters of deionized water.
8. Method according to claim 7 wherein the polishing rate ratio between SiO2 and Si3N4 is comprised between 4:1 and 40:1.
9. Method according to claim 8 wherein said polishing ratio is selected around 6:1.
10. Method according to claim 9 wherein the chem-mech polishing parameters are slurry flow rate 400-500 cc/min on the two side free polisher and 100-200 cc/min on a single side polisher, polishing pressure 2.5 psi, and temperature is 40-50°C.

11. Method of forming a substantially planar integrated structure provided with a Recessed Oxidation Isolation (ROI) region having a polysilicon filled deep trench therein comprising the steps of:

a) providing a silicon substrate having a planar surface portion as well as an ROI region exhibiting an SiO2 "bird' heads" causing an irregular surface of said substrate;

b) blanket depositing a layer of a Si3N4 polishing stop barrier onto said irregular surface and planar surface portion;

c) depositing a trench etch masking layer of SiO2 onto the structure;
11. (continued) d) forming a trench in the ROI region;

e) growing a thin layer of thermal SiO2 on the exposed silicon in the trench;

f) depositing a layer of Si3N4 by CVD;

g) depositing a layer of SiO2 by CVD;

h) RIE etching to remove the substantially planar portions of both Si3N4 and SiO2 layers at the bottom of said trench;

i) depositing a layer of polysilicon; and, j) chem-mech polishing the surface of the structure to remove both said polysilicon layer except in said trench and said trench etch masking layer and to remove the portions of said polishing stop barrier located at the top and at the sidewalls of the protuberances and the underlying SiO2 as well while the other portion located on the planar surfaces of said polishing stop barrier remain substantially unattacked.
12. Method of forming a substantially planar integrated structure provided with a Recessed Oxide Isolation (ROI) Region without "bird's head" or "bird's beak"
characteristics comprising the steps of :

a) providing a silicon substrate having substantially planar portions passivated with a composite SiO2/Si3N4 ROI mask stack and having a recess formed therein to expose silicon at the ROI region locations;

b) CVD blanket depositing SiO2 layer onto the structure, which in particular fills the recess;

c) provides SiO2 protuberances at the periphery of the ROI region;

d) depositing a layer of Si3N4; and e) chem-mech polishing the surface of the structure to remove the portions of said SI3N4 layer located at the top and at the sidewalls of the protuberances and the underlying SiO2 as well while the other portions located on the planar surfaces of said SI3N4 remain substantially unattacked.
13. Method of claim 12 wherein previously to step b), a layer of thermal oxide is grown on the exposed silicon in the recess.
14. Method of forming a substantially planar integrated structure provided with a Recessed Oxide Isolation (ROI) Region without "bird's head" or "bird's beak"
characteristics comprising the steps of:

(a) providing a substantially planar silicon substrate having a recess formed therein at the ROI region locations, said recess being at least about 20 µ m wide;

(b) depositing an SiO2 layer onto the structure, which in particular fills the recess; and (c) provides SiO2 protuberances at the periphery of the ROI region;

(d) depositing a layer of Si3N4 within said periphery of said regions; and (e) chem-mech polishing the surface of the structure to remove the portions of said Si3N4 layer located at the top and at the sidewalls of the protuberances and the underlying SiO2 as well while the other portions located on the planar surfaces of said SI3N4 layer remain substantially unattacked.
15. Method of forming a substantially planar integrated structure provided with Recessed Oxide Isolation (ROI) Regions without "bird's head" or "bird's beak"
characteristics comprising the steps of:

(a) providing a substantially planar silicon substrate having narrow as well as wide recesses formed therein at the ROI region locations, said wide recesses being at least about 20 µm wide;

(b) depositing an SiO2 layer onto the structure, which in particular fills the recesses; and (c) provides SiO2 protuberances at the periphery of the ROI regions;

(d) depositing a layer of Si3N4 within said periphery of said wide regions; and (e) chem-mech polishing the surface of the structure to remove the portions of said Si3N4 layer located at the top and at the sidewalls of the protuberances and the underlying SiO2 as well while the other portions located on the planar surfaces of said Si3NH4 layer remain substantially unattacked.
CA000508583A 1985-10-28 1986-05-07 Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique Expired - Fee Related CA1273274A (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US791,861 1985-10-28
US06/791,861 US4671851A (en) 1985-10-28 1985-10-28 Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique

Publications (1)

Publication Number Publication Date
CA1273274A true CA1273274A (en) 1990-08-28

Family

ID=25155005

Family Applications (1)

Application Number Title Priority Date Filing Date
CA000508583A Expired - Fee Related CA1273274A (en) 1985-10-28 1986-05-07 Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique

Country Status (5)

Country Link
US (1) US4671851A (en)
EP (1) EP0224646B1 (en)
JP (1) JPS62101034A (en)
CA (1) CA1273274A (en)
DE (1) DE3681696D1 (en)

Families Citing this family (148)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4892614A (en) * 1986-07-07 1990-01-09 Texas Instruments Incorporated Integrated circuit isolation process
NL8700033A (en) * 1987-01-09 1988-08-01 Philips Nv METHOD FOR MANUFACTURING A SEMICONDUCTOR SEMICONDUCTOR TYPE ON ISOLATOR
US4871684A (en) * 1987-10-29 1989-10-03 International Business Machines Corporation Self-aligned polysilicon emitter and contact structure for high performance bipolar transistors
US4835115A (en) * 1987-12-07 1989-05-30 Texas Instruments Incorporated Method for forming oxide-capped trench isolation
US5252509A (en) * 1988-03-15 1993-10-12 Texas Instruments Incorporated Ccd imager responsive to long wavelength radiation
US4836885A (en) * 1988-05-03 1989-06-06 International Business Machines Corporation Planarization process for wide trench isolation
JPH02146732A (en) * 1988-07-28 1990-06-05 Fujitsu Ltd Abrasive liquid and abrasion
US4879258A (en) * 1988-08-31 1989-11-07 Texas Instruments Incorporated Integrated circuit planarization by mechanical polishing
JPH02209730A (en) * 1988-10-02 1990-08-21 Canon Inc Selective polishing
US4910155A (en) * 1988-10-28 1990-03-20 International Business Machines Corporation Wafer flood polishing
US5008208A (en) * 1988-12-07 1991-04-16 Honeywell Inc. Method of making planarized, self-aligned bipolar integrated circuits
US4874463A (en) * 1988-12-23 1989-10-17 At&T Bell Laboratories Integrated circuits from wafers having improved flatness
JP2726488B2 (en) * 1989-04-10 1998-03-11 株式会社東芝 Method for manufacturing semiconductor device
US5188987A (en) * 1989-04-10 1993-02-23 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device using a polishing step prior to a selective vapor growth step
US5399528A (en) * 1989-06-01 1995-03-21 Leibovitz; Jacques Multi-layer fabrication in integrated circuit systems
US5278092A (en) * 1989-08-07 1994-01-11 Canon Kabushiki Kaisha Method of forming crystal semiconductor film
JP2577090B2 (en) * 1989-08-07 1997-01-29 キヤノン株式会社 Method for forming crystalline semiconductor film
US5106777A (en) * 1989-09-27 1992-04-21 Texas Instruments Incorporated Trench isolation process with reduced topography
US5173439A (en) * 1989-10-25 1992-12-22 International Business Machines Corporation Forming wide dielectric-filled isolation trenches in semi-conductors
USRE37997E1 (en) 1990-01-22 2003-02-18 Micron Technology, Inc. Polishing pad with controlled abrasion rate
JPH03222232A (en) * 1990-01-25 1991-10-01 Mitsubishi Electric Corp Manufacture of electron emission device
JP2597022B2 (en) * 1990-02-23 1997-04-02 シャープ株式会社 Method of forming element isolation region
US5094972A (en) * 1990-06-14 1992-03-10 National Semiconductor Corp. Means of planarizing integrated circuits with fully recessed isolation dielectric
US6008107A (en) * 1990-06-14 1999-12-28 National Semiconductor Corporation Method of planarizing integrated circuits with fully recessed isolation dielectric
JPH04165672A (en) * 1990-10-29 1992-06-11 Mitsubishi Electric Corp Manufacture of buried photoelectronic integrated element
US5064683A (en) * 1990-10-29 1991-11-12 Motorola, Inc. Method for polish planarizing a semiconductor substrate by using a boron nitride polish stop
US5290396A (en) * 1991-06-06 1994-03-01 Lsi Logic Corporation Trench planarization techniques
US5413966A (en) * 1990-12-20 1995-05-09 Lsi Logic Corporation Shallow trench etch
US5248625A (en) * 1991-06-06 1993-09-28 Lsi Logic Corporation Techniques for forming isolation structures
US5225358A (en) * 1991-06-06 1993-07-06 Lsi Logic Corporation Method of forming late isolation with polishing
US5252503A (en) * 1991-06-06 1993-10-12 Lsi Logic Corporation Techniques for forming isolation structures
US5169491A (en) * 1991-07-29 1992-12-08 Micron Technology, Inc. Method of etching SiO2 dielectric layers using chemical mechanical polishing techniques
US5849632A (en) * 1991-08-30 1998-12-15 Micron Technology, Inc. Method of passivating semiconductor wafers
WO1993008596A1 (en) * 1991-10-14 1993-04-29 Nippondenso Co., Ltd. Method for fabrication of semiconductor device
US5246884A (en) * 1991-10-30 1993-09-21 International Business Machines Corporation Cvd diamond or diamond-like carbon for chemical-mechanical polish etch stop
EP0543449B1 (en) * 1991-11-19 1997-03-05 Koninklijke Philips Electronics N.V. Method of manufacturing a semiconductor device with aluminium tracks mutually insulated in lateral direction by an aluminium compound
JPH05226334A (en) * 1992-02-13 1993-09-03 Mitsubishi Electric Corp Semiconductor device and its manufacture
US5245790A (en) * 1992-02-14 1993-09-21 Lsi Logic Corporation Ultrasonic energy enhanced chemi-mechanical polishing of silicon wafers
US5229331A (en) * 1992-02-14 1993-07-20 Micron Technology, Inc. Method to form self-aligned gate structures around cold cathode emitter tips using chemical mechanical polishing technology
US5696028A (en) * 1992-02-14 1997-12-09 Micron Technology, Inc. Method to form an insulative barrier useful in field emission displays for reducing surface leakage
US5259799A (en) * 1992-03-02 1993-11-09 Micron Technology, Inc. Method to form self-aligned gate structures and focus rings
US5186670A (en) * 1992-03-02 1993-02-16 Micron Technology, Inc. Method to form self-aligned gate structures and focus rings
US5653619A (en) * 1992-03-02 1997-08-05 Micron Technology, Inc. Method to form self-aligned gate structures and focus rings
US5162248A (en) * 1992-03-13 1992-11-10 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
USRE39665E1 (en) 1992-03-13 2007-05-29 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
US5270241A (en) * 1992-03-13 1993-12-14 Micron Technology, Inc. Optimized container stacked capacitor DRAM cell utilizing sacrificial oxide deposition and chemical mechanical polishing
JP3060714B2 (en) * 1992-04-15 2000-07-10 日本電気株式会社 Manufacturing method of semiconductor integrated circuit
US5422289A (en) * 1992-04-27 1995-06-06 National Semiconductor Corporation Method of manufacturing a fully planarized MOSFET and resulting structure
US5302551A (en) * 1992-05-11 1994-04-12 National Semiconductor Corporation Method for planarizing the surface of an integrated circuit over a metal interconnect layer
GB2298960B (en) * 1992-05-26 1997-01-08 Toshiba Kk Polishing apparatus and method for planarizing layer on a semiconductor wafer
US5445996A (en) * 1992-05-26 1995-08-29 Kabushiki Kaisha Toshiba Method for planarizing a semiconductor device having a amorphous layer
DE4221432C2 (en) * 1992-06-30 1994-06-09 Siemens Ag Global planarization process for integrated semiconductor circuits or micromechanical components
US5265378A (en) * 1992-07-10 1993-11-30 Lsi Logic Corporation Detecting the endpoint of chem-mech polishing and resulting semiconductor device
US5310455A (en) * 1992-07-10 1994-05-10 Lsi Logic Corporation Techniques for assembling polishing pads for chemi-mechanical polishing of silicon wafers
JPH0697132A (en) * 1992-07-10 1994-04-08 Lsi Logic Corp Mechanochemical polishing apparatus of semiconductor wafer, mounting method of semiconductor-wafer polishing pad to platen of above apparatus and polishing composite pad of above apparatus
US5292689A (en) * 1992-09-04 1994-03-08 International Business Machines Corporation Method for planarizing semiconductor structure using subminimum features
US5340978A (en) * 1992-09-30 1994-08-23 Lsi Logic Corporation Image-sensing display panels with LCD display panel and photosensitive element array
US5519205A (en) * 1992-09-30 1996-05-21 Lsi Logic Corporation Color electronic camera including photosensor array having binary diffractive lens elements
US5529936A (en) * 1992-09-30 1996-06-25 Lsi Logic Corporation Method of etching a lens for a semiconductor solid state image sensor
US5234868A (en) * 1992-10-29 1993-08-10 International Business Machines Corporation Method for determining planarization endpoint during chemical-mechanical polishing
JPH07111962B2 (en) * 1992-11-27 1995-11-29 日本電気株式会社 Selective flattening polishing method
JP2611615B2 (en) * 1992-12-15 1997-05-21 日本電気株式会社 Method for manufacturing semiconductor device
US5264395A (en) * 1992-12-16 1993-11-23 International Business Machines Corporation Thin SOI layer for fully depleted field effect transistors
US5328553A (en) * 1993-02-02 1994-07-12 Motorola Inc. Method for fabricating a semiconductor device having a planar surface
US5389194A (en) * 1993-02-05 1995-02-14 Lsi Logic Corporation Methods of cleaning semiconductor substrates after polishing
US5626715A (en) * 1993-02-05 1997-05-06 Lsi Logic Corporation Methods of polishing semiconductor substrates
US5532191A (en) * 1993-03-26 1996-07-02 Kawasaki Steel Corporation Method of chemical mechanical polishing planarization of an insulating film using an etching stop
US5356513A (en) * 1993-04-22 1994-10-18 International Business Machines Corporation Polishstop planarization method and structure
US5362669A (en) * 1993-06-24 1994-11-08 Northern Telecom Limited Method of making integrated circuits
US5494857A (en) * 1993-07-28 1996-02-27 Digital Equipment Corporation Chemical mechanical planarization of shallow trenches in semiconductor substrates
US5346584A (en) * 1993-07-28 1994-09-13 Digital Equipment Corporation Planarization process for IC trench isolation using oxidized polysilicon filler
US6046079A (en) * 1993-08-18 2000-04-04 United Microelectronics Corporation Method for prevention of latch-up of CMOS devices
US5395801A (en) * 1993-09-29 1995-03-07 Micron Semiconductor, Inc. Chemical-mechanical polishing processes of planarizing insulating layers
JPH07245306A (en) * 1994-01-17 1995-09-19 Sony Corp Method for flattening film of semiconductor device
EP0977248A1 (en) * 1994-01-17 2000-02-02 Sony Corporation Process for planarizing surface of a semiconductor device
US5681776A (en) * 1994-03-15 1997-10-28 National Semiconductor Corporation Planar selective field oxide isolation process using SEG/ELO
US5492858A (en) * 1994-04-20 1996-02-20 Digital Equipment Corporation Shallow trench isolation process for high aspect ratio trenches
US5733175A (en) * 1994-04-25 1998-03-31 Leach; Michael A. Polishing a workpiece using equal velocity at all points overlapping a polisher
US5459096A (en) * 1994-07-05 1995-10-17 Motorola Inc. Process for fabricating a semiconductor device using dual planarization layers
US5607341A (en) * 1994-08-08 1997-03-04 Leach; Michael A. Method and structure for polishing a wafer during manufacture of integrated circuits
TW274625B (en) * 1994-09-30 1996-04-21 Hitachi Seisakusyo Kk
US5527423A (en) * 1994-10-06 1996-06-18 Cabot Corporation Chemical mechanical polishing slurry for metal layers
DE4438111A1 (en) * 1994-10-26 1996-05-02 Reinhard Franz Combined electronic musical synthesiser and organ
WO1996029731A1 (en) * 1995-03-17 1996-09-26 Hitachi, Ltd. Semiconductor device and method of manufacturing the same
US5972773A (en) * 1995-03-23 1999-10-26 Advanced Micro Devices, Inc. High quality isolation for high density and high performance integrated circuits
US6069081A (en) * 1995-04-28 2000-05-30 International Buiness Machines Corporation Two-step chemical mechanical polish surface planarization technique
JP2790084B2 (en) * 1995-08-16 1998-08-27 日本電気株式会社 Method for manufacturing semiconductor device
US5958794A (en) * 1995-09-22 1999-09-28 Minnesota Mining And Manufacturing Company Method of modifying an exposed surface of a semiconductor wafer
US5885900A (en) * 1995-11-07 1999-03-23 Lucent Technologies Inc. Method of global planarization in fabricating integrated circuit devices
WO1997017729A1 (en) * 1995-11-10 1997-05-15 Advanced Micro Devices, Inc. Silicon dioxide spacer for locos or recessed locos
US5665202A (en) * 1995-11-24 1997-09-09 Motorola, Inc. Multi-step planarization process using polishing at two different pad pressures
TW309647B (en) * 1995-12-30 1997-07-01 Hyundai Electronics Ind
KR0183826B1 (en) * 1996-03-04 1999-05-01 김광호 Cleaner and its cleaning method
US6022807A (en) * 1996-04-24 2000-02-08 Micro Processing Technology, Inc. Method for fabricating an integrated circuit
US5993686A (en) * 1996-06-06 1999-11-30 Cabot Corporation Fluoride additive containing chemical mechanical polishing slurry and method for use of same
US5783489A (en) * 1996-09-24 1998-07-21 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6033596A (en) * 1996-09-24 2000-03-07 Cabot Corporation Multi-oxidizer slurry for chemical mechanical polishing
US6039891A (en) 1996-09-24 2000-03-21 Cabot Corporation Multi-oxidizer precursor for chemical mechanical polishing
US6132637A (en) 1996-09-27 2000-10-17 Rodel Holdings, Inc. Composition and method for polishing a composite of silica and silicon nitride
US5738800A (en) * 1996-09-27 1998-04-14 Rodel, Inc. Composition and method for polishing a composite of silica and silicon nitride
US6043206A (en) * 1996-10-19 2000-03-28 Samsung Electronics Co., Ltd. Solutions for cleaning integrated circuit substrates
US6022256A (en) 1996-11-06 2000-02-08 Micron Display Technology, Inc. Field emission display and method of making same
US6068787A (en) * 1996-11-26 2000-05-30 Cabot Corporation Composition and slurry useful for metal CMP
US5958288A (en) * 1996-11-26 1999-09-28 Cabot Corporation Composition and slurry useful for metal CMP
US6126853A (en) * 1996-12-09 2000-10-03 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6309560B1 (en) 1996-12-09 2001-10-30 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US5954997A (en) 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US6194317B1 (en) 1998-04-30 2001-02-27 3M Innovative Properties Company Method of planarizing the upper surface of a semiconductor wafer
US8092707B2 (en) 1997-04-30 2012-01-10 3M Innovative Properties Company Compositions and methods for modifying a surface suited for semiconductor fabrication
US6114248A (en) * 1998-01-15 2000-09-05 International Business Machines Corporation Process to reduce localized polish stop erosion
US6432828B2 (en) * 1998-03-18 2002-08-13 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper substrates
US6060400A (en) * 1998-03-26 2000-05-09 The Research Foundation Of State University Of New York Highly selective chemical dry etching of silicon nitride over silicon and silicon dioxide
DE19829152A1 (en) * 1998-05-05 1999-11-18 United Microelectronics Corp Double damascus process
US6146970A (en) * 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6162368A (en) * 1998-06-13 2000-12-19 Applied Materials, Inc. Technique for chemical mechanical polishing silicon
US6217416B1 (en) 1998-06-26 2001-04-17 Cabot Microelectronics Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrates
US6063306A (en) * 1998-06-26 2000-05-16 Cabot Corporation Chemical mechanical polishing slurry useful for copper/tantalum substrate
US6203407B1 (en) 1998-09-03 2001-03-20 Micron Technology, Inc. Method and apparatus for increasing-chemical-polishing selectivity
US6863593B1 (en) * 1998-11-02 2005-03-08 Applied Materials, Inc. Chemical mechanical polishing a substrate having a filler layer and a stop layer
US6165052A (en) * 1998-11-16 2000-12-26 Taiwan Semiconductor Manufacturing Company Method and apparatus for chemical/mechanical planarization (CMP) of a semiconductor substrate having shallow trench isolation
US6391670B1 (en) 1999-04-29 2002-05-21 Micron Technology, Inc. Method of forming a self-aligned field extraction grid
GB9929613D0 (en) * 1999-12-15 2000-02-09 Koninkl Philips Electronics Nv Manufacture of semiconductor material and devices using that material
JP2003530713A (en) * 2000-04-11 2003-10-14 キャボット マイクロエレクトロニクス コーポレイション Priority removal system for silicon oxide
US6627949B2 (en) * 2000-06-02 2003-09-30 General Semiconductor, Inc. High voltage power MOSFET having low on-resistance
US6640155B2 (en) 2000-08-22 2003-10-28 Lam Research Corporation Chemical mechanical polishing apparatus and methods with central control of polishing pressure applied by polishing head
US6652357B1 (en) 2000-09-22 2003-11-25 Lam Research Corporation Methods for controlling retaining ring and wafer head tilt for chemical mechanical polishing
US7481695B2 (en) 2000-08-22 2009-01-27 Lam Research Corporation Polishing apparatus and methods having high processing workload for controlling polishing pressure applied by polishing head
US6585572B1 (en) 2000-08-22 2003-07-01 Lam Research Corporation Subaperture chemical mechanical polishing system
US6541384B1 (en) 2000-09-08 2003-04-01 Applied Materials, Inc. Method of initiating cooper CMP process
US6471566B1 (en) 2000-09-18 2002-10-29 Lam Research Corporation Sacrificial retaining ring CMP system and methods for implementing the same
US6443815B1 (en) 2000-09-22 2002-09-03 Lam Research Corporation Apparatus and methods for controlling pad conditioning head tilt for chemical mechanical polishing
CN1255854C (en) * 2001-01-16 2006-05-10 卡伯特微电子公司 Ammonium oxalate-containing polishing system and method
US6383065B1 (en) 2001-01-22 2002-05-07 Cabot Microelectronics Corporation Catalytic reactive pad for metal CMP
US7004819B2 (en) 2002-01-18 2006-02-28 Cabot Microelectronics Corporation CMP systems and methods utilizing amine-containing polymers
US7964005B2 (en) * 2003-04-10 2011-06-21 Technion Research & Development Foundation Ltd. Copper CMP slurry composition
KR100561004B1 (en) * 2003-12-30 2006-03-16 동부아남반도체 주식회사 CMOS Image Sensor And Method For Manufacturing The Same
US7255810B2 (en) * 2004-01-09 2007-08-14 Cabot Microelectronics Corporation Polishing system comprising a highly branched polymer
US7247567B2 (en) * 2004-06-16 2007-07-24 Cabot Microelectronics Corporation Method of polishing a tungsten-containing substrate
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same
KR100629270B1 (en) * 2005-02-23 2006-09-29 삼성전자주식회사 Nand-type flash memory device and fabrication method thereof
US7803203B2 (en) 2005-09-26 2010-09-28 Cabot Microelectronics Corporation Compositions and methods for CMP of semiconductor materials
US8759216B2 (en) 2006-06-07 2014-06-24 Cabot Microelectronics Corporation Compositions and methods for polishing silicon nitride materials
US20080220610A1 (en) * 2006-06-29 2008-09-11 Cabot Microelectronics Corporation Silicon oxide polishing method utilizing colloidal silica
TW200817497A (en) * 2006-08-14 2008-04-16 Nippon Chemical Ind Polishing composition for semiconductor wafer, production method thereof, and polishing method
US8580690B2 (en) * 2011-04-06 2013-11-12 Nanya Technology Corp. Process of planarizing a wafer with a large step height and/or surface area features
US8703004B2 (en) * 2011-11-14 2014-04-22 Kabushiki Kaisha Toshiba Method for chemical planarization and chemical planarization apparatus
US9633863B2 (en) 2012-07-11 2017-04-25 Cabot Microelectronics Corporation Compositions and methods for selective polishing of silicon nitride materials
JP6800411B2 (en) 2015-01-13 2020-12-16 シーエムシー マテリアルズ,インコーポレイティド A method for cleaning the cleaning composition and the semiconductor wafer after CMP.

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3386864A (en) * 1963-12-09 1968-06-04 Ibm Semiconductor-metal-semiconductor structure
US3979237A (en) * 1972-04-24 1976-09-07 Harris Corporation Device isolation in integrated circuits
US3886000A (en) * 1973-11-05 1975-05-27 Ibm Method for controlling dielectric isolation of a semiconductor device
US3911562A (en) * 1974-01-14 1975-10-14 Signetics Corp Method of chemical polishing of planar silicon structures having filled grooves therein
DE2437549A1 (en) * 1974-08-03 1976-02-19 Bayer Ag CATIONIC COLORS
US3998673A (en) * 1974-08-16 1976-12-21 Pel Chow Method for forming electrically-isolated regions in integrated circuits utilizing selective epitaxial growth
DE2547792C3 (en) * 1974-10-25 1978-08-31 Hitachi, Ltd., Tokio Method for manufacturing a semiconductor component
JPS5246784A (en) * 1975-10-11 1977-04-13 Hitachi Ltd Process for production of semiconductor device
US4057939A (en) * 1975-12-05 1977-11-15 International Business Machines Corporation Silicon wafer polishing
JPS5275989A (en) * 1975-12-22 1977-06-25 Hitachi Ltd Production of semiconductor device
JPS5534442A (en) * 1978-08-31 1980-03-11 Fujitsu Ltd Preparation of semiconductor device
US4231056A (en) * 1978-10-20 1980-10-28 Harris Corporation Moat resistor ram cell
US4191788A (en) * 1978-11-13 1980-03-04 Trw Inc. Method to reduce breakage of V-grooved <100> silicon substrate
US4269636A (en) * 1978-12-29 1981-05-26 Harris Corporation Method of fabricating self-aligned bipolar transistor process and device utilizing etching and self-aligned masking
JPS6043024B2 (en) * 1978-12-30 1985-09-26 富士通株式会社 Manufacturing method of semiconductor device
US4255207A (en) * 1979-04-09 1981-03-10 Harris Corporation Fabrication of isolated regions for use in self-aligning device process utilizing selective oxidation
US4307180A (en) * 1980-08-22 1981-12-22 International Business Machines Corp. Process of forming recessed dielectric regions in a monocrystalline silicon substrate
US4378565A (en) * 1980-10-01 1983-03-29 General Electric Company Integrated circuit and method of making same
JPS5821842A (en) * 1981-07-30 1983-02-08 インタ−ナシヨナル・ビジネス・マシ−ンズ・コ−ポレ−シヨン Method of forming isolating region
US4398992A (en) * 1982-05-20 1983-08-16 Hewlett-Packard Company Defect free zero oxide encroachment process for semiconductor fabrication
JPS591369A (en) * 1982-06-18 1984-01-06 キヤノン株式会社 Bag-in-carton
US4612701A (en) * 1984-03-12 1986-09-23 Harris Corporation Method to reduce the height of the bird's head in oxide isolated processes

Also Published As

Publication number Publication date
EP0224646A3 (en) 1988-09-07
US4671851A (en) 1987-06-09
JPH0311091B2 (en) 1991-02-15
EP0224646A2 (en) 1987-06-10
EP0224646B1 (en) 1991-09-25
JPS62101034A (en) 1987-05-11
DE3681696D1 (en) 1991-10-31

Similar Documents

Publication Publication Date Title
CA1273274A (en) Method for removing protuberances at the surface of a semiconductor wafer using a chem-mech polishing technique
EP0407047B1 (en) Method of planarization of topologies in integrated circuit structures
US5346584A (en) Planarization process for IC trench isolation using oxidized polysilicon filler
US5492858A (en) Shallow trench isolation process for high aspect ratio trenches
US5504033A (en) Method for forming recessed oxide isolation containing deep and shallow trenches
EP0284840B1 (en) Method for forming uniform layers of material
US5943590A (en) Method for improving the planarity of shallow trench isolation
KR100238244B1 (en) Method of trench isolation
US6224466B1 (en) Methods of polishing materials, methods of slowing a rate of material removal of a polishing process
US6159822A (en) Self-planarized shallow trench isolation
US5750433A (en) Methods of forming electrically isolated active region pedestals using trench-based isolation techniques
US5334281A (en) Method of forming thin silicon mesas having uniform thickness
US7087528B2 (en) Chemical-mechanical polishing (CMP) process for shallow trench isolation
US6171929B1 (en) Shallow trench isolator via non-critical chemical mechanical polishing
JP3163719B2 (en) Method for manufacturing semiconductor device having polishing step
KR100244847B1 (en) Methods to prevent divot formation in shallow trench isolation areas and integrated circuit chip formed thereby
US6794269B1 (en) Method for and structure formed from fabricating a relatively deep isolation structure
KR19980085035A (en) Trench Forming Method with Rounded Profile and Device Separation Method of Semiconductor Device Using the Same
US5851901A (en) Method of manufacturing an isolation region of a semiconductor device with advanced planarization
KR100444311B1 (en) Method for manufacturing isolation layer of semiconductor device using two-step cmp processes
US20010053583A1 (en) Shallow trench isolation formation process using a sacrificial layer
US6436831B1 (en) Methods of forming insulative plugs and oxide plug forming methods
KR100781871B1 (en) Method of forming field oxide of semiconductor device
KR100451499B1 (en) Device Separating Method of Semiconductor Device
KR20010009416A (en) Trench-type isolation method using a thin nitride film

Legal Events

Date Code Title Description
MKLA Lapsed