CA1306072C - Refractory metal - titanium nitride conductive structures and processes for forming the same - Google Patents

Refractory metal - titanium nitride conductive structures and processes for forming the same

Info

Publication number
CA1306072C
CA1306072C CA000556673A CA556673A CA1306072C CA 1306072 C CA1306072 C CA 1306072C CA 000556673 A CA000556673 A CA 000556673A CA 556673 A CA556673 A CA 556673A CA 1306072 C CA1306072 C CA 1306072C
Authority
CA
Canada
Prior art keywords
layer
tin
forming
tungsten
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CA000556673A
Other languages
French (fr)
Inventor
John E. Cronin
Carter W. Kaanta
Michael A. Leach
Pei-Ing P. Lee
Pai-Hung Pan
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Application granted granted Critical
Publication of CA1306072C publication Critical patent/CA1306072C/en
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28079Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a single metal, e.g. Ta, W, Mo, Al
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • H01L29/4941Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement with a barrier layer between the silicon and the metal or metal silicide upper layer, e.g. Silicide/TiN/Polysilicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • H01L29/4975Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2 being a silicide layer, e.g. TiSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S257/00Active solid-state devices, e.g. transistors, solid-state diodes
    • Y10S257/915Active solid-state devices, e.g. transistors, solid-state diodes with titanium nitride portion or region

Abstract

B??-86-011 ABSTRACT OF THE DISCLOSURE

The present invention provides a conductive structure for use in semiconductor devices. The structure can be used to interconnect the various diffusion regions or electrodes of devices formed on a processed semiconductor substrate to a layer of metal, to interconnect overlying layers of metal or to provide the gate electrode of an FET device formed on the surface of a semiconductor substrate.
Various embodiments of the invention are described, but in broad form the active metallurgy of the present invention comprises a thin layer of titanium nitride and a thick layer of a refractory metal, e.g., tungsten or molybdenum, overlying the titanium nitride layer.

Description

~3~6~Z

REFRACTORY METAL - TITANIUM NITRIDE CONDUCTIVE
STRUCTURES AND PROCESSES FOR FORMING THE SAME

BACKGROUND OF THE INVENTION

Field of the Invention The present invention relates to a dual layer semiconductor conductive structure comprising titanium nitride in combination with a refractory metal. Also disclosed are stable metal electrodes, interconnection stacks and metal lines based on this conductive structures and processes for forming the same.

C~ESCRIPTION OF THE PRIOR ART

Until recently, aluminum and aluminum-based alloys have been the metallurgy of choice for the vast majority of semiconductor device applications. Howevsr, as the device den~ities of integrated circuits increase, there is a need to utilize ~;~
`~3~6~7~

: : .

~ . .
metallurgies that can be conformally coated over the resulting steep topologies.
It has been found that the family of metals commonly referred to as the "refractory metals", some (i.e., tungsten, titanium, molybdenum, nickel, etc.) can be conformally coated on substrates using low pressure chemical vapor deposition techniques tLPCVD). In these techniques, a refractory metal source gas (e.g., tungsten hexafluoride) undergoes a series of reduction reactions so as to deposit a ~ayer of tungsten on the substrate.
One of the problems with utilizing a refractory metal such as tungsten is that it has a poor degree of adhesion to silicon oxide. It has been suggested that this pro~lem can be addressed by incorporating a barrier material. See, e.g., Sachdev and Mehta, "Tungsten Interconnects In VLSI", Tun~sten and Other . . .. . . .
RefractorY Metals for VLSI APPlications, Proceedings of the 1985 Workshop held October 7-9, 1985, Albuquerque, New Mexico; Blewer, Ed. (Materials Research Society, Pittsburgh, Pennsylvania ~1986)J, pp. 161-171, which suggests that metals such as Hf, Zr, Ti, Nb, V, Cr and Ni could be used to promote the adhesion of LPCVD tungsten to silicon oxide; and an article contained in the Varian Corporation publication The Varian Semi-Conductor Equipment GrouP Newsletter, Vol. 2, No. 2, (Sept. 1986), which 3~3~:?60~2 suggests the use of Ti or Ni to improve the adhesion of tungsten to oxide and proposes to substitute tungsten silicide for these adhesion layers such that both the adhesion layer (WSI~) and the metal layer (W) can be deposited in situ.
However, at least several papers have reported that titanium did not provide a sufficient degree of adhesion between tungsten and silicon oxide. See an article by Woodruff et al entitled "Adhesion of Non-Selective CVD
Tungsten to Silicon Dioxide", Tungsten and Other Refractory Metals for VLSI Applications, pp. 171-186, which indicates at Table 2 that conventional adhesion promoting layers such as polysilicon, chromium and titanium did not provide good adhesion between tungsten and silicon dioxide; see also an article by Bryant, "The Adherence of Chemically Vapor Deposited Tungsten Coatings", pp. 409-421, which discusses the use of a variety of layers as adhesion promoters for tungsten. In particular, titanium was found to have a low degree of adhesion to tungsten.
Other references of interest are as follows:
IBM* Technical Disclosure Bulletin~ Vol. 19, No. 7, December 1986, Chu et al, page 2532, teaches the use of titanium as a barrier material between aluminum and a polysilicon substrate to prevent spiking by silicon or aluminum migration.
* Registered trade mark 1310 6~

IB~ Technical Disclosure Bulletin, Vol. 28, No. 9, February 1986, Ahn et al, pages 3968 and 3969, teaches the use of titanium between a refractory metal silicide such as tungsten silicide and polysilicon to improve the adhesion of the refractory metal silicide to polysilicon while providing aasy nucleation of the refractory metal silicide.
IBM Technical Disclosure Bulletin, Vol. 24, No. 12, May 1982, Ting, page 6272, teaches the use of a TiN ilm as a diffusion barrier and a conductor, the TiN film being prepared by doping Ti films with N2 during Ti evaporation without substrate heating, the resulting ~ilm being annealed in a control.'.ed ambient such as N2, NH3, NH3 plasma, etc., at elevated -temperatures around 800C or higher for 30 minutes.
U. S. P tent 4,566,026 Lee et al teaches the use of a bi-metal layer located between two portions of an integrated circuit, the bi-metal layer comprising a sputtered layer of TiWN
nd a layer of TiN.
The article "CVD Tungsten Interconnect and Contact Barrier Technology for VLSI", Solid-State Technology, December 1982, pages 85-90, ~iller et al teaches the use o CVD tungsten as a contact material that reduces contact resistance and protects shallow junctions from aluminum spike-induced failures as ~3~6 137Z

.

compared to CVD titanium-tungsten. Deposition process details are given.
The article "Highly Reliable One-Micron-Rule Interconnection Utilizing TiN Barrier Metal", IEDM Technical Diqest, I985, Maeda et al, discloses the use of TiN as a barrier and contact metal in an AlSi interconnection system.
U. S. Patent 4,513,905 Nowicki et al discloses a process for manufacturing integrated circuits in which a barrier layer of Cr or Ti is deposited in a partial atmosphere of N2 in an Ar sputtering gas on a layer of Si so that the N2 is incorporated in the Cr or Ti after which a conductor material is deposited on the barrier layer. The barrier layer reduces migration of Si and Cr through and over the conductor material.
; U. S. Patent 3,879,746 Furnier discloses that incorporating a titanium nitrida layer between thin films of titanium and pl~t~num metallizations will redu-e the interdiffusion rates and inhibit intermetallic compound formation between the titanium and platinum metallizations.
U. S. Patent 4,570,328 Price et al discloses an MOS device having an electrode and interconnect of titanium nitride which is ; formed by low press-lre chemical vapor deposition.
IBM Technical Disclosure Bulletin, Vol. 29, No. 3, August 1986, Cronin et al, Page 1151, discusses tch chemistry and - - -1;~l;~6q~

.
.. .
improves etch selectivity and eliminates preferential attack of a tungsten silicide-tungsten-tungsten silicide stack during reactive ion etching.

.
SUMMARY OF THE INVENTION
The present invention provides a conductive structure for use in semiconductor devices. The conductive structure can be used as follows: (1) to interconnect the various regions o~
conventional devices formed on a processed semiconductor substrate to form memory arrays and/or logic and support circ~its, ~2) to interconnect various metal layers, or (3) to form a gate electrode on the surface of a semiconductor substrate.
Various embodiments of the invention are described, but in broad form the conductive structure of the~present invention comprises a layer of tltanium nitride beneath a layer of a refractory metal such as tungsten or molybdenum. It has been found that this combination of materials provides a combination of characteristics (e.g., high adhesion, low contact resistance, similar etch rate, etc.) that lend themselves to use in the above-described semiconductor device applications.

~.3Q~

.

Fig. 1 illustrates in schematic form a cxoss-sectional view of the conductive stack of the present invention as used to form an interconnection between two layers of metalO
Fig. 2 illustrates in schematic form a cross-sectional view of the conductive stack of the present invention as used to form an interconnection between a layer of metal and a diffusion region formed on the surface of a semiconductor substrate.
Fig. 3 illustrates in schematic form a cross-sectional view of the conductive stack of the present invention as used to form a gate electrode of an FET device.
' , . " ~ ' DESCRIPTION OE' THE PREFERRED EMBODIMENTS
I. Parameters To Be Optimized In the description of the invention to follow, reference will be made to parameters that are optlmized by the conductive structure of the invention. These parameters, along with their relation to the invention, are described below.
(1) In semiconductor processing, the adhesion of an upper layer to the layer or layers immediately below it must be high enough so that the respective layers do not delaminate. At the same time, the upper layer should not impart appreciable film stresses upon the lower layers. It has been found that when a ~3~6C~ ,iz ,.
refractory metal such as tungsten is deposited upon underlying layers such as passivating glasses, (e.g., borophosphosilicate glass (BPSG) or phosphosilicate glass (PSG~), the refractory metal will partially delaminate from the ylass when exposed to subsequent processing steps. One method of increasing the adhesion between tungsten and the underlying layers is to incorporate an intermediate material having a high degree o~
adhesion to both tungsten and the underlying materials. The inventors have found that an intermediate layer of titanium nitride will provide a high degree of adhesion between tungsten and underlying layers such as passivating glasses.
(2) In most applications, conductive structures such'as gate electrodes and interconnecting stacks should have sidewalls that are as nearly vertical as possible. To the extent that these sidewalls are not vertical, extra chip surface area is unnecessarily consumed' and the' electri-cal properties of the conductive structure are degraded. Accordingly, an intermediate layer incorporated between tungsten and the underlying layers should have an etch rate that approximates the etch rate of tungsten films in an anisotropic (i.e., directional) etch such as a halogen-based reactive ion etch (RIE). It has been discovered that among the variety of materials tested (e.g., molybdenum, chromium and Ti/W alloys), titanium nitride had a substantially ~3~6~7Z
` ' ' .

equal etch rate to tungsten in a halogen-based RIE. Therefore, an isotropic profile can be achieved between the two layers.
~ 3) To form a conformal coating of tungsten or molybdenum, CVD techniques are preferred over sputtering or evaporation techniques. In CVD of tungsten, tungsten reduction is induced from a tungsten hexafluoride (WF6) source gas. As a consequence, tungsten crystals form and grow on the underlying layers. The ability of these crystals to form and grow (i.e., nucleate) on the underlying layers is essential to provide a uniform film. The inventors have found that titanium nitride presents good nucleation sites for CVD tungsten or molybdenum~
(4) Titanium nitride has also been found to provide good barrier properties when incorporated ln a tungsten-titanium nitride stack.. In the case where tungsten is used as an interconnect structure or as a wiring plane, titanium nitride provides sufficient resistance against electromigration.
Moreover, titanium nitride prevents diffusion of species (e.g., silicon) from the underlying layers into the tungsten at processing temperatures of up to 1000C. Finally, as will be described in detail below, titanium nitride serves as a good barrier against fluorine penetration during CVD of tungsten.
(5) Finally, the overall resistance of the conductive stack should be ke~t as low as possible. This is particularly ~3~Ç;O~Z

true when considering the contact resistance of an interconnect stack. The inventors have found that a tungsten-titaniwn nitride conductive stack provides an extremely low contact resistance.

II. General Process Description As discussed above, the inventors have found that titanium nitride presents the con~ined factors of high adhesion, similar etch rate, good nucleation~ good diffusion prevention and low contact resistance when used in combination with a refractory metal layer. As such, the conductive stack of the invention can be used in a variety of applications, as will be discussed later.
A general description of the process for forming the conductive stack of the invention will now be given. The process begins with forming titanium nitride on a conventionally processed semiconductor substrate (i~e., a substrate having one or more diffusion regions or other structures previously formed therein or thereon, respectively). Two general techni~ues will be described for forming this layer. The first involves annealing a titani~n layer in a nitride forming ambient, so as to nitridize at least the top surface of the titanium layer. The second involves directly depositing a titanium nitride layer.
A first method of forming a titanium nitride layer involves forming a layer of titanium as discussed above and then ~3~6(~ 2 subjecting the same to annealing in nitrogen at a temperature of about 550~C to about 650C. Titanium can be formed by conventional evaporation, sputtering or CVD techni~ues. It is preferred that titanium be formed by sputtering from a Ti source in an argon atmosphere to a thickness of 300 A to 600 A; similar thicknesses would apply to the use of evaporation or CVD.
Generally speaking, an annealing temperature of at least about . - .
550C will be used.
As a consequence of the above procedure, at least the top surface of the titanium layer is nitrided~, generally to a thickness of about 150 A to about 300 A (i.e., one-half the total thickness of the T1 layer). `
The pressure of the anneal is not overly~important and is typicalIy in the order o about 1 atmosphere.

::
Times are merely selected to achieve the desired thickness of the nitrided layer, ~and a typical anneal will be on the order of 20 minutes at temp~rature.
There are, however, certain problems which can be encountered when sputtered titanium is sub;ected to a nitrogen anneal. For example, subsequent backside flaking of tungsten can be encountered and, in addition, the step coverage of tieanium may be often inadequate, especially if a thin titanlum film is used.

~3~

As an alternative to nitrogen annealing a titanium layer, typically a sputtered titanium layer, a second rnethod of forming titanium nitride involves low pressure CVD (LPCVD) or plasma enhanced CVD IPECVD) of a TiN alloy.
The reactions involved in LPCVD and PECVD can be characterized as follows twhere ~g) means gas and (s) means solid):

(1) TiC14 ig) + 1/2 N2 (g~ ~ 2H~ (g) ~ TiN (s) + 4HCl (g) (2) TiC14 ~g) ~ NH3 (g) + 1/2H2 (g)'~ TiN (s) + 4HCl (g) LPCVD and PECVD are conducted in a conventional manner and are generally conducted at a pressure of about 50 to about 500 mTorr, a temperature of about 350 to about 650C and at a deposition rate of about 150 to about 500 A/minute to yield a TiN
film about 300 to about 1,000 A thick.
The resulting TiN film will cover small contacts as well as the backside of the semiconductor wafer being processed.
In addition, titanium nitride could also be ormed by conventional reactive sputtering (i.e., introducing nitrogen into a titanium ar~on sputter ambient).
A final procedure which can be used to form a TiN layer is to use an NH3 titanium anneal instead of an N2 anneal. As ~3~ Z

earlier indicated, when an N~ anneal cycle is used for sputtered titanium to form TiN, generally speaking the temperature involved will be at least 550C. The inventors have found that an NH3 anneal cycle can be carried out at lower temperatures (e.g., about 400C to about 450C). An NH3 anneal cycle at low temperature permits the use of CVD oxide as an interlayer dielectric and eliminates the concern of oxide cracking and shorting at higher temperatures. In addition, the NH3 anneal cycle at low temperature is more compatible with aluminum metallurgies that may reflow at higher processing temperatures.
The NH3 reaction involved is as follows:

., ~
(3) 2Ti + 2NH3J9 2TiN + 3H2.

From a chemical standpoint, the chemisorption rate will be enhanced due to the polarity of NH3 as compared to N2. Further, more dissociative H will be produced with the use of NH3 and,~as a conse~uence, titanium oxidation should be reduced. Titanium oxidation can lead to high line resistance and contact resistance problems. The greater amount of hydrogen present should also reduce charge resistance and increase device performance.
Finally, the bonding energies for N2 and NH3 (both gaseous) are 9.9 and 3.3 eV, respectively. The lower bonding energy of NH3 ~3~

permits it to form "N" radicals such as NH2 ~ NH , etc., more easily. It is believed that the combination of higher chemisorption rate and lower bonding energy permits reaction (3) to occur at temperatures of up to about 150C lower than those required to generate titanium nitrization by use of an N2 anneal.
This also holds true for forming TiN by LPCVD or PECVD (i.e., reaction (2) should provide the same low temperature results).
As is the case with an N2 anneal cycle, typically the original titanium layer which is about 300 A to about 600 A thick will have the upper surface thereof converted to TiN to a c~ o thickness of about 150 A to about 30Q A during the course of an NH3 anneal cycle at about 400C to about 450C for 20 minutes at temperature.
After the TiN layer is formed using one of the above techniques, its upper surface is overcoated with CVD W. CVD W
provides major advantages to VLSI technology. Primarily it prevents electromigration, provides excellent metal spiking resistance, and possesses better hole fill and step coverage capabilities than does W formed by conventional sput~ering or evaporation ~echniques.
CVD W is conveniently formed by flowing SiH4 tlO0~200 sccm~
and WF6 (200-400 sccm) in the presence of hydrogen and a carrier ~3~

gas at 450C for a time sufficient to form a layer at least 0.7 ~m thick.
Since CVD W is formed using wF6 as a reactive source, i.e., a fluorine-rich deposition environment is involved, insulative non-volatile fluorine compounds may form which degrade the conductive and adhesive properties of underlying layers. For example, if tungsten silicide is the underl~ing layer, the fluorine content in the underlying layer tracks the sil~icon content in the silicide. If titanium is the underlying layer, the fluorine content is relatively high at the Ti/W interface and a significant amount of this fluorine penetrates into the layers beneath the titanium underlayer.
However, the inventors have found that when the underlayer is formed of titanium nitride, approximately two orders of magnitude less non-voIatile fluorine compounds are absorbed.
That is, an elemental analysis reveals that the peak fluorine content in a TiN underlayer is two orders of magnitude lower than the peak content in a Ti underlayer. Moreover, virtually no fluorine penetrates through the TiN layer to underlying layers.
At first the inventors thought that this phenomena was due to the TiN thermal cycIe. Several experiments were run wherein deposited titanium was annealed in an inert ambient (argon~
instead of nitrogen or ammonia, such that TiN was not ormed.

)6C3~Z

After tungsten deposition, the resulting fluorine content was approximately the same in annealed titanium as in unannealed titanium. Apparently it is the TiN lattice that prevents fluorine absorption and penetration to a much greater degree than does titanium.
This two orders of magnitude reduction in fluorine absorption may be the direct cause of the observed 2X improvement in W/TiN/Sio2 adhesion as compared to W/Ti/SiO2 adhesion. It has been repeatedly observed that a W/Ti/Si2 stack delaminates upon application of a 90 peel test (the force applied being on the order of 500 lb/in2). However, it has also been repeatedly observed that a W/TiN/Sio2 stack does not delaminate at this applied force. Other tests have confirmed that the adhesion o~
the TiN-based stack is on the order of 1000 lb/in2. This 2X
adhesion improvement is sufficient to make W-based metallurgy applicable to the conductive structures discussed below.
After the CVD W has been formed on the Ti or TiN, in some applications a "capping la~erl' should be provided on the W layer.
In general, after the W is formed in a deposition tool, the wafer is taken to another tool for subse~uent processing. It has been found that the W layer is extremely susceptible to foreign material penetration or oxidation as soon as the CVD gas flow is terminated within the deposition tool. Accordingly, it is ~ 31~ 2 preferred that a 50-100 A thick layer of WSi2 be formed in situ by greatly decreasing the WF6 flow to under 11 sccm while maintaining the SiH4 flow at approximately 200 sccm.
It has been found that this thin WSi2 film will resist oxidation and foreign material penetration to a much greater degree than will W alone. In practice, the WSi layer is subsequently removed prior to the next processiny step.
After removal of the WSi2 c,apping layer in a wet etchant that does not appraciably attack the underlyiny tungsten (e.g., nitric acid/ammonium fluoride), a conventional photosensitive polymer (e.g., a novolac resin) is deposited, exposed and developed to define the conductive structure. Then the layers are etched in a halogen-ba~ed RIE. In general, it has been found that while an anisotropic profile can be yenerated using fluorine-based chemistries such as CF 4/2 , the best results are achieved using a chlorine-based plasma such as C12/02. This plasma chemistry provides a combination of high etch rate, hlgh etch selectivity to the underlying glass and highly anisotropic sidewall etching.
A layer of nitride may be deposited on khe tungsten prior to depositing the photosensitive polymer. During metal etch in a ~3~

Cl2/O2 RIE, the photoresist may be totally removed. Since nitride has a high etch rate ratio to tungsten in C12/O2, the nitride forms a "non-erodible mask" that prevents erosion of the mask image. As an alternative, a thicker layer of resist may be used. As another alternative, a plurality of resist layers may be use.
A more specific description of the process for forming the conductive structures of the invention will be rendered with reference to the Example described below.

III. Applications The conductive structure as generally described above can be used in a variety of applications. These applications are shown in the accompanying drawing.
Fig. 1 shows a first embodiment of the invention, comprising an interconnecting stack 100 made up of a titanium nitride layer 40 and an overlying refractory metal layer 50, e.g., tungsten or molybdenum. The interconnecting stack 100 couples a lower conductive line 20 to an upper conductive line 200.
The structure of Fig. 1 is formed by depositing and patterning the conductive line 20 on the processed substrate 10, depositing a glass passivating layer 30, anisotropically etching the passivation la~er 30 to expose a portion of the underlying ~3~6~ 2 .
conductive line 20, forming layers of titanium nitride 30 and tungsten 40 as previously described, etching the films so that portions thereof above the surface of passivating layer 30 are removed, and depositing and patterning the upper conductive line 200 so that at least a portion thereof contacts the underlying interconnecting stack 100. Conventional metallurgy 25 is also shown.
Fig. 2 shows a second embodiment of the present invention in which the interconnecting stack 100A couples~ an overlying conductive line 20A to a gate electrode 300 of an underlying FET
device. The FET is defined by source and drain diffusion règions~
70, 80 that define a channel region having a gate insulator 90 and a conductive material 95 formed thereon. The conductlve material 9S can be formed of any convantional electrode material such as doped polysilicon or a refractory metal silicide. Note that both the stack 100A ànd the overlying conductive line 20A
are formed of the same layers. This is done by modifying the procedure discussed in Fig. 1. Instead of removing all of the layers above the surface of the passivation layer, a photolithographic mask can be formed and the tungsten 50 and the underlying titanium nitride 40 can be anisotropically etched in a halogen-based RIE such as C12/O2. Other numerals have the same meaning as in Fig. 1.

~3~60~;~

As previously discussed, since the W and TiN layers have approximately the same etch rate in this etchant, the resultiny conductive line will have substantially vertical sidewalls. The stack-conductive line combination has been found to provide low sheet resistance (which is needed for dense dynamic memory array applications~.
An example of the contact resistance improvement presented by TiN versus Ti will now be rendered with reference to Fig. 2.
~ea~urements have shown that the contact formed by the conductive stack lOOA has a contact resistance of 0.3-1.0 Q/contact.
Assuming each contact is approximately O.7 ~m x O.7 ~m, ~he contact resistance is equal to O.6-2.0 Q/~m2, When Ti is used instead of TiN, the contact resistance is approximately 1000-1300 Q/contact or 2K~2.6K Q/~m2. Thus, the TiN worst case - Ti best case difference is approximately 3 orders of magnitude.
While it is generally known that TiN can be more conductive than Ti, this enormous contact resistance differential was entirely unexpected. Based on the film studies previously described, it is believed that this contact resistance differential is due to the decrease in fluorine penetration in a TiN layer as opposed to a Ti layer.
Note also that the stack lOOA could be coupled to any conductive structure on the processed substrate (eOg., one of the .. . .

~3~

diffusions 70, 80)-instead of to the gate electrode 300 as shown in Fig. 2.
While the discussion to date has been in the context of an interconnection conductive structure, the stack of the present invention can also be used to form a stable metal gate electrode which exhibits low resistance and high stability. This gate electrode is shown in Fig. 3.
It is well accepted in the art that low resistance gate electrodes are required for dense VLSI circùits. For example, the use of thick refractory metal on a polysilicon electrode is known to reduce word line resistance. However, such arrangements will not withstand the high temperatures used in typical subsequent hot process steps (e.g., greater than 700C). This is due to the Lnteraction between the refractory metal and the polysilicon to form a silicide. While it is known in the art to decrease the gate electrode sheet res-istance by the use of silicides, such silicides still increase resistance by t~o times as compared to that achieved by metals. Moreover, silicide formation will degrade the yield of thin gate dielectrics. In other words, as the thickness of gate dielectrics is decreased so that lower voltages may be used, the dielectrics are more susceptible to damage induced by silicide dif fusing downward to the substrate durin~ the course of a silicide reaction.

~3~ 72 In` general, silicide is a good diffusion channel for P or N-type dopants. Moreover, the formation of thick silicide will also "soak out" dopant impurities from the underlying polysilicon. This causes interdiffusion of the dopants, limiting the use of P and N gate electrodes in CMOS circuits.
Accordingly, it has been highly desired in the art to obtain low resistance gate electrodes which can be formed at low temperatures.
Basically, the third embodiment of the present invention is directed to forming a temperature resistant, low sheet resistance g~te electrode. Due to the excellent contact resistance and barrier properties of TiN, it is preferred to initially Eorm a TiN layer at low temperature using any of the procedures earlier described. The TiN layer is formed prior to the deposition of any other metal films. The TiN layer will prevent Si diffusion into the w layer which is to be next formed thereon. The TiN
layer will thus prevent both the formation of any silicide and the out-diffusion of dopant impurities from the underlying polysilicon layer into the W layer.
A typical procedure is now described with reference to Fig.
3 which shows gate electrode 300A on a standard silicon substrate 10 having source and drain regions 70A and 80A. A thin (100 A or less) dielectric layer 90A (e.g., a combination of silicon ~3~

nitride and silicon oxide, and/or silicon oxynitride) is formed by any conventional procedure, e.g., CVD, wet oxidation and/or nitrization. Polysilicon layer 95A (P or N ) is then formed on the dielectric layer 90A by any conventional procedure. A thin layer of Ti 140 is formed by sputtering, to a thickness equal to or less than 30 nm, whereafter the structure is annealed, e.g., in NH3 at about 400C to ahout 500C for 30 to 60 minutes. This converts at least the upper surface of the sputtered Ti layer into a TiN layer 140. Similarly to the previous embodiments, the TiN layer can be formed using other techniques. Thereafter, the desired refractory metallurgy 160, e.g., W or Mo, more preferab]y W, can then be deposited. The layers are mas~ed and anisotropically etched in~ a halogen-based RIE. The result1ng W/TiN/polysilicon structure was found to be stable (i.e., no sili~ide formation) even after annealing at 1000C for 60 minutes. Moreover, the breakdown voltage of the thin dielectric was not degraded.
Having thus generally described the three structural embodiments of the invention, the following Example is offered to illustrate the invention in more detail.

~ 3~-~6~
Example 1 This Example relates to a process for forming an interconnection stack as shown in Fig. 2.
Titanium was sputtered onto conventionally processed silicon wafers to form a layer 50 1 10 nm thick. The Ti targets were sputtered by bombardment with argon ions in a chamber held at 10 mTorr and 4 KW. Then the titanium was nitridized by annealing in nitrogen gas at 550~C for approximately 20 minutes at temperature. The rPsulting TiN layer exhibited a sheet resistance on the order of 40 + 20 QJcm2.
Tungsten was then deposited by LPCVD. At first the deposition gases comprised SiH4 (140 sccm), WF6 (300 sccm), H2(2500 sccm~ and helium (100 sccm~ to deposit 0.15 ~m of tungsten; then the SiH4 flow was eliminated and the H2 flow was increased by 700 sccm to deposit 0.55 ~um of tungsten. Then, SiH4 at 180 sccm was reintroduced and the WF6 flow was reduced to approximately 10 sccm so as to deposit 50 A of WSi2. After the wafers were removed from the tungsten deposition tool, they were exposed to a 20:1 nitric acid/ammonium fluoride solution which removed the WSi2 cap without appreciably affecting the underlying tungsten layer.
After a 400 nm layer of nitride was deposited on the tungsten, a layer of AllO0 adhesion promoter (manufactured and ~31)~
sold by E.I. DuPont de Neumors Co. of Wilmington, Delaware) was spin-applied on top of the tungsten, and a novolac-based photoresist was spin-applied onto the AllO0.
The photoresist was comprised of a crosol-formaldehyde novolak resin and a tricyclodecanedia~oquinone sensitizer. Details regarding the composition, etc., of the photoresist are disclosed in U. S. Patent 4,3g7,937, issued August 9, 1983 and assigned to the assignee of the present invention. After the photoresist was exposed and developed, it was hardened by introduction of a silicon-containing agent (i.e., 10% by weight hexamethylcyclotrisilazane in xylene). This step increases the resistance of the photoresist to erosion induced by an 02-containing RIE. After the photoresist was hardened, exposed portions of the underlying nitride layer were removed by exposure to a combination of CHF
(75 sccm) and oxygen (lO sccm) gases with the etch chamber held at a power of 800 watts.
Using the combined photoresist-nitride layers as a mask, the exposed portions of the tungsten and the underlying titanium nitride were etched in a mixture of Cl2 (20 sccm) and 2 (18 sccm), with the etch chamber being held at 90 mTorr and 400 watts. Finally, the nitride mask was removed by exposure to a plasma gas comprised of NF
(44 sccm) and C02 (9 sccm).

~3~6~2 While the invention has been described in detail and with reference to specific embodiments thereof, it will be apparent to one skilled in the art that various changes and modifications can be made therein without departing from the spirit and scope thereof. -' . .

,: . .

Claims (24)

1. A conductive structure formed on a processed semiconductor substrate, comprising:
a first layer of patterned metallurgy;
a layer of dielectric on said first layer of patterned metallurgy, said layer of dielectric having an opening therein;
a continous layer of Ti-TiN in said opening in electrical contact with said first layer of patterned metallurgy, at least an upper half of said continous layer of Ti-TiN consisting of TiN;
a layer of chemically vapor deposited tungsten on said continuous layer of Ti-TiN within said opening in electrical contact with said continuous layer of Ti-TiN;
and a second layer of patterned metallurgy in electrical contact with said layer of refractory metal and, via said continuous layer of Ti-TiN, in electrical contact with said first layer of patterned metallurgy.
2. A conductive structure formed on a semiconductor substrate having a plurality of electrodes and difussion regions formed thereon, wherein a layer of a dielectric passivates said plurality of electrodes and diffusion regions on the substrate, said layer of a dielectric having an opening therein which exposes at least one of said plurality of electrodes and diffusion regions, comprising:
a continuous layer of Ti-TiN in electrical contact with an exposed one of said plurality of electrodes and diffusion regions, at least an upper half of said continuous layer of Ti-TiN consisting of TiN, and a layer of chemically vapor deposited tungsten on said continuous layer of Ti-TiN to thereby be in electrical contact with said exposed one of said plurality of electrodes and diffusion regions via said layer of Ti-TiN.

B??-86-011
3. The conductive structure of Claim 1 or Claim 2, wherein both of said continuous layer of Ti-TiN and said layer of chemically vapor deposited tungsten extend above said opening in said layer of dielectric to provide a patterned metallurgy layer on an upper surface of said layer of dielectric.
4. A conductive structure which overlays an area of a processed semiconductor substrate between first and second diffusion regions formed therein, comprising:
a dielectric layer on said processed semiconductor substrate;
a polysilicon layer on said dielectric layer;
a continuous layer of Ti-TiN disposed on said polysilicon layer, at least an upper half of said continuous layer Ti-TiN consisting of TiN;
a layer of chemically vapor deposited tungsten disposed on said continuous layer of Ti-TiN.
5. A process for forming a conductive structure on a processed semiconductor substrate with patterned metallurgy thereon which comprises:
forming a layer of a dielectric on said processed semiconductor substrate and said patterned mettalurgy;
forming an opening in said dielectric to expose a portion of said patterned metallurgy;
forming an exterior layer of TiN in said opening in electrical contact with said patterned metallurgy;
chemically vapor depositing a layer of tungsten on said layer of TiN within said opening; and forming a second layer of patterned metallurgy on said layer of dielectric in electrical contact with said layer of refractory metal.
6. The process of Claim 5, wherein said exterior layer of TiN is formed by sputtering a layer of Ti and thereafter annealing the layer of Ti in a nitride-forming B??-86-011 ambient to convert at least an exposed surface thereof to TiN.
7. The process of Claim 6, wherein said nitride-forming ambient is N2.
8. The process of Claim 6, wherein said nitride-forming ambient is NH3.
9. The process of Claim 5, wherein said exterior layer of TiN is formed by low pressure chemical vapor deposition.
10. The process of Claim 5, wherein said exterior layer of TiN is formed by plasma enhanced vapor deposition.
11. A process for forming a conductive structure on a semiconductor substrate having a plurality of electrodes and diffusion regions formed thereon, comprising:
forming a passivation layer on the semiconductor substrate;
etching an opening into said passivation layer so as to expose at least one of said plurality of electrodes and diffusion regions formed on said substrate;
forming a layer of TiN so that at least a portion thereof is disposed within said opening; and chemically vapor depositing a layer of a refractory metal on said layer of TiN to fill said opening.
12. The process of Claim 11, wherein said layer of TiN is formed by sputtering a layer of Ti and thereafter annealing the layer of Ti in a nitride-forming ambient to convert at least -the surface thereof to TiN.
13. The process of Claim 12, wherein said nitride-forming ambient is N2.

B??-86-011
14. The process of Claim 12, wherein said nitride-forming ambient is NH3.
15. The process of Claim 11, wherein said layer of TiN is formed by low pressure chemical vapor deposition.
16. The process of Claim 11, wherein said layer of TiN is formed by plasma enhanced chemical vapor deposition.
17. A process for forming a conductive structure overlying a portion of a semiconductor substrate disposed between first and second diffusion regions, comprising:
forming a thin dielectric layer on said substrate;
forming a polysilicon layer over said dielectric layer;
forming a layer of TiN over said polysilicon layer;
forming a layer of chemically vapor deposited tungsten over said TiN layer; and etching said layers to provide a structure having substantially vertical sidewalls.
18. The process of Claim 17, wherein said layer of TiN is formed by sputtering a layer of Ti and thereafter annealing the layer of Ti in a nitride-forming ambient to convert at least the surface thereof to TiN.
19. The process of Claim 18, wherein the nitride-forming ambient is N2.
20. The process of Claim 18, wherein the nitride-forming ambient is NH3.
21. The process of Claim 17, wherein the TiN layer is formed by low pressure chemical vapor deposition or by plasma enhanced chemical vapor deposition.

B??-86-011
22. The process of Claim 17, wherein the TiN layer is formed by reactive sputtering.
23. A process for forming a conductive structure on a processed semiconductor substrate, comprising the steps of:
forming a layer of Ti on -the processed semiconductor substrate;
annealing said layer of Ti in a nitrogen-containing atmosphere so as to convert at least an upper portion of said Ti layer into TiN;
chemically vapor depositing a layer of tungsten metal on said layer of TiN;
forming a photolithographic mask on said layer of a tungsten metal; and etching portions of said tungsten refractory metal layer and said TiN layer exposed by said mask in a chlorine-based plasma so as to form a conductive structure having substantially vertical sidewalls.
24. A conductive structure formed on a processed semiconductor substrate, comprising a thin continuous layer of Ti-TiN formed on the substrate and a thick layer of chemically vapor deposited tungsten metal on the thin continuous layer of Ti-TiN, at least an upper half of said layer of Ti-TiN consisting of TiN.
CA000556673A 1987-03-30 1988-01-15 Refractory metal - titanium nitride conductive structures and processes for forming the same Expired - Lifetime CA1306072C (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US3180887A 1987-03-30 1987-03-30
US031,808 1987-03-30

Publications (1)

Publication Number Publication Date
CA1306072C true CA1306072C (en) 1992-08-04

Family

ID=21861503

Family Applications (1)

Application Number Title Priority Date Filing Date
CA000556673A Expired - Lifetime CA1306072C (en) 1987-03-30 1988-01-15 Refractory metal - titanium nitride conductive structures and processes for forming the same

Country Status (4)

Country Link
US (1) US5760475A (en)
EP (1) EP0284794A1 (en)
JP (1) JPH0732156B2 (en)
CA (1) CA1306072C (en)

Families Citing this family (41)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH01239971A (en) * 1988-03-22 1989-09-25 Sharp Corp Manufacture of semiconductor device
GB2229575B (en) * 1989-03-22 1993-05-12 Intel Corp Method of reducing hot-electron degradation in semiconductor devices
DE68920025T2 (en) * 1989-03-24 1995-06-22 Sgs Thomson Microelectronics Method of making a contact / VIA.
US4999317A (en) * 1989-09-29 1991-03-12 At&T Bell Laboratories Metallization processing
US5084415A (en) * 1989-10-23 1992-01-28 At&T Bell Laboratories Metallization processing
US5478780A (en) * 1990-03-30 1995-12-26 Siemens Aktiengesellschaft Method and apparatus for producing conductive layers or structures for VLSI circuits
EP0448763A1 (en) * 1990-03-30 1991-10-02 Siemens Aktiengesellschaft Process and apparatus for manufacturing conductive layers or structures for highly integrated circuits
US5164333A (en) * 1990-06-19 1992-11-17 Siemens Aktiengesellschaft Method for manufacturing a multi-layer gate electrode for a mos transistor
DE69116058T2 (en) * 1990-09-27 1996-08-22 At & T Corp Process for manufacturing integrated circuits
US5089438A (en) * 1991-04-26 1992-02-18 At&T Bell Laboratories Method of making an article comprising a TiNx layer
KR100320364B1 (en) * 1993-03-23 2002-04-22 가와사키 마이크로 엘렉트로닉스 가부시키가이샤 Metal wiring and its formation method
US5656519A (en) * 1995-02-14 1997-08-12 Nec Corporation Method for manufacturing salicide semiconductor device
SG54456A1 (en) 1996-01-12 1998-11-16 Hitachi Ltd Semconductor integrated circuit device and method for manufacturing the same
US6174821B1 (en) 1996-05-09 2001-01-16 Micron Technology, Inc. Semiconductor processing method of depositing polysilicon
JP3220034B2 (en) * 1996-12-26 2001-10-22 株式会社東芝 Semiconductor device and manufacturing method thereof
EP0856877A1 (en) * 1997-01-31 1998-08-05 Texas Instruments Incorporated Process for forming integrated circuits using multistep plasma etching
US5846871A (en) * 1997-08-26 1998-12-08 Lucent Technologies Inc. Integrated circuit fabrication
US6261934B1 (en) 1998-03-31 2001-07-17 Texas Instruments Incorporated Dry etch process for small-geometry metal gates over thin gate dielectric
US6127217A (en) * 1998-05-28 2000-10-03 Altera Corporation Method of forming highly resistive interconnects
US6265746B1 (en) * 1998-05-28 2001-07-24 Altera Corporation Highly resistive interconnects
KR20000042860A (en) * 1998-12-28 2000-07-15 김영환 Method for forming metal wiring of semiconductor device
JP3277909B2 (en) * 1999-02-08 2002-04-22 日本電気株式会社 Semiconductor device and manufacturing method thereof
US6653222B2 (en) * 1999-08-03 2003-11-25 International Business Machines Corporation Plasma enhanced liner
US6177316B1 (en) * 1999-10-05 2001-01-23 Advanced Micro Devices, Inc. Post barrier metal contact implantation to minimize out diffusion for NAND device
US6337274B1 (en) 1999-12-06 2002-01-08 Micron Technology, Inc. Methods of forming buried bit line memory circuitry
US6245631B1 (en) 1999-12-06 2001-06-12 Micron Technology, Inc. Method of forming buried bit line memory circuitry and semiconductor processing method of forming a conductive line
US6548389B2 (en) * 2000-04-03 2003-04-15 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method for fabricating the same
US6833329B1 (en) * 2000-06-22 2004-12-21 Micron Technology, Inc. Methods of forming oxide regions over semiconductor substrates
US6686298B1 (en) 2000-06-22 2004-02-03 Micron Technology, Inc. Methods of forming structures over semiconductor substrates, and methods of forming transistors associated with semiconductor substrates
US6649543B1 (en) 2000-06-22 2003-11-18 Micron Technology, Inc. Methods of forming silicon nitride, methods of forming transistor devices, and transistor devices
US6660657B1 (en) * 2000-08-07 2003-12-09 Micron Technology, Inc. Methods of incorporating nitrogen into silicon-oxide-containing layers
US6426558B1 (en) 2001-05-14 2002-07-30 International Business Machines Corporation Metallurgy for semiconductor devices
US6878585B2 (en) 2001-08-29 2005-04-12 Micron Technology, Inc. Methods of forming capacitors
US6723599B2 (en) * 2001-12-03 2004-04-20 Micron Technology, Inc. Methods of forming capacitors and methods of forming capacitor dielectric layers
AU2003273328A1 (en) * 2002-09-18 2004-04-08 Infineon Technologies Ag Nitride and polysilicon interface with titanium layer
KR100528069B1 (en) * 2003-09-02 2005-11-15 동부아남반도체 주식회사 Semiconductor Device And Method For Manufacturing The Same
KR100561523B1 (en) * 2003-12-31 2006-03-16 동부아남반도체 주식회사 Method for forming Al interconnect
KR100628242B1 (en) * 2004-06-24 2006-09-26 동부일렉트로닉스 주식회사 Method for fabricating barrier layer of semiconductor device
KR100602087B1 (en) * 2004-07-09 2006-07-14 동부일렉트로닉스 주식회사 Semiconductor device and method of manufacturing the same
KR100618855B1 (en) * 2004-08-02 2006-09-01 삼성전자주식회사 Method of forming metal contact structure and method of fabricating phase-change memory using the same
JP2018152413A (en) 2017-03-10 2018-09-27 株式会社東芝 Semiconductor device and method of manufacturing the same

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3879746A (en) * 1972-05-30 1975-04-22 Bell Telephone Labor Inc Gate metallization structure
US4560421A (en) * 1980-10-02 1985-12-24 Tokyo Shibaura Denki Kabushiki Kaisha Semiconductor device and method of manufacturing the same
JPS5830147A (en) * 1981-08-18 1983-02-22 Toshiba Corp Semiconductor device
JPS5948923A (en) * 1982-09-14 1984-03-21 Toshiba Corp Manufacture of semiconductor device
JPS5982746A (en) * 1982-11-04 1984-05-12 Toshiba Corp Electrode wiring method of semiconductor device
US4570328A (en) * 1983-03-07 1986-02-18 Motorola, Inc. Method of producing titanium nitride MOS device gate electrode
JPS59175763A (en) * 1983-03-25 1984-10-04 Fujitsu Ltd Semiconductor device
DE3314879A1 (en) * 1983-04-25 1984-10-25 Siemens AG, 1000 Berlin und 8000 München METHOD FOR PRODUCING STABLE, LOW-RESISTANT CONTACTS IN INTEGRATED SEMICONDUCTOR CIRCUITS
JPS605560A (en) * 1983-06-23 1985-01-12 Fujitsu Ltd Semiconductor device
US4513905A (en) * 1983-07-29 1985-04-30 The Perkin-Elmer Corporation Integrated circuit metallization technique
JPS60115245A (en) * 1983-11-28 1985-06-21 Toshiba Corp Manufacture of semiconductor device
US4640004A (en) * 1984-04-13 1987-02-03 Fairchild Camera & Instrument Corp. Method and structure for inhibiting dopant out-diffusion
US4566026A (en) * 1984-04-25 1986-01-21 Honeywell Inc. Integrated circuit bimetal layer
FR2566181B1 (en) * 1984-06-14 1986-08-22 Commissariat Energie Atomique METHOD FOR SELF-POSITIONING OF AN INTERCONNECTION LINE ON AN ELECTRIC CONTACT HOLE OF AN INTEGRATED CIRCUIT
US4720908A (en) * 1984-07-11 1988-01-26 Texas Instruments Incorporated Process for making contacts and interconnects for holes having vertical sidewalls
GB2164491B (en) * 1984-09-14 1988-04-07 Stc Plc Semiconductor devices
JPS61102059A (en) * 1984-10-25 1986-05-20 Nec Corp Semiconductor device
JPH0770500B2 (en) * 1985-03-18 1995-07-31 株式会社日立製作所 Electrode / wiring manufacturing method
US4804636A (en) * 1985-05-01 1989-02-14 Texas Instruments Incorporated Process for making integrated circuits having titanium nitride triple interconnect
US4931411A (en) * 1985-05-01 1990-06-05 Texas Instruments Incorporated Integrated circuit process with TiN-gate transistor
US5010032A (en) * 1985-05-01 1991-04-23 Texas Instruments Incorporated Process for making CMOS device with both P+ and N+ gates including refractory metal silicide and nitride interconnects
US5278099A (en) * 1985-05-13 1994-01-11 Kabushiki Kaisha Toshiba Method for manufacturing a semiconductor device having wiring electrodes
JPS6235538A (en) * 1985-08-09 1987-02-16 Agency Of Ind Science & Technol Semiconductor device
JPS6243175A (en) * 1985-08-20 1987-02-25 Seiko Epson Corp Manufacture of semiconductor device
JPS6243176A (en) * 1985-08-20 1987-02-25 Seiko Epson Corp Manufacture of semiconductor device
US4944836A (en) * 1985-10-28 1990-07-31 International Business Machines Corporation Chem-mech polishing method for producing coplanar metal/insulator films on a substrate
US4789648A (en) * 1985-10-28 1988-12-06 International Business Machines Corporation Method for producing coplanar multi-level metal/insulator films on a substrate and for forming patterned conductive lines simultaneously with stud vias
US4824802A (en) * 1986-02-28 1989-04-25 General Electric Company Method of filling interlevel dielectric via or contact holes in multilevel VLSI metallization structures
JP2577355B2 (en) * 1986-06-10 1997-01-29 株式会社東芝 Method for manufacturing semiconductor device
DE3777538D1 (en) * 1986-11-10 1992-04-23 American Telephone & Telegraph Tungsten Metallization.
US4924295A (en) * 1986-11-28 1990-05-08 Siemens Aktiengesellschaft Integrated semi-conductor circuit comprising at least two metallization levels composed of aluminum or aluminum compounds and a method for the manufacture of same
US4960732A (en) * 1987-02-19 1990-10-02 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
US4884123A (en) * 1987-02-19 1989-11-28 Advanced Micro Devices, Inc. Contact plug and interconnect employing a barrier lining and a backfilled conductor material
US5008730A (en) * 1988-10-03 1991-04-16 International Business Machines Corporation Contact stud structure for semiconductor devices

Also Published As

Publication number Publication date
US5760475A (en) 1998-06-02
EP0284794A1 (en) 1988-10-05
JPH0732156B2 (en) 1995-04-10
JPS6427243A (en) 1989-01-30

Similar Documents

Publication Publication Date Title
CA1306072C (en) Refractory metal - titanium nitride conductive structures and processes for forming the same
US7443032B2 (en) Memory device with chemical vapor deposition of titanium for titanium silicide contacts
JP2915828B2 (en) Semiconductor wiring structure and method of manufacturing the same
US20030124845A1 (en) Local interconnect structures and methods for making the same
EP0653790A1 (en) Conductive layer for semiconductor device
JPH0736403B2 (en) How to attach refractory metal
JP3175721B2 (en) Method for manufacturing semiconductor device
US6566211B2 (en) Surface modified interconnects
KR100382539B1 (en) Method for forming electrode protection layer of semiconductor device
EP0472804A2 (en) Copper-semiconductor compounds capable of being produced at room temperature
KR100363013B1 (en) Method For Manufacturing Metal Pattern For Semiconductor Device
US6433434B1 (en) Apparatus having a titanium alloy layer
US4680854A (en) Forming low resistivity hillock free conductors in VLSI devices
US20020043722A1 (en) Semiconductor device and method of manufacturing the same
US6355983B2 (en) Surface modified interconnects
KR100290467B1 (en) Method of forming a metal barrier film in a semiconductor device
US6855630B1 (en) Method for making contact with a doping region of a semiconductor component
JPH01160009A (en) Manufacture of semiconductor device
JP3249444B2 (en) Method for manufacturing semiconductor device
JPH06112157A (en) Semiconductor device and manufacture therefor
KR0157876B1 (en) Method of fabricating wire of semiconductor device
JPH0513366A (en) Method of wiring for contact
JPH06232051A (en) Formation of ohmic contact
JPH05152297A (en) Semiconductor device and its manufacture
JPS63132456A (en) Method of forming barrier layer in metallizing treatment of silicon semiconductor

Legal Events

Date Code Title Description
MKLA Lapsed
MKEC Expiry (correction)

Effective date: 20121205