CA2082771A1 - Method for Forming Interconnect Structures for Integrated Circuits - Google Patents

Method for Forming Interconnect Structures for Integrated Circuits

Info

Publication number
CA2082771A1
CA2082771A1 CA2082771A CA2082771A CA2082771A1 CA 2082771 A1 CA2082771 A1 CA 2082771A1 CA 2082771 A CA2082771 A CA 2082771A CA 2082771 A CA2082771 A CA 2082771A CA 2082771 A1 CA2082771 A1 CA 2082771A1
Authority
CA
Canada
Prior art keywords
layer
metal
interconnect
trenches
conformal
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CA2082771A
Other languages
French (fr)
Other versions
CA2082771C (en
Inventor
Vu Quoc Ho
Gurvinder Jolly
Ismail T. Emesh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nortel Networks Ltd
Original Assignee
Northern Telecom Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Northern Telecom Ltd filed Critical Northern Telecom Ltd
Priority to CA002082771A priority Critical patent/CA2082771C/en
Priority to US07/974,760 priority patent/US5354712A/en
Publication of CA2082771A1 publication Critical patent/CA2082771A1/en
Application granted granted Critical
Publication of CA2082771C publication Critical patent/CA2082771C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Abstract

A method is provided for forming interconnect structures for ULSI integrated circuits. Preferably, a barrier layer of a conductive material which forms a seed layer for metal deposition is provided selectively on the sidewalls and bottom of interconnect trenches defined in a dielectric layer, and a conformal layer of metal is selectively deposited on the barrier layer within the interconnect trench. Advantageously, the metal layer forming interconnect comprises a layer of copper which is deposited by chemical vapour deposition from an organo-metallic precursor at low temperature. Etching back and planarization of the barrier layer and the metal layer is accomplished by chemical mechanical polishing. Second and subsequent levels of metallization are provided by repeating the process steps, as required, to provide another dielectric layer defining interconnect trenches, selectively lining the trenches with a conformal barrier layer and then filling the trenches with selective deposition of a conformal conductive layer of metal, with planarization of the resulting conformal layers by chemical mechanical polishing. Preferably, via holes forming contacts to underlying device structures are filled with copper or tungsten.
CA002082771A 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits Expired - Fee Related CA2082771C (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
CA002082771A CA2082771C (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits
US07/974,760 US5354712A (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CA002082771A CA2082771C (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits
US07/974,760 US5354712A (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits

Publications (2)

Publication Number Publication Date
CA2082771A1 true CA2082771A1 (en) 1994-05-13
CA2082771C CA2082771C (en) 1998-02-10

Family

ID=25675661

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002082771A Expired - Fee Related CA2082771C (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits

Country Status (2)

Country Link
US (1) US5354712A (en)
CA (1) CA2082771C (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0798778A2 (en) * 1996-03-25 1997-10-01 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device of multilayer wire structure
US8796041B2 (en) 2009-08-28 2014-08-05 International Business Machines Corporation Pillar-based interconnects for magnetoresistive random access memory

Families Citing this family (229)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6043563A (en) * 1997-05-06 2000-03-28 Formfactor, Inc. Electronic components with terminals and spring contact elements extending from areas which are remote from the terminals
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5604158A (en) * 1993-03-31 1997-02-18 Intel Corporation Integrated tungsten/tungsten silicide plug process
US6624648B2 (en) 1993-11-16 2003-09-23 Formfactor, Inc. Probe card assembly
US6246247B1 (en) 1994-11-15 2001-06-12 Formfactor, Inc. Probe card assembly and kit, and methods of using same
US6482013B2 (en) 1993-11-16 2002-11-19 Formfactor, Inc. Microelectronic spring contact element and electronic component having a plurality of spring contact elements
US7579269B2 (en) * 1993-11-16 2009-08-25 Formfactor, Inc. Microelectronic spring contact elements
US6727580B1 (en) 1993-11-16 2004-04-27 Formfactor, Inc. Microelectronic spring contact elements
US20020053734A1 (en) 1993-11-16 2002-05-09 Formfactor, Inc. Probe card assembly and kit, and methods of making same
US7073254B2 (en) 1993-11-16 2006-07-11 Formfactor, Inc. Method for mounting a plurality of spring contact elements
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5429989A (en) * 1994-02-03 1995-07-04 Motorola, Inc. Process for fabricating a metallization structure in a semiconductor device
KR0147682B1 (en) * 1994-05-24 1998-11-02 구본준 Method for manufacturing metal wiring of semiconductor device
KR0138305B1 (en) * 1994-11-30 1998-06-01 김광호 Forming method of wiring in semiconductor device
US5625231A (en) * 1995-03-10 1997-04-29 Advanced Micro Devices, Inc. Low cost solution to high aspect ratio contact/via adhesion layer application for deep sub-half micrometer back-end-of line technology
US5856707A (en) * 1995-09-11 1999-01-05 Stmicroelectronics, Inc. Vias and contact plugs with an aspect ratio lower than the aspect ratio of the structure in which they are formed
US5714418A (en) * 1995-11-08 1998-02-03 Intel Corporation Diffusion barrier for electrical interconnects in an integrated circuit
US6483328B1 (en) * 1995-11-09 2002-11-19 Formfactor, Inc. Probe card for probing wafers with raised contact elements
US5670425A (en) * 1995-11-09 1997-09-23 Lsi Logic Corporation Process for making integrated circuit structure comprising local area interconnects formed over semiconductor substrate by selective deposition on seed layer in patterned trench
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6077781A (en) 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
US5707893A (en) * 1995-12-01 1998-01-13 International Business Machines Corporation Method of making a circuitized substrate using two different metallization processes
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US8033838B2 (en) 1996-02-21 2011-10-11 Formfactor, Inc. Microelectronic contact structure
US6083831A (en) * 1996-03-26 2000-07-04 Micron Technology, Inc. Semiconductor processing method of forming a contact pedestal, of forming a storage node of a capacitor
US5976970A (en) * 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
US5950099A (en) * 1996-04-09 1999-09-07 Kabushiki Kaisha Toshiba Method of forming an interconnect
US5998295A (en) * 1996-04-10 1999-12-07 Altera Corporation Method of forming a rough region on a substrate
US5940729A (en) * 1996-04-17 1999-08-17 International Business Machines Corp. Method of planarizing a curved substrate and resulting structure
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
KR100186509B1 (en) * 1996-05-16 1999-04-15 문정환 Method of forming metal interconnector in semiconductor device
KR100215846B1 (en) * 1996-05-16 1999-08-16 구본준 Method for forming interconnector of semiconductor device
US5948700A (en) * 1996-05-20 1999-09-07 Chartered Semiconductor Manufacturing Ltd. Method of planarization of an intermetal dielectric layer using chemical mechanical polishing
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US5851913A (en) * 1996-06-05 1998-12-22 Advanced Micro Devices, Inc. Method for forming a multilevel interconnect structure of an integrated circuit by a single via etch and single fill process
US5663108A (en) * 1996-06-13 1997-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Optimized metal pillar via process
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6115233A (en) * 1996-06-28 2000-09-05 Lsi Logic Corporation Integrated circuit device having a capacitor with the dielectric peripheral region being greater than the dielectric central region
JPH1064902A (en) * 1996-07-12 1998-03-06 Applied Materials Inc Method for film formation of aluminum material and device therefor
US5693563A (en) 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US5956612A (en) * 1996-08-09 1999-09-21 Micron Technology, Inc. Trench/hole fill processes for semiconductor fabrication
JP3516558B2 (en) * 1996-08-26 2004-04-05 シャープ株式会社 Method for manufacturing semiconductor device
US5916453A (en) * 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US6001420A (en) 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US6121129A (en) * 1997-01-15 2000-09-19 International Business Machines Corporation Method of contact structure formation
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6520778B1 (en) 1997-02-18 2003-02-18 Formfactor, Inc. Microelectronic contact structures, and methods of making same
US7943505B2 (en) * 1997-03-14 2011-05-17 Micron Technology, Inc. Advanced VLSI metallization
US6139905A (en) * 1997-04-11 2000-10-31 Applied Materials, Inc. Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
WO1998048453A1 (en) 1997-04-23 1998-10-29 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US7714235B1 (en) 1997-05-06 2010-05-11 Formfactor, Inc. Lithographically defined microelectronic contact structures
US6605197B1 (en) * 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
KR100577131B1 (en) * 1997-05-15 2006-05-10 폼팩터, 인크. Microelectronic contact structure and the production and use method thereof
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6197685B1 (en) * 1997-07-11 2001-03-06 Matsushita Electronics Corporation Method of producing multilayer wiring device with offset axises of upper and lower plugs
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US5904569A (en) * 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
JP2001516970A (en) * 1997-09-18 2001-10-02 シーブイシー プロダクツ、インコーポレイテッド Method and apparatus for interconnect fabrication of high performance integrated circuits
US6479443B1 (en) 1997-10-21 2002-11-12 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of copper film
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
US6165956A (en) * 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6593282B1 (en) 1997-10-21 2003-07-15 Lam Research Corporation Cleaning solutions for semiconductor substrates after polishing of copper film
US5976928A (en) * 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
JP4947834B2 (en) * 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド Damage-free coating engraving deposition method
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6706623B1 (en) * 1997-12-10 2004-03-16 Texas Instruments Incorporated Method and system for avoiding plasma etch damage
TW356583B (en) * 1997-12-19 1999-04-21 United Microelectronics Corp Barrier layer forming method
US6069078A (en) * 1997-12-30 2000-05-30 Utmc Microelectronic Systems Inc. Multi-level interconnect metallization technique
US6028004A (en) * 1998-01-06 2000-02-22 International Business Machines Corporation Process for controlling the height of a stud intersecting an interconnect
US6261941B1 (en) * 1998-02-12 2001-07-17 Georgia Tech Research Corp. Method for manufacturing a multilayer wiring substrate
US6807734B2 (en) * 1998-02-13 2004-10-26 Formfactor, Inc. Microelectronic contact structures, and methods of making same
US5976967A (en) * 1998-02-13 1999-11-02 Texas Instruments - Acer Incorporated Dual damascene process for multi-level metallization and interconnection structure
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US5980657A (en) * 1998-03-10 1999-11-09 Micron Technology, Inc. Alloy for enhanced filling of high aspect ratio dual damascene structures
US6316356B1 (en) 1998-03-10 2001-11-13 Micron Technology, Inc. Thermal processing of metal alloys for an improved CMP process in integrated circuit fabrication
KR100287173B1 (en) * 1998-03-13 2001-06-01 윤종용 Method for removing photoresist and method for manufacturing semiconductor device using the same
JP4304547B2 (en) * 1998-03-20 2009-07-29 キヤノンアネルバ株式会社 Single wafer CVD apparatus and single wafer CVD method
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
JPH11312680A (en) * 1998-04-30 1999-11-09 Nec Corp Forming method of wiring
EP1091024A4 (en) * 1998-04-30 2006-03-22 Ebara Corp Method and device for plating substrate
US6461675B2 (en) * 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
KR100451492B1 (en) * 1998-07-13 2004-12-14 주식회사 하이닉스반도체 Contact hole formation method of semiconductor device
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6271131B1 (en) * 1998-08-26 2001-08-07 Micron Technology, Inc. Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
US6323081B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6239028B1 (en) 1998-09-03 2001-05-29 Micron Technology, Inc. Methods for forming iridium-containing films on substrates
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
WO2000016393A1 (en) * 1998-09-17 2000-03-23 Atmel Corporation Method of forming interconnects using selective deposition
US6255192B1 (en) 1998-09-29 2001-07-03 Conexant Systems, Inc. Methods for barrier layer formation
US6169024B1 (en) 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
JP3180779B2 (en) 1998-10-05 2001-06-25 日本電気株式会社 Method for manufacturing semiconductor device
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6204176B1 (en) * 1998-11-10 2001-03-20 Sharp Laboratories Of America, Inc. Substituted phenylethylene precursor deposition method
JP2000150647A (en) * 1998-11-11 2000-05-30 Sony Corp Wiring structure and its manufacture
US6127282A (en) * 1998-11-12 2000-10-03 Advanced Micro Devices, Inc. Method for removing copper residue from surfaces of a semiconductor wafer
US6184137B1 (en) 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
TW589453B (en) * 1998-12-02 2004-06-01 Formfactor Inc Lithographic contact elements
US6491968B1 (en) 1998-12-02 2002-12-10 Formfactor, Inc. Methods for making spring interconnect structures
US6268015B1 (en) 1998-12-02 2001-07-31 Formfactor Method of making and using lithographic contact springs
US6672875B1 (en) 1998-12-02 2004-01-06 Formfactor, Inc. Spring interconnect structures
US6255126B1 (en) * 1998-12-02 2001-07-03 Formfactor, Inc. Lithographic contact elements
JP2000183067A (en) * 1998-12-18 2000-06-30 Rohm Co Ltd Manufacture of semiconductor device
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
JP3708732B2 (en) * 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6291339B1 (en) 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Bilayer interlayer dielectric having a substantially uniform composite interlayer dielectric constant over pattern features of varying density and method of making the same
US6417090B1 (en) 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6287968B1 (en) * 1999-01-04 2001-09-11 Advanced Micro Devices, Inc. Method of defining copper seed layer for selective electroless plating processing
US6153514A (en) * 1999-01-04 2000-11-28 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6291887B1 (en) 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6207576B1 (en) 1999-01-05 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide etch stop layer
US6235628B1 (en) 1999-01-05 2001-05-22 Advanced Micro Devices, Inc. Method of forming dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide middle etch stop layer
US6255735B1 (en) 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
JP2002534807A (en) 1999-01-08 2002-10-15 アプライド マテリアルズ インコーポレイテッド Method for depositing copper seed layer to promote improved feature surface coverage
US6207577B1 (en) 1999-01-27 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer
US6380091B1 (en) 1999-01-27 2002-04-30 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6228760B1 (en) 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6245655B1 (en) 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6221763B1 (en) 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6329286B1 (en) 1999-04-27 2001-12-11 Micron Technology, Inc. Methods for forming conformal iridium layers on substrates
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6627542B1 (en) 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
US6328871B1 (en) * 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes
US6413854B1 (en) 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
US7695644B2 (en) 1999-08-27 2010-04-13 Shocking Technologies, Inc. Device applications for voltage switchable dielectric material having high aspect ratio particles
AU6531600A (en) 1999-08-27 2001-03-26 Lex Kosowsky Current carrying structure using voltage switchable dielectric material
US7446030B2 (en) * 1999-08-27 2008-11-04 Shocking Technologies, Inc. Methods for fabricating current-carrying structures using voltage switchable dielectric materials
US7825491B2 (en) 2005-11-22 2010-11-02 Shocking Technologies, Inc. Light-emitting device using voltage switchable dielectric material
US7105434B2 (en) 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6207558B1 (en) * 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US6261954B1 (en) * 2000-02-10 2001-07-17 Chartered Semiconductor Manufacturing, Ltd. Method to deposit a copper layer
TW441019B (en) * 2000-02-23 2001-06-16 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6674167B1 (en) 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
JP4023076B2 (en) * 2000-07-27 2007-12-19 富士通株式会社 Front and back conductive substrate and manufacturing method thereof
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6660631B1 (en) 2000-08-31 2003-12-09 Micron Technology, Inc. Devices containing platinum-iridium films and methods of preparing such films and devices
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
KR100366632B1 (en) * 2000-10-10 2003-01-09 삼성전자 주식회사 Semiconductor device for suppressing detachment of conducting layer and method thereof
DE10053467A1 (en) * 2000-10-27 2002-05-16 Infineon Technologies Ag Forming contacts in ICs involves chemically-mechanically polishing structure resulting from applying mask layer, forming opening, etching contact hole, applying liner, contact material
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6433402B1 (en) * 2000-11-16 2002-08-13 Advanced Micro Devices, Inc. Selective copper alloy deposition
US6613664B2 (en) * 2000-12-28 2003-09-02 Infineon Technologies Ag Barbed vias for electrical and mechanical connection between conductive layers in semiconductor devices
US6486049B2 (en) * 2001-04-30 2002-11-26 Motorola, Inc. Method of fabricating semiconductor devices with contact studs formed without major polishing defects
JP3530149B2 (en) * 2001-05-21 2004-05-24 新光電気工業株式会社 Wiring board manufacturing method and semiconductor device
KR100531419B1 (en) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 semiconductor device and method for fabricating the same
US6521523B2 (en) * 2001-06-15 2003-02-18 Silicon Integrated Systems Corp. Method for forming selective protection layers on copper interconnects
JP2003152165A (en) * 2001-11-15 2003-05-23 Fujitsu Ltd Semiconductor device and manufacturing method thereof
US6743641B2 (en) * 2001-12-20 2004-06-01 Micron Technology, Inc. Method of improving surface planarity prior to MRAM bit material deposition
US6797620B2 (en) * 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US7265565B2 (en) 2003-02-04 2007-09-04 Microfabrica Inc. Cantilever microprobes for contacting electronic components and methods for making such probes
US7273812B2 (en) * 2002-05-07 2007-09-25 Microfabrica Inc. Microprobe tips and methods for making
US7412767B2 (en) * 2003-02-04 2008-08-19 Microfabrica, Inc. Microprobe tips and methods for making
US20060053625A1 (en) * 2002-05-07 2006-03-16 Microfabrica Inc. Microprobe tips and methods for making
US7363705B2 (en) * 2003-02-04 2008-04-29 Microfabrica, Inc. Method of making a contact
US20060051948A1 (en) * 2003-02-04 2006-03-09 Microfabrica Inc. Microprobe tips and methods for making
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20040082169A1 (en) * 2002-10-29 2004-04-29 Chartered Semiconductor Manufacturing Ltd. Deposition of barrier metal in damascene interconnects using metal carbonyl
US6878620B2 (en) * 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US7122760B2 (en) * 2002-11-25 2006-10-17 Formfactor, Inc. Using electric discharge machining to manufacture probes
US6945827B2 (en) * 2002-12-23 2005-09-20 Formfactor, Inc. Microelectronic contact structure
US20080211524A1 (en) * 2003-02-04 2008-09-04 Microfabrica Inc. Electrochemically Fabricated Microprobes
US10416192B2 (en) 2003-02-04 2019-09-17 Microfabrica Inc. Cantilever microprobes for contacting electronic components
KR100515058B1 (en) * 2003-03-31 2005-09-14 삼성전자주식회사 Methods of forming semiconductor device having metal patterns
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US20080108221A1 (en) * 2003-12-31 2008-05-08 Microfabrica Inc. Microprobe Tips and Methods for Making
JP4339152B2 (en) * 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 Method for forming wiring structure
TWI231960B (en) * 2004-05-31 2005-05-01 Mosel Vitelic Inc Method of forming films in the trench
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
KR100613346B1 (en) * 2004-12-15 2006-08-21 동부일렉트로닉스 주식회사 Semiconductor device and manufacturing method thereof
KR20060077353A (en) * 2004-12-30 2006-07-05 삼성전자주식회사 Slurry composition, method for polishing an object and method for forming a contact of a semiconductor device using the slurry composition
KR100705397B1 (en) * 2005-07-13 2007-04-10 삼성전자주식회사 Method of forming tungsten layer having low resistance
KR20080084812A (en) 2005-11-22 2008-09-19 쇼킹 테크놀로지스 인코포레이티드 Semiconductor devices including voltage switchable materials for over-voltage protection
UA90089C2 (en) * 2006-02-08 2010-04-12 Григорий БЕРЕЗИН Method for production of coke from the non-coking ranks of coal and the apparatus for its realization
US8258057B2 (en) * 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US20070281476A1 (en) * 2006-06-02 2007-12-06 Lavoie Adrien R Methods for forming thin copper films and structures formed thereby
US7981325B2 (en) 2006-07-29 2011-07-19 Shocking Technologies, Inc. Electronic device for voltage switchable dielectric material having high aspect ratio particles
EP2084748A4 (en) 2006-09-24 2011-09-28 Shocking Technologies Inc Formulations for voltage switchable dielectric material having a stepped voltage response and methods for making the same
KR20080061030A (en) * 2006-12-27 2008-07-02 동부일렉트로닉스 주식회사 Method for forming the metal interconnection of a semiconductor device
US7793236B2 (en) 2007-06-13 2010-09-07 Shocking Technologies, Inc. System and method for including protective voltage switchable dielectric material in the design or simulation of substrate devices
US8004060B2 (en) * 2007-11-29 2011-08-23 International Business Machines Corporation Metal gate compatible electrical antifuse
US8206614B2 (en) 2008-01-18 2012-06-26 Shocking Technologies, Inc. Voltage switchable dielectric material having bonded particle constituents
US20090243088A1 (en) * 2008-03-28 2009-10-01 M/A-Com, Inc. Multiple Layer Metal Integrated Circuits and Method for Fabricating Same
US8203421B2 (en) 2008-04-14 2012-06-19 Shocking Technologies, Inc. Substrate device or package using embedded layer of voltage switchable dielectric material in a vertical switching configuration
US9208930B2 (en) 2008-09-30 2015-12-08 Littelfuse, Inc. Voltage switchable dielectric material containing conductive core shelled particles
US9208931B2 (en) 2008-09-30 2015-12-08 Littelfuse, Inc. Voltage switchable dielectric material containing conductor-on-conductor core shelled particles
DE102008058974A1 (en) * 2008-09-30 2010-04-29 Infineon Technologies Ag Semiconductor device and method for manufacturing a semiconductor device
US8362871B2 (en) 2008-11-05 2013-01-29 Shocking Technologies, Inc. Geometric and electric field considerations for including transient protective material in substrate devices
US9226391B2 (en) 2009-01-27 2015-12-29 Littelfuse, Inc. Substrates having voltage switchable dielectric materials
US8399773B2 (en) 2009-01-27 2013-03-19 Shocking Technologies, Inc. Substrates having voltage switchable dielectric materials
US8272123B2 (en) 2009-01-27 2012-09-25 Shocking Technologies, Inc. Substrates having voltage switchable dielectric materials
US8968606B2 (en) 2009-03-26 2015-03-03 Littelfuse, Inc. Components having voltage switchable dielectric materials
US9053844B2 (en) 2009-09-09 2015-06-09 Littelfuse, Inc. Geometric configuration or alignment of protective material in a gap structure for electrical devices
US9320135B2 (en) 2010-02-26 2016-04-19 Littelfuse, Inc. Electric discharge protection for surface mounted and embedded components
US9082622B2 (en) 2010-02-26 2015-07-14 Littelfuse, Inc. Circuit elements comprising ferroic materials
US9224728B2 (en) 2010-02-26 2015-12-29 Littelfuse, Inc. Embedded protection against spurious electrical events
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US20130313948A1 (en) * 2012-05-24 2013-11-28 Oved Zucker Electric Motor/Generator With Multiple Individually Controlled Turn-Less Structures
US20130313718A1 (en) * 2012-05-24 2013-11-28 Micron Technology, Inc. Substrates Comprising Integrated Circuitry, Methods Of Processing A Substrate Comprising Integrated Circuitry, And Methods Of Back-Side Thinning A Substrate Comprising Integrated Circuitry
US8999782B2 (en) * 2013-03-11 2015-04-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a vertical conductive structure
US9252110B2 (en) * 2014-01-17 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9281211B2 (en) 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
DE102016104306B4 (en) 2016-03-09 2020-04-09 Infineon Technologies Ag EXPANSION SENSOR OR REDUCING EXTENSION DRIFT OF A BRIDGE CIRCUIT
WO2018118087A1 (en) * 2016-12-23 2018-06-28 Intel Corporation Metal fuse and self-aligned gate edge (sage) architecture having a metal fuse
CN114875388A (en) 2017-05-05 2022-08-09 Asm Ip 控股有限公司 Plasma enhanced deposition method for controlled formation of oxygen-containing films
CN115233183A (en) * 2017-05-16 2022-10-25 Asm Ip 控股有限公司 Selective PEALD of oxide on dielectric
US11262383B1 (en) 2018-09-26 2022-03-01 Microfabrica Inc. Probes having improved mechanical and/or electrical properties for making contact between electronic circuit elements and methods for making
WO2020086532A1 (en) * 2018-10-22 2020-04-30 Thin Film Electronics Asa Barrier stacks for printed and/or thin film electronics methods of manufacturing the same, and method of controlling a threshold voltage of a thin film transistor
CN112582341B (en) * 2020-12-15 2024-03-15 上海集成电路研发中心有限公司 Interconnection structure and manufacturing method thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084413A (en) * 1986-04-15 1992-01-28 Matsushita Electric Industrial Co., Ltd. Method for filling contact hole
US5063175A (en) * 1986-09-30 1991-11-05 North American Philips Corp., Signetics Division Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material
US4822753A (en) * 1988-05-09 1989-04-18 Motorola, Inc. Method for making a w/tin contact
US4954214A (en) * 1989-01-05 1990-09-04 Northern Telecom Limited Method for making interconnect structures for VLSI devices
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
JPH04320330A (en) * 1991-04-19 1992-11-11 Sharp Corp Method for forming contact portion of semiconductor device
US5124780A (en) * 1991-06-10 1992-06-23 Micron Technology, Inc. Conductive contact plug and a method of forming a conductive contact plug in an integrated circuit using laser planarization
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0798778A2 (en) * 1996-03-25 1997-10-01 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device of multilayer wire structure
EP0798778A3 (en) * 1996-03-25 1998-07-01 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device of multilayer wire structure
US5966634A (en) * 1996-03-25 1999-10-12 Kabushiki Kaisha Toshiba Method of manufacturing semiconductor device having multi-layer wiring structure with diffusion preventing film
US8796041B2 (en) 2009-08-28 2014-08-05 International Business Machines Corporation Pillar-based interconnects for magnetoresistive random access memory
US8884387B2 (en) 2009-08-28 2014-11-11 International Business Machines Corporation Pillar-based interconnects for magnetoresistive random access memory

Also Published As

Publication number Publication date
CA2082771C (en) 1998-02-10
US5354712A (en) 1994-10-11

Similar Documents

Publication Publication Date Title
CA2082771A1 (en) Method for Forming Interconnect Structures for Integrated Circuits
EP1247292B1 (en) Method of forming copper interconnections and thin films using chemical vapor deposition with catalyst
US6245670B1 (en) Method for filling a dual damascene opening having high aspect ratio to minimize electromigration failure
US6136693A (en) Method for planarized interconnect vias using electroless plating and CMP
US7112528B2 (en) Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US7470612B2 (en) Method of forming metal wiring layer of semiconductor device
US7301107B2 (en) Semiconductor device having reduced intra-level and inter-level capacitance
US6156651A (en) Metallization method for porous dielectrics
US4954214A (en) Method for making interconnect structures for VLSI devices
US5891804A (en) Process for conductors with selective deposition
US5897369A (en) Method for forming interconnection of a semiconductor device
US6953745B2 (en) Void-free metal interconnection structure and method of forming the same
US20040087148A1 (en) Copper interconnect by immersion/electroless plating in dual damascene process
US6566258B1 (en) Bi-layer etch stop for inter-level via
KR19980063734A (en) Method of forming bottomless liner structure and semiconductor product
EP1145311A1 (en) Microelectronic structure
EP1102315A3 (en) A method to avoid copper contamination on the sidewall of a via or a dual damascene structure
US6077768A (en) Process for fabricating a multilevel interconnect
JP2002289691A (en) Method of forming dual-damascene interconnection and structure using the method
KR100301057B1 (en) Semiconductor device having copper interconnection layer and manufacturing method thereof
US6677232B2 (en) Method for fabricating metal conductors and multi-level interconnects in a semiconductor device
US5804504A (en) Method for forming wiring of semiconductor device
JPH10125785A (en) Method of forming wiring of semiconductor integrated circuit
KR100458594B1 (en) Fabrication method of semiconductor device
KR940011732B1 (en) Manufacturing method of semiconductor device

Legal Events

Date Code Title Description
EEER Examination request
MKLA Lapsed