CA2082771C - Method for forming interconnect structures for integrated circuits - Google Patents

Method for forming interconnect structures for integrated circuits

Info

Publication number
CA2082771C
CA2082771C CA002082771A CA2082771A CA2082771C CA 2082771 C CA2082771 C CA 2082771C CA 002082771 A CA002082771 A CA 002082771A CA 2082771 A CA2082771 A CA 2082771A CA 2082771 C CA2082771 C CA 2082771C
Authority
CA
Canada
Prior art keywords
layer
metal
conductive
conformal
copper
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CA002082771A
Other languages
French (fr)
Other versions
CA2082771A1 (en
Inventor
Vu Quoc Ho
Gurvinder Jolly
Ismail T. Emesh
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nortel Networks Ltd
Original Assignee
Northern Telecom Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Northern Telecom Ltd filed Critical Northern Telecom Ltd
Priority to US07/974,760 priority Critical patent/US5354712A/en
Priority to CA002082771A priority patent/CA2082771C/en
Publication of CA2082771A1 publication Critical patent/CA2082771A1/en
Application granted granted Critical
Publication of CA2082771C publication Critical patent/CA2082771C/en
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Abstract

A method is provided for forming interconnect structures for ULSI integrated circuits. Preferably, a barrier layer of a conductive material which forms a seed layer for metal deposition is provided selectively on the sidewalls and bottom of interconnect trenches defined in a dielectric layer, and a conformal layer of metal is selectively deposited on the barrier layer within the interconnect trench. Advantageously, the metal layer forming interconnect comprises a layer of copper which is deposited by chemical vapour deposition from an organo-metallic precursor at low temperature. Etching back and planarization of the barrier layer and the metal layer is accomplished by chemical mechanical polishing. Second and subsequent levels of metallization are provided by repeating the process steps, as required, to provide another dielectric layer defining interconnect trenches, selectively lining the trenches with a conformal barrier layer and then filling the trenches with selective deposition of a conformal conductive layer of metal, with planarization of the resulting conformal layers by chemical mechanical polishing. Preferably, via holes forming contacts to underlying device structures are filled with copper or tungsten.

Description

, 7 ~ ~

METHOD FOR FORMING INT~3RCONNECT STRUCTI~R~3S
FOR IP~TEGR~TED CIRC~ITS

5 Fiold of the Invention This invention relates to interconnect structures and a method of foxming interconnect structures for integrated circuits.

10 Back~round of the Iu~ention Individual semiconductor devices in VLSI (Very Large Scale Integration) integrated circuits are interconnected by means of one or more patterned conductive layers comprising a high conductivity metal or metal alloy.
15 Advantageously multilevel metal (MLM) interconnect permits crossing over of interconnection paths in different metal layers, to provide for higher density interconnects.

Multilevel metal interconnects for Metal Oxide Semiconductor (MOS) integrated circuit devices are made conventionally by depositing and patterning alternating layers of a conductive material, typically sputtered -~
all1~;nl7m alloys such as Al-Si, and layers of an insulating dielectric material, typically SiO2. Small holes or ~5 contact vias through the dielectric layers are filled with conductive material to permit interconnection of the conductive layers. ~owever, the surface topography -resulting from superposition of several patterned layers may be highly non-planar. Poor step coverage of the metal layer, particularly within submicron contact holes and vias, or poor coverage of dielectric over edges of the metal, may lead to undesirable high resistance or open circuits.

Furthermore, as device dimensions are scaled down for Ultra Large Scale Integration (ULSI) integrated circuits, interconnect lines are subjected to higher current densities, and electromigration of aluminium alloys becomes a major reliabllity issue. Other conductlve materials which have a higher resistance to electromigration are preferred. For example, tungsten has been usecl for metal interconnect in submicron sipolar Complementary MOS (siCMOS) devices. U.S. Patent No.
4,954,214 to HO, entitled IlMethocL for Making Interconnect Structures for VLSI Devicesl', issued September 1990, describes an improved filled interconnect groove (FIG) method using selective chemical vapour deposition of tungsten or electro-less deposition of nickel or other metals for forming interconnect structures. As described by Ho, tungsten may be deposited with excellent step coverage to fill sub-micron, steep sided, vias and trenches.
However, tungsten has a high resistivity, three times greater than that of Al alloys, which causes a substantial increase in RC interconnect delay. Of other suitable conductive materials, copper has recently been proposed for interconnect for sub half micron integrated circuit devices, because copper has both high electromigration resistance and excellent conductivity.
The resistivity of copper is about 60% of the resistivity of Al-alloys.
On the other hand, conventional known methods of depositing copper do not provide satisfactory step coverage for void free filling of high aspect ratio deep trenches and via holes for sub micron device structures.
Furthermore, dry etchlng of copper and copper containing alloys, using conventional plasma or reactive ion etching processes, is hampered by generation of etch products of copper which have a low vapour pressure (i.e. are non-volatile).
For example, US Patent No. 5,091,339 to Carey entitled "Trenching Techniques for Forming Vias and Channels ln Multilayer Electrical Interconnects" issued February 1992, describes electrolytic deposition of copper for filling vias and trenches lined with a seed layer of sputtered copper over an adhesion layer of sputtered chrome, followed by removal of excess copper by electro-polishing or non-selective polishing. Electrolytic deposition of copper suffers from ridge build up over sharp corners of vias and trenches. Thus, a thick blanket layer of copper mus~ be deposited to ensure complete filling of the via holes and trenches of different depths and the resulting surface is highly non-planar. Consequently, a ~;
large amount of excess copper from the thick blanket layer must subsequently be removed by a method of electro-polishing or non-selective polishing.~5 other known methods of electro-less deposition of copper, for example, as disclosed in Carey, include sputtering or evaporation to obviate the need for a seed layer. Plasma deposition of copper is also known, but as with the latter methods, step coverage is not satisfactory in high aspect-ratio, deep vias and trenches for ULSI
integrated circuit structures.

Chemical vapour deposition of copper has also been pursued for filling submicron features with improved step coverage, as described in an article entitled ~New OMCVD
Precursors for Selective Copper Metallization'l by John A.
T. Norman et al., 1991 IEEE VMIC Conference Proceedings, June 11-12, 1991, pages 123 to 129. Subsequent etching of the copper layer was achieved by a reverse vapour phase chemical reaction. However, the latter etch method is isotropic, slow and control of planarity is unsatisfactory for commercially etching ULSI device structures.

Apart from limited etch technology, other problems which are encountered in the use of copper for ULSI
integrated circuits include copper diffusion through 2J ~ 7 ~

dielectrics, poor adherence of copper to oxide, and surface passivation of copper.

Summary of the Invention The present invention seeks to provide interconnect structures and a method of forming interconnect structures for integrated circuits, in which the above mentioned problems are avoided or reduced.

o According to one aspect of the present invention, there is provided a method of forming interconnect structures for devices of an integrated circuit, the devices being forrned in a substrate and having an overlying substantially planar first dielectric layer, the dielectric layer having contact holes extending therethrough over contact regions of the devices, and the contact holes being Eilled with conductive material to provide contacts, the method comprising:
providing a second dielectric layer having a substantially planar surface and defining therein interconnect trenches having steep sidewalls, each interconnect trench extending through the second dielectric layer and exposing selected contacts within a bottom of the trench;
providing a conformal layer of a conductive barrier material over the sidewalls and the bottom of each interconnect trench;
providing a conformal layer of a conductive material, the layer of conductive material being selectively deposited on the barrier layer to a thickness sufficient to fill the interconnect trenches; and selectively removing said conformal layers extending above the planar surface of the second dielectric layer by chemical mechanical polishing.
Thus by providing a thin conformal layer of a barrier material and of a conformal layer of conductive material, for example a low resistivity me~al such as copper, both layers conform to the underlying topography so that interconnect trenches of different depths may be filled effectively, with high step coverage and without voids. Where the conformal layer of a barrier material provided within a contact via opening or trench forms a seed layer for subsequent selective growth of a conformal conductive layer of metal, the amount of excess metal which must be deposited to fill the interconnect trenches is o reduced compared with deposition of a non conformal layer of metal, and the extent of subsequent etching back to remove excess metallization is reduced. Furthermore, when etchiny back of excess conformal layers if performed by chemical mechanical polishing, a fully planarized topography is provided, which simplifies provision of second or subse~uent layers of interconnect metallization.

Advantageously, the conformal layer of conductive material comprises a layer of metal deposited by chemical vapour deposition from, for example, a volatile, liquid phase metal organic precursor compound of the metal which disproportlonates at low temperature, preferably below 400~C. Preferably, a conformal layer of metal is selectively deposited on a suitable seed layer provided on the substrate dielectric layer. Conveniently, a conformal layer of copper for copper interconnect is deposited by CVD
from copper (hexafluoroacetylacetonate) trimethyl vinylsilane compound by pyrolysis at low temperatures, between about 120~C and 400~C, onto a conductive barrier layer of sputtered titanium nitride (TiN), which lines the via holes, and provides a seed layer for selective growth of the conformal layer of copper.

seneficially, any excess barrier layer and conductive metal layer extending above the surface of the dielectric layer is etched back and planarized by chemical-mechanical polishing to provide a fully planarized topography. A fully planarized topography simplifies subsequent process steps, which may include provision of subsequent layers of interconnect metallization by repeating the latter process steps. Consequently, problems with plasma or reactive ion etching of copper and other metals and alloys by conventional dry etching methods which provide non-volatile etch products are avoided. Thus a process is provided for forming multilayer metallization having copper conductors which is applicable for forming o interconnect for ULSI integrated circuits.

Advantageously, the barrier layer is provided selectively in the contact holes or trenches only. Thus when the barrler layer comprises a material which functions as a seed layer for metal deposition, deposition of copper is selective relative to the surrounding dielectric layer.
A single deposition step can selectively fill via holes or trenches of differing depth and width by growth of a conformal copper layer, while avoiding or minimizing deposition of copper over the dielectric substrate surface.
Thus overall deposition of a blanket layer of copper to fill via holes or trenches is not required, and subsequent etch back and planarlzation of excess copper extending above the surface of the dielectric layer is mlnlmlzed or avoided.

Preferably, providing the barrier layer comprises depositing a thin conformal layer of a conductive barrier layer overall and then selectively removing the barrier layer from the substrate surface by chemical mechanical polishing to provide a fully planarized surface having a conductive barrier layer lining the sidewalls and bottom of the via holes only. Subsequent selective growth of the conformal metal layer on the barrier layer is then confined to selectively filling the via holes, and etch back of excess metal from the surface of the dielectric is minimized.
2 ~

According to another aspect of the present invention there is provided a method of forming interconnect structures for devices of an integrated circuit, comprising:
providing a substrate of an integrated circuit comprising a first dielectric layer having a substantially planar surface, the first dielectric layer having contact holes extending therethrough, each contact hole exposing in a bottom of the hole an electrically conductive region;
lo filling each of said contact holes with conductive material to provide contacts substantially coplanar with the planar surface of the dielectric layer;
providing a second dielectric layer having a substantially planar surface;
opening interconnect trenches in the second dielectric layer, each interconnect trench having steep sidewalls extending through the dielectric layer and exposing selected contacts within a bottom of the trench;
providing a conformal layer of a conductive barrier material over sidewalls and bottom of each interconnect trench;
providing a conformal layer of a conductive material comprising a metal formed by chemical vapour deposition, the conformal layer being selectively deposited over the barrier layer to a thickness sufficient to fill the interconnect trenches; and planarizing the resulting structure by etching back conductive layers extending above the planar surface of the second dielectric layer by a step of chemical mechanical polishing.

Preferably, the contact via holes are filled by depositing a thin conformal layer of a barrier materlal to line the via hole and then selectively depositing a layer of a conductive material thereon. The contact holes may be selectively filled with copper, as described above for filling interconnect trenches to provide copper contacts.

Alternatively, another metal capable of filling submicron openings with good step coverage, e.g., tungsten deposited by chemical vapour deposition on a suitable barrier layer, e.g. tungsten silicide, may be used to fill the contact holes.

According to a furthex aspect of the present invention there is provlded an interconnect structure for devices of an integrated circuit formed in a substrate,~o comprising:
a first dielectric layer having a substantially planar surface, the first dielectric layer defining steep sidewalls of a plurality of contact via holes extending therethrough, and each via hole defining a contact area to~5 a conductive region of an underlying device structure, each via hole being lined with a thin conformal barrier layer of a conductive material extending over the sidewall and contact area at the bottom of the via hole, and the via hole being filled with a conformal conductive layer of a first metal overlying the barrier layer to provide contact areas substantially coplanar with the surface of the first dielectric layer; :~
a second dielectric layer overlying the first dielectric layer and having a substantially planar surface, the second dielectric layer defining interconnect trenches therethrough, the interconnect trenches having steep sidewalls and being formed over selected contact areas of the first metal layer, the trenches being lined with a conformal layer of a conductive barrier material extending over the sidewalls and a bottom of each trench including over selected contact areas of the first conductive metal, and the interconnect trenches being filled with a conformal layer of a second conductive metal overlying the layer of .
conductive barrier material; and the barrier layer and the second metal layer having interfaces substantially coplanar with the surface of the second dielectric layer and forming electrically 27~

conductive interconnections to selected contact areas of the first metal layer.

Thus, the present inventlon provides an interconnect structure and a method of forming an interconnect structure for an integrated circuit which avoid or overcome the above mentioned problems.

srief Description of the Drawings o Embodiments of the invention will now be described by way of example, with reference to the accompanying drawings, in which:-Figures la to lg show a schematic cross-sectional view through part of an integrated circuit structure at successive stages in a method of forming an interconnect structure for an integrated circuit according to a first embodiment of the present invention;
Figures 2a to 2g show a schematic cross-sectional view through part of an integrated circuit structure at successive stages of method of forming an interconnect structure for an integrated circuit according to a second embodiment of the present invention; and Figures 3a to 3g show a schematic cross-sectional view through part of an integrated circuit structure at successive stages of method of forming an interconnect structure for an integrated circuit according to a third embodiment of the present invention.

Description of tho Preferred Embodimonts In a method of forming an interconnect structure for an integrated circuit according to a first embodiment of the present invention, as shown in Figures la to lg, a substrate 12 was provided comprising a silicon semiconductor wafer 14 having parts of a partially fabricated integrated circuit defined thereon, including a first conductive layer 16 forming conductive regions 16a-16d of underlying device structures, and an overlying ~s~p~ ~

surface layer 18 of an insulating dielectric material, having a substantially planar surface 30. The dielectric layer 18, is patterned to define steep sided contact via holes 20 therethrough (Figure la) exposing regions 17 of the conductive layer 16 in the bottom of each via hole.
Where the underlying topography of the first conductive layer 16 is non-planar, dielectric planarization may be performed by a conventional method, e.g., plasma etching of a sacrificial resist; a spin-on glass layer; or by chemical 0 mechanical polishing. The via holes 20 were provided in the dielectric layer by a conventional known method. For example, after chemical vapour deposition of a dielectric layer 18 of silicon dioxide, the layer 18 was coated with photoresist material, patterned and anisotropically etched, i.e., by reactive ion etching, to define contact via holes 20 having steep side walls 22.

After stripping the photoresist from the dielectric layer 18, a conformal layer 24 of a suitable conductive barrier material, i.e., titanium nitride, TiN, was provided overall by a conventional known method, e.g.
by sputtering or chemical vapour deposition. TiN was deposited by collimated sputtering to form a thin conformal layer, i.e. of substantially uniform thickness, about 300A
to 800A thick over the sidewalls and the bottom of the trench, and over the surface of the dielectric layer.
Collimated sputtering provided for deposition of a conformal layer of TiN even in high aspect-ratio deep trenches (for example trenches with depth to width ratios >3).

~ conformal metal layer 26, i.e., copper, was then deposited overall over the TiN barrier layer 24, to a sufficient thickness to fill the contact via hole, as shown 3s in Figure lb. The layer of copper was deposited by a method of low temperature chemical vapour deposition from an organo-metallic (OM) precursor: a suitable material for 7 ~ ~

OM-CVD deposition of copper is copper (hexafluroacetylacetonate) triethylvinyl silane, available commercially as Cupra-SelectTM, manufactured by Air Products and Chemicals Inc., which is a volatile liquid source reagent from which copper may be selectively deposited on a suitable seed layer by a disproportionation reaction at low temperature. A layer of copper may be deposited on a suitable seed material with high selectivity relative to silicon dioxide or other dielectric materials as described 0 in an article entitled "New OM CVD Precursors for Selective Copper Metallization" by John Norman et al., IEEE VMIC
Conference Proceedings, June 11-12, 1991, Abstract No. TH
0359-0/91, pages 123 to 129. Suitable seed layers for deposition of copper, which also form conductive barrier layers, include, for ex.ample, TiN, W, Ta, or Al. Thus a conformal layer of copper 26 was deposited overall on the TiN barrier layer 24 to a sufficient thickness to fill the via holes, i.e. from 0.25 to 0.5 ~m to fill submicron via holes. Preferably, copper deposition was carried out at temperatures between 100~C to 300~C, the flow rate of the copper precursor vapour was from 30 to 400 sccm in an inert carrier gas comprising argon, and at a pressure of 0.1 to 20 Torr, to provide a deposition rate of 100 to 200nm/min.
The resulting copper layer extended over the surface of TiN
layer on the substrate to provide a blanket layer of copper 26.

The copper layer 26 and the TiN barrier layer 24 were then etched back level with the planar surface 30 of the dielectric layer 18 by a step comprising chemical mechanical polishing (CMP) to leave parts 28 of copper layer 26 filling in the contact via holes as shown in Figure lc. Chemical mechanical polishing was achieved with conventional commercially available polishing slurries.
For example, a suitable slurry comprises silicon dioxide, aluminium oxide and cesium oxide in a stabilized, p~
adjusted, acid medium. The C~P slurry was found to remove ,, .. . . . . . ., .. . . . . ~ .

6~3~2 ~

the surface copper layer 26 and TiN barrier layer satlsfactorlly from the dielectric layer 18 without need for a hard mask over the dielectric surface 30.

Thus, electrically conductive contacts were formed between the copper 28 and the underlying conductive layer 16, through the barrier layer 24 in region 17 in the bottom of each contact via hole, and copper contact areas 31 were exposed on the substantially planar surface 30 of the first dielectric layer 18.

A first level of copper intercoImect was then formed by a similar series of process steps as follows:
A second dielectric layer 32, for example, another layer of silicon dioxide, was deposited by a conventional method of CVD. If necessary, the second dielectric layer is planari~ed conventionally to provide a substantially planar dielectric surface 33 by a method as described above.
Then, metal interconnect trenches 34 were opened as shown in Figure ld, by a conventional method of selective masking and an anisotropic dielectric etch process, as described above for forming contact via holes. The second dielectric layer 32 thus defines steep sidewalls of each lnterconnect trench and selected contacts 31 of the first conductive layer are exposed in the bottom of each trench. A second conformal conductive barrier layer 36, comprislng TiN, was deposited overall (Figure le) by collimated sputtering. A
second conformal metal layer 38 comprising copper was then deposited over the barrier layer, to a sufficient thickness to fill the trenches 34, as shown in Figure lf. The conformal copper layer 38 was deposited by OM CVD, as described above, from copper (hexafluoroacetylacetonate) triethylvinyl silane to form a blanket layer over the surface of the second dielectrlc layer. The copper layer 3s 38 and parts of the barrier layer 36 extending over the planar surface 33 of the second dielectric layer were etched back to the level of the planar surface 33 of the 13 2 ~ rt r~j 1 dielectric layer 32 using CMP, thereby forming a fully planarized surface with parts 40 of the copper layer 38 forming interconnect patterns within the trenches 34, as shown in Figure lg. Thus the resulting pattern of copper interconnect conductors 40 provides conductive paths to selected individual devices of the integrated circuit through the copper filled contact vias 28.

The latter process steps as shown in figures ld to o lg were then repeated to provide second and subsequent layers of copper interconnect, as required. Thus a multilevel interconnect structure having copper conductors is provided.

In a method of providing an interconnect structure for an integrated circuit according to a second embodiment of the present invention, as shown in Figures 2a to 2g, a substrate 52 was provided which was similar to the substrate 12 of the first embodiment. The substrate comprised part of a silicon wafer 54, having parts of a partially fabricated integrated circuit defined thereon, and including a first conductive layer 56 and an overlying dielectric layer 58 defining steep sided contact via holes 60 therein with a region 57 of the conductive layer 56 exposed within a bottom of the via hole 60. As in the method of the first embodiment, the substrate was provided with an overall thin layer (-300 to ~800A) of TiN 24 to form a conductive barrier layer (Figure 2b) extending over the sidewalls 62 and the bottom 63 of the via holes 60 and over the surface 70 of the dielectric layer 58. However, subsequent method steps differed from the first embodiment.
After deposition of the conformal barrier layer 64 of TiN, the barrier layer 64 was etched back using chemical mechanical pol.ishing thereby selectively removing the TiN
layer 64 from the surface 80 of the dielectric 56, and leaving the Ti.N layer 64 only on sidewalls and bottom of the via hole. As is conventional in known CMP processes, 14 c~ ~ ~ s~
the CMP process comprised a cleaning step after polishing, e.g., in an ultrasonic bath of a suitable cleaning solution which removes polishing debris which may accumulate within the via holes during the polishing step. A conventional s known CMP process slurry was found to be satisfactory for removing TiN from the surface 70 of the dielectric layer 58 of silicon dioxide. AS shown in Figure 2b, after CMP, part 66 of the TiN barrier layer 64 remains intact on the sidewall and at the bottom of each contact via hole 60 o (Figure 2b). A conformal conductive layer 68 of copper was subse~uently deposited selectively on the TiN layer 66 in the via hole 60 by CVD from copper (hexafluroacetylacetonate) triethylvinyl silane, as described in the first embodiment above. However, since the conformal layer 66 of TiN within the via hole provides a seed layer for the growth of copper by selective deposition from the organo-copper precursor, copper is deposi~ed selectively in the via holes. A conformal layer of copper was deposited on the seed layer of TiN to a sufficient thickness to fill the via hole 60 level with the dielectric surface 70 of the substrate (Figure 2c), thus forming a first layer of copper 68 to provide electrical connections to the underlying conductive layer 56. If required, another planarization step, i.e., by CMP, after copper deposition removes excess copper from the dielectric surface. However, the deposition of copper on the seed material, i.e. TiN, in the via holes is selective relative to the dielectric, and where the dielectric is silicon dio~ide, copper does not deposit significantly on the surrounding dielectric surface 70. Thus, the extent of etching back of excess copper is minimized.

Subsequently a second dielectric layer 82 having a substantially planar surface 83 was provided, and interconnect trenches 84 were opened in the second dielectric layer, as shown in Figure 2d, by a conventional known method, for example, as described above for the first ~

:

~J~?,77 1 embodiment. A second conforrnal barrier layer 86 of TiN was deposited overall on the surface of the dielectric, as shown in Figure 2e, and then etched back using CMP to remove the TiN layer 86 selectively from the surface 90 of the dielectric 82. Parts 88 of the TiN layer at the bottom and on the sidewall of the trenches 84 remain intact (Figure 2f). After cleaning, the trench was selectively filled by a step of selective deposition of a conformal layer of copper, as already described, to form copper 0 interconnect patterns 92 as sho~n in Figure 2g, with copper selectively filling the trenches 84 level with the surface 90 of the second dielectric layer. If required a further step of CMP removes any excess copper and fully planarizes the surface of the resulting structure.
The latter process steps as shown in Figures 2d to 2g, were repeated to form second and subsequent levels of copper interconnect, as required.

Selective deposition of a conformal layer of copper by OM CVD provides the advantage that contact holes and trenches of different widths and depths can be filled by the selective metal deposition on the seed layer of barrier material within a trench or via hole. slanket deposition of a thick layer of metal overall to fill individual via holes or trenches is not necessary, and deposition of metal on the surrounding surfaces of the dielectric layer is minimized or avoided altogether. Thus etching back of an excess surface layer of copper is 30 mi ni mi zed.

In a method of forming an interconnect structure for an integrated circuit according to a third embodiment, as shown in Figures 3a to 3g, a substrate 112 was provided 35 which was similar to that for the method of the first and second embodiments, comprising a silicon semiconductor wafer 114 having parts of a partially fabricated integrated ].6 circuit defined thereon, including a first conductive layer 116 forming conductive regions 116a-d of underlying device structures, and an overlying surface layer 118 of an insulating dielectric material. The dielectric layer 118 was provided with a substantially planar surface and via holes 120 were provided in the dielectric layer by a conventlonal known method, as described above (Figure 3a) exposing regions 117 of the conductive layer 116 in the bottom of each via hole.

A conformal layer 124 of a suitable conductive barrier material, i.e. tungsten sil.icide, was deposited overall by a conventional known method, e.g., chemical vapour deposition. Tungsten silicide was deposited by CVD
to provide a uniform thin layer of a thickness of 300A to 800A, over the sidewalls and the bottom of the trench, and over the surface of the dielectric layer. The tungsten silicide was then preferentially removed from the surface of the dielectric layer, for example, by chemical 20 mechanical polishing, or by a conventional method such as -anisotropic reactive ion etching, as described in the U.S.
Patent to Ho, cited above (Figure 3b). Thus a thin conformal layer of tungsten silicide was provided selectively over the sidewalls and bottom of the contact ~s via holes.

A conformal layer 128 of tungsten was then deposited selectively on the tungsten silicide barrier layer 124, i.e., over the bottom and sidewalls of each contact via hole, to a sufficient thickness (i.e. in this example 0.25 to 0.5 ~m) to fill the contact via hole, as shown in Figure lb level with the surface 130 of the dielectric layer 118. If required, any excess thickness of the tungsten layer 128 was etched back, to the level of the -planar surface 130 of the dielectric layer 118 to leave the tungsten plugs 128 filling in the contact via holes as shown in Figure 3c.

17 ~ ~;J ~3 2 7 ~ -~

Thus, electrically conductive contacts are formed between the tungsten 128 and the underlying conductive layer 116, through the barrier layer 124 in region 117 in the bottom of each contact via hole, and tungsten contact areas 129 are exposed on the substantially planar surface 130 of a first dielectric layer 118 (Figure 3c).

A first level of copper interconnect was then formed by a series of process steps, similar to those described for forming interconnect by the method according to the second embodiment, as follows. A second, dielectric layer 132, for example, a layer of silicon dioxide was deposited by a conventional method of CVD. The second dielectric layer was planarized if necessary, to provide a substantially planar dielectric surface 133. Then, interconnect trenches 134 were opened in the dielectric layer as shown in Figure 3d, by a conventional method of selective masking and an anisotropic dielectric etch ?O process, as described above for forming contact via holes and trenches. Thus selected tungsten contact areas 129 were exposed in the bottom of each interconnect trench. A
second barrier layer 136, comprising a thin conformal conductive layer of TiN, was deposited overall (Figure 3e).
The barrier layer was selectively removed from the surface 130 of the dielectric layer by chemical mechanical polishing, as described in the second embodiment (Figure 3f). A conformal metal layer 138 comprising copper was deposited over the barrier layer 136, to a sufficient thic]cness to fill the interconnect trenches 134, as shown in Figure 3f. The conformal copper layer 138 was deposited -selectively in the trenches by OM-CVD, as described above, from copper (hexafluoroacetylacetonate) triethylvinyl silane. Although copper is not significantly deposited on the dielectric surface 130, if required any excess copper layer 138 extending over the planar surface 133 of the second dielectric layer was etched back to the level of the planar surface 133 of the dielectric layer 132 using CMP, thereby forming a fully planarized surface with parts 40 of the copper layer 138 forming interconnect patterns within the trenches 134, as shown in Figure 3g. Thus the resulting copper interconnect conductors provide conductive paths to selected individual devices of the integrated circuit through tungsten contact vias.

The latter process steps, including deposition of o another layer of dielectric, patterning and opening of trenches, lining the trenches with a conformal barrier layer and filling the trenches selectively with a conformal layer of copper, as shown in Figures 3d to 3g, were repeated to provide second and subsequent layers of copper interconnect, as required. Thus a multilevel interconnect structure having tungsten vias and copper interconnect conductors was provided.

In a method according to a fourth embodiment (not shown), the method is similar to that of the third embodiment except that the tungsten plugs 128 are provided ~ ~
to fill the contact via holes by a method of non-selective :
deposition of a layer of tungsten overall, followed by etch back, preferably by chemical mechanical polishing, the 2s tungsten being deposited, for example, by a method as described in copending U.S. Patent Application Serial No.
875,960, filed 30 April 1992 to I.T. Emesh entitled ll3eposition of Tungsten". The tungsten is etched back by a conventional method such as reactive ion etching by exposure to a plasma generated from SE6 or by chemical mechanical polishing to leave tungsten plugs filling the contact via holes. Subsequent steps for providing first and second levels of copper interconnect are carried out as in the method of the third embodiment.
In modifications of the embodiments, other suitable contact barrier materials, instead of TiN, are 19 ~ J7 used as seed materials for growth of copper. Refractory metal compounds, including WN, TiW and TiB2; and refractory metals, including tantalum and molybdenum, provide suitable seed materials for growth of copper which are conductive, function as barrier materials to restrict interfacial diffusion across the contact region, and provide satisfactory contact resistance. Simllarly, suitable seed materials other than tungsten silicide which are known to provide conductive barrier layers may be selected for o formation of tungsten contacts or selective deposition of other metals.

The dielectric layer is formed from conventional known dielectric materials, Eor example, silicon dioxide, silicon nitride, silicon oxynitride, or other inorganic and other organic dielectric materials including organic dielectric polyimides.

As is conventional in CMP, the dielectric layer may comprise a hard masking layer of a material which is relatively resistant to the chemical-mechanical polishing step. The hard masking layer may be deposited on the surface of a relatively soft dielectric layer such as silicon dioxide, to provide a polish stop layer during CMP
of the overlying metal layer. If a hard material such as tungsten is to be etched back by CMP, a hard masking layer of silicon nitride is desirable. However, in the embodiments described using copper metallization and silicon dioxide dielectric, the copper forms a relatively soft conductive layer, and it was found that a hard masking layer on silicon dioxide was not necessary.

Although copper is a preferred metal for forming multilevel interconnect structures because of its high conductivity and resistance to electromigration, methods according to alternative embodiments of the invention provide for formation of interconnect for integrated 7 ~ ~L

circuits using other suitable conductive materials. Other conductors of interest for ULSI integrated circuit applications include silver and gold. Preferably, metals which have suitable organic-metallic precursor materials may be deposited by OM CVD at low temperature, to provide a conformal layer for filling deep, high aspect-ratio narrow (i.e. sub-micron width) trenches. Alternatively these metals, including copper, may be deposited using collimated sputtering, followed by etch back by CMP which enables a 0 uniform layer or coating of copper or other metal to be provided in high aspect-ratio deep via holes (depth to width ratio >3).
, .~
sy providing a conformal layer of conductive material, i.e. a layer of a metal which can be deposited to a substantially uniform thickness with high step coverage ~-so as to conform with the underlying topography, and ~
preferably by a method of deposition selectively within the -via holes or trenches, problems with dry etching of copper, or etching back excess blanket layers of other metals are avoided. Furthermore, chemical mechanical polishing is preferred over conventional known dry etching methods, using reactive ion or plasma processes, to provide a fully planarized topography. Thus a multilevel metal interconnect can be provided without exacerbating topography related photolithographic problems.

Claims (28)

1. A method of forming interconnect structures for devices of an integrated circuit, the devices being formed in a substrate and having an overlying substantially planar first dielectric layer, the dielectric layer having contact holes extending therethrough over contact regions of the devices, and the contact holes being filled with conductive material to provide contacts, the method comprising:
providing a second dielectric layer having a substantially planar surface and defining therein interconnect trenches having steep sidewalls, each interconnect trench extending through the second dielectric layer and exposing selected contacts within a bottom of the trench;
providing a conformal layer of a conductive barrier material over the sidewalls and the bottom of each interconnect trench;
providing a conformal layer of a conductive material, the layer of conductive material being selectively deposited on the barrier layer to a thickness sufficient to fill the interconnect trenches; and selectively removing said conformal layers extending above the planar surface of the second dielectric layer by chemical mechanical polishing.
2. A method according to claim 1 wherein the conductive barrier layer provides a seed layer for selective growth of said layer of conductive material and providing a conformal layer of conductive material over the barrier layer comprises chemical vapour deposition of a metal by disproportionation of an organo-metallic precursor of said metal.
3. A method according to claim 2 wherein chemical vapour deposition of a metal comprises deposition of copper by pyrolysis of a copper precursor comprising copper (hexafluoroacetylacetonate) triethylvinyl silane.
4. A method according to claim 1 wherein said conductive material comprises copper and providing a conformal layer of conductive material over the barrier layer comprises collimated sputtering of copper.
5. A method according to claim 1 wherein providing a conformal layer of a conductive barrier material over sidewalls and bottom of the interconnect trench comprises depositing a layer of conductive barrier material overall and then selectively removing the layer of conductive barrier material extending over the planar surface of the dielectric layer, thereby leaving a layer of barrier material over sidewalls and bottom of the interconnect trench.
6. A method according to claim 5 wherein the step of selectively removing the layer of conductive barrier material extending over the planar surface of the dielectric layer comprises chemical mechanical polishing.
7. A method according to claim 5 wherein providing a conformal layer of a conductive material comprises forming a layer of metal by chemical vapour deposition, and said layer of metal is deposited after selectively removing the barrier layer and cleaning the interconnect trench thereby providing a layer of metal selectively on the barrier layer within the interconnect trench to substantially fill the interconnect trench.
8. A method of forming interconnect structures for devices of an integrated circuit, comprising:
providing a substrate of an integrated circuit comprising a first dielectric layer having a substantially planar surface, the first dielectric layer having contact holes extending therethrough, each contact hole exposing in a bottom of the hole an electrically conductive region;
filling each of said contact holes with conductive material to provide contacts substantially coplanar with the planar surface of the dielectric layer;
providing a second dielectric layer having a substantially planar surface;
opening interconnect trenches in the second dielectric layer, each interconnect trench having steep sidewalls extending through the dielectric layer and exposing selected contacts within a bottom of the trench;
providing a conformal layer of a conductive barrier material over sidewalls and bottom of each interconnect trench;
providing a conformal layer of a conductive material comprising a metal formed by chemical vapour deposition, the conformal layer being selectively deposited over the barrier layer to a thickness sufficient to fill the interconnect trenches; and planarizing the resulting structure by etching back conformal layers extending above the planar surface of the second dielectric layer by a step of chemical mechanical polishing.
9. A method according to claim 8 wherein providing a conformal layer of a conductive barrier material over sidewalls and bottom of the interconnect trench comprises depositing a layer of conductive barrier material overall and then selectively removing the layer of conductive barrier material extending over the planar surface of the dielectric layer, thereby leaving a layer of barrier material over sidewalls and bottom of the interconnect trench.
10. A method according to claim 9 wherein the step of selectively removing the layer of conductive barrier material extending over the planar surface of the dielectric layer comprises chemical mechanical polishing.
11. A method according to claim 9 wherein providing a conformal layer of a conductive material comprises forming a layer of metal by chemical vapour deposition, and said layer of metal is deposited after selectively removing the barrier layer and cleaning the interconnect trench thereby providing a layer of metal selectively on the barrier layer within the interconnect trench to substantially fill the interconnect trench.
12. A method according to claim 8 wherein filling each of said contact holes with conductive material comprises:
providing a conformal layer of a conductive barrier material over sidewalls and bottom of each contact hole;
providing a conformal layer of a conductive material comprising a metal formed by chemical vapour deposition of said metal, the conformal layer of metal being selectively deposited over the barrier layer to a thickness sufficient to fill the contact holes; and planarizing the resulting structure by etching back said conformal layers extending above the surface of the first dielectric layer by a step of chemical mechanical polishing.
13. A method according to claim 8 wherein filling each of said contact holes with conductive material comprises:
depositing a conformal layer of a conductive barrier material over sidewalls and bottom of the contact holes;
providing a conformal layer of a conductive material comprising tungsten formed by a method of chemical vapour deposition from a vapour phase precursor of tungsten, the conformal layer being selectively deposited over the barrier layer to a thickness sufficient to fill the contact holes; and planarizing the resulting structure by etching back conductive layers extending above the surface of the first dielectric layer by a step of chemical mechanical polishing, whereby an electrically conductive contact is provided in said contact holes, said contact electrically connecting said metal through the barrier layer to an underlying device.
14. A method according to claim 8 wherein the barrier layer comprises a material which functions as a seed layer for subsequent selective deposition of the conformal metal layer by low pressure chemical vapour deposition from an organo-metallic precursor material of said metal, and the metal layer is thereby deposited selectively on said barrier layer.
15. A method according to claim 8 wherein the conformal layer of copper is provided by low pressure chemical vapour deposition from an organo-metallic copper precursor material comprising copper (hexafluoroacetyl-acetonate) trimethylvinyl silane.
16. A method according to claim 15 wherein the metal deposition is carried out in the temperature range from 100°C to 300°C and at a pressure in the range from 0.1 to 20 Torr, and with the flow rate being controlled in the range from 30 to 400 sccm copper (hexafluoroacetyl-acetonate) trimethylvinyl silane in an inert carrier gas.
17. A method according to claim 1 wherein the dielectric layer comprises a material selected from the group silicon dioxide, silicon nitride, silicon oxynitride, and polyimide.
18. A method according to claim 8 wherein the dielectric layer comprises a material selected from the group silicon dioxide, silicon nitride, silicon oxynitride, and polyimide.
19. A method according to claim 1 wherein the layer of barrier material comprises a material selected from the group of refractory metals including Ta and Mo, and refractory metal compounds including TiN, WN, TiW and TiB2.
20. A method according to claim 8 wherein the layer of barrier material comprises a material selected from the group of refractory metals including Ta and Mo, and refractory metal compounds including TiN, WN, TiW and TiB2.
21. A method according to claim 1 wherein the step of depositing a conformal layer of conductive material to fill the interconnect trenches comprises selectively depositing a layer of metal selected from the group copper, silver and gold.
22. A method according to claim 8 wherein the step of depositing a conformal layer of conductive material to fill the interconnect trenches comprises selectively depositing a layer of metal selected from the group copper, silver and gold.
23. A method of forming interconnect structures for devices of an integrated circuit, comprising:
providing a substrate comprising a dielectric layer and an underlying conductive layer, the dielectric layer having a planar surface and defining a steep sided contact opening therein, the contact opening extending through the dielectric layer and exposing the conductive layer within a bottom of the contact opening;

depositing a conformal layer of a conductive barrier material over sidewalls and bottom of the contact opening;
depositing a conformal layer of copper over the barrier layer to fill the contact opening; and planarizing the resulting structure by selectively removing the layer of copper and the layer of barrier material extending above the planar surface of the substrate by chemical mechanical polishing.
24. A method according to claim 23 comprising planarizing the resulting structure by a further step of chemical mechanical polishing to remove copper deposited on the surface of the dielectric layer.
25. An interconnect structure for devices of an integrated circuit formed in a substrate, comprising:
a first dielectric layer having a substantially planar surface, the first dielectric layer defining steep sidewalls of a plurality of contact via holes extending therethrough, and each via hole defining a contact area to a conductive region of an underlying device structure, each via hole being lined with a thin conformal barrier layer of a conductive material extending over the sidewall and contact area at the bottom of the via hole, and the via hole being filled with a conformal conductive layer of a first metal overlying the barrier layer to provide contact areas substantially coplanar with the surface of the first dielectric layer; and a second dielectric layer overlying the first dielectric layer and having a substantially planar surface, the second dielectric layer defining interconnect trenches therethrough, the interconnect trenches having steep sidewalls and being formed over selected contact areas of the first metal layer, the trenches being lined with a conformal layer of a conductive barrier material extending over the sidewalls and a bottom of each trench including over selected contact areas of the first conductive metal, and the interconnect trenches being filled with a conformal layer of a second conductive metal overlying the layer of conductive barrier material, the barrier layer and the second metal layer having interfaces substantially coplanar with the surface of the second dielectric layer and forming electrically conductive interconnections to selected contact areas of the first metal layer.
26. A structure according to claim 25 wherein the first metal layer and the second metal layer comprise copper.
27. A structure according to claim 25 wherein the first metal layer comprises tungsten and the second metal layer comprises copper.
28. A structure according to claim 25 wherein the second metal layer comprises a metal selected from the group copper, silver and gold.
CA002082771A 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits Expired - Fee Related CA2082771C (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US07/974,760 US5354712A (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits
CA002082771A CA2082771C (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US07/974,760 US5354712A (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits
CA002082771A CA2082771C (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits

Publications (2)

Publication Number Publication Date
CA2082771A1 CA2082771A1 (en) 1994-05-13
CA2082771C true CA2082771C (en) 1998-02-10

Family

ID=25675661

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002082771A Expired - Fee Related CA2082771C (en) 1992-11-12 1992-11-12 Method for forming interconnect structures for integrated circuits

Country Status (2)

Country Link
US (1) US5354712A (en)
CA (1) CA2082771C (en)

Families Citing this family (231)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6043563A (en) * 1997-05-06 2000-03-28 Formfactor, Inc. Electronic components with terminals and spring contact elements extending from areas which are remote from the terminals
US5312512A (en) * 1992-10-23 1994-05-17 Ncr Corporation Global planarization using SOG and CMP
US5486492A (en) * 1992-10-30 1996-01-23 Kawasaki Steel Corporation Method of forming multilayered wiring structure in semiconductor device
US5604158A (en) * 1993-03-31 1997-02-18 Intel Corporation Integrated tungsten/tungsten silicide plug process
US6246247B1 (en) 1994-11-15 2001-06-12 Formfactor, Inc. Probe card assembly and kit, and methods of using same
US6624648B2 (en) 1993-11-16 2003-09-23 Formfactor, Inc. Probe card assembly
US6727580B1 (en) 1993-11-16 2004-04-27 Formfactor, Inc. Microelectronic spring contact elements
US7579269B2 (en) * 1993-11-16 2009-08-25 Formfactor, Inc. Microelectronic spring contact elements
US6482013B2 (en) 1993-11-16 2002-11-19 Formfactor, Inc. Microelectronic spring contact element and electronic component having a plurality of spring contact elements
US20020053734A1 (en) 1993-11-16 2002-05-09 Formfactor, Inc. Probe card assembly and kit, and methods of making same
US7073254B2 (en) 1993-11-16 2006-07-11 Formfactor, Inc. Method for mounting a plurality of spring contact elements
US5575885A (en) * 1993-12-14 1996-11-19 Kabushiki Kaisha Toshiba Copper-based metal polishing solution and method for manufacturing semiconductor device
US5429989A (en) * 1994-02-03 1995-07-04 Motorola, Inc. Process for fabricating a metallization structure in a semiconductor device
KR0147682B1 (en) * 1994-05-24 1998-11-02 구본준 Method for manufacturing metal wiring of semiconductor device
KR0138305B1 (en) * 1994-11-30 1998-06-01 김광호 Forming method of wiring in semiconductor device
US5625231A (en) * 1995-03-10 1997-04-29 Advanced Micro Devices, Inc. Low cost solution to high aspect ratio contact/via adhesion layer application for deep sub-half micrometer back-end-of line technology
US5856707A (en) * 1995-09-11 1999-01-05 Stmicroelectronics, Inc. Vias and contact plugs with an aspect ratio lower than the aspect ratio of the structure in which they are formed
US5714418A (en) * 1995-11-08 1998-02-03 Intel Corporation Diffusion barrier for electrical interconnects in an integrated circuit
US6483328B1 (en) * 1995-11-09 2002-11-19 Formfactor, Inc. Probe card for probing wafers with raised contact elements
US5670425A (en) * 1995-11-09 1997-09-23 Lsi Logic Corporation Process for making integrated circuit structure comprising local area interconnects formed over semiconductor substrate by selective deposition on seed layer in patterned trench
US5877087A (en) 1995-11-21 1999-03-02 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US6077781A (en) 1995-11-21 2000-06-20 Applied Materials, Inc. Single step process for blanket-selective CVD aluminum deposition
US6066358A (en) * 1995-11-21 2000-05-23 Applied Materials, Inc. Blanket-selective chemical vapor deposition using an ultra-thin nucleation layer
US6726776B1 (en) 1995-11-21 2004-04-27 Applied Materials, Inc. Low temperature integrated metallization process and apparatus
US5707893A (en) * 1995-12-01 1998-01-13 International Business Machines Corporation Method of making a circuitized substrate using two different metallization processes
US6013574A (en) * 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US8033838B2 (en) 1996-02-21 2011-10-11 Formfactor, Inc. Microelectronic contact structure
JPH09260492A (en) * 1996-03-25 1997-10-03 Toshiba Corp Manufacture of semiconductor device
US6083831A (en) 1996-03-26 2000-07-04 Micron Technology, Inc. Semiconductor processing method of forming a contact pedestal, of forming a storage node of a capacitor
US6077774A (en) * 1996-03-29 2000-06-20 Texas Instruments Incorporated Method of forming ultra-thin and conformal diffusion barriers encapsulating copper
US5976970A (en) * 1996-03-29 1999-11-02 International Business Machines Corporation Method of making and laterally filling key hole structure for ultra fine pitch conductor lines
US5950099A (en) * 1996-04-09 1999-09-07 Kabushiki Kaisha Toshiba Method of forming an interconnect
US5998295A (en) 1996-04-10 1999-12-07 Altera Corporation Method of forming a rough region on a substrate
US5940729A (en) * 1996-04-17 1999-08-17 International Business Machines Corp. Method of planarizing a curved substrate and resulting structure
US5891804A (en) * 1996-04-18 1999-04-06 Texas Instruments Incorporated Process for conductors with selective deposition
KR100215846B1 (en) * 1996-05-16 1999-08-16 구본준 Method for forming interconnector of semiconductor device
KR100186509B1 (en) * 1996-05-16 1999-04-15 문정환 Method of forming metal interconnector in semiconductor device
US5948700A (en) * 1996-05-20 1999-09-07 Chartered Semiconductor Manufacturing Ltd. Method of planarization of an intermetal dielectric layer using chemical mechanical polishing
US5677244A (en) * 1996-05-20 1997-10-14 Motorola, Inc. Method of alloying an interconnect structure with copper
US5851913A (en) * 1996-06-05 1998-12-22 Advanced Micro Devices, Inc. Method for forming a multilevel interconnect structure of an integrated circuit by a single via etch and single fill process
US5663108A (en) * 1996-06-13 1997-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Optimized metal pillar via process
US6429120B1 (en) 2000-01-18 2002-08-06 Micron Technology, Inc. Methods and apparatus for making integrated-circuit wiring from copper, silver, gold, and other metals
US6115233A (en) * 1996-06-28 2000-09-05 Lsi Logic Corporation Integrated circuit device having a capacitor with the dielectric peripheral region being greater than the dielectric central region
JPH1064902A (en) * 1996-07-12 1998-03-06 Applied Materials Inc Method for film formation of aluminum material and device therefor
US5693563A (en) * 1996-07-15 1997-12-02 Chartered Semiconductor Manufacturing Pte Ltd. Etch stop for copper damascene process
US6077768A (en) * 1996-07-19 2000-06-20 Motorola, Inc. Process for fabricating a multilevel interconnect
US5956612A (en) * 1996-08-09 1999-09-21 Micron Technology, Inc. Trench/hole fill processes for semiconductor fabrication
JP3516558B2 (en) * 1996-08-26 2004-04-05 シャープ株式会社 Method for manufacturing semiconductor device
US5916453A (en) 1996-09-20 1999-06-29 Fujitsu Limited Methods of planarizing structures on wafers and substrates by polishing
US6001420A (en) * 1996-09-23 1999-12-14 Applied Materials, Inc. Semi-selective chemical vapor deposition
US6537905B1 (en) * 1996-12-30 2003-03-25 Applied Materials, Inc. Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US6121129A (en) * 1997-01-15 2000-09-19 International Business Machines Corporation Method of contact structure formation
US6139697A (en) * 1997-01-31 2000-10-31 Applied Materials, Inc. Low temperature integrated via and trench fill process and apparatus
US6520778B1 (en) 1997-02-18 2003-02-18 Formfactor, Inc. Microelectronic contact structures, and methods of making same
US20040222525A1 (en) * 1997-03-14 2004-11-11 Rhodes Howard E. Advanced VLSI metallization
US6139905A (en) * 1997-04-11 2000-10-31 Applied Materials, Inc. Integrated CVD/PVD Al planarization using ultra-thin nucleation layers
AU7147798A (en) 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US7714235B1 (en) 1997-05-06 2010-05-11 Formfactor, Inc. Lithographically defined microelectronic contact structures
US6605197B1 (en) 1997-05-13 2003-08-12 Applied Materials, Inc. Method of sputtering copper to fill trenches and vias
TW417249B (en) * 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
WO1998052224A1 (en) * 1997-05-15 1998-11-19 Formfactor, Inc. Lithographically defined microelectronic contact structures
US6037248A (en) * 1997-06-13 2000-03-14 Micron Technology, Inc. Method of fabricating integrated circuit wiring with low RC time delay
US6197685B1 (en) * 1997-07-11 2001-03-06 Matsushita Electronics Corporation Method of producing multilayer wiring device with offset axises of upper and lower plugs
US5989623A (en) * 1997-08-19 1999-11-23 Applied Materials, Inc. Dual damascene metallization
US5904569A (en) * 1997-09-03 1999-05-18 National Semiconductor Corporation Method for forming self-aligned vias in multi-metal integrated circuits
JP2001516970A (en) * 1997-09-18 2001-10-02 シーブイシー プロダクツ、インコーポレイテッド Method and apparatus for interconnect fabrication of high performance integrated circuits
US6593282B1 (en) 1997-10-21 2003-07-15 Lam Research Corporation Cleaning solutions for semiconductor substrates after polishing of copper film
US6303551B1 (en) 1997-10-21 2001-10-16 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of cooper film
US6165956A (en) * 1997-10-21 2000-12-26 Lam Research Corporation Methods and apparatus for cleaning semiconductor substrates after polishing of copper film
US6479443B1 (en) 1997-10-21 2002-11-12 Lam Research Corporation Cleaning solution and method for cleaning semiconductor substrates after polishing of copper film
US5976928A (en) * 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US7253109B2 (en) 1997-11-26 2007-08-07 Applied Materials, Inc. Method of depositing a tantalum nitride/tantalum diffusion barrier layer system
JP4947834B2 (en) * 1997-11-26 2012-06-06 アプライド マテリアルズ インコーポレイテッド Damage-free coating engraving deposition method
US6174811B1 (en) * 1998-12-02 2001-01-16 Applied Materials, Inc. Integrated deposition process for copper metallization
US6706623B1 (en) * 1997-12-10 2004-03-16 Texas Instruments Incorporated Method and system for avoiding plasma etch damage
TW356583B (en) * 1997-12-19 1999-04-21 United Microelectronics Corp Barrier layer forming method
US6069078A (en) * 1997-12-30 2000-05-30 Utmc Microelectronic Systems Inc. Multi-level interconnect metallization technique
US6028004A (en) * 1998-01-06 2000-02-22 International Business Machines Corporation Process for controlling the height of a stud intersecting an interconnect
US6261941B1 (en) * 1998-02-12 2001-07-17 Georgia Tech Research Corp. Method for manufacturing a multilayer wiring substrate
US5976967A (en) * 1998-02-13 1999-11-02 Texas Instruments - Acer Incorporated Dual damascene process for multi-level metallization and interconnection structure
US6807734B2 (en) * 1998-02-13 2004-10-26 Formfactor, Inc. Microelectronic contact structures, and methods of making same
US6211073B1 (en) 1998-02-27 2001-04-03 Micron Technology, Inc. Methods for making copper and other metal interconnections in integrated circuits
US5980657A (en) * 1998-03-10 1999-11-09 Micron Technology, Inc. Alloy for enhanced filling of high aspect ratio dual damascene structures
US6316356B1 (en) 1998-03-10 2001-11-13 Micron Technology, Inc. Thermal processing of metal alloys for an improved CMP process in integrated circuit fabrication
KR100287173B1 (en) * 1998-03-13 2001-06-01 윤종용 Method for removing photoresist and method for manufacturing semiconductor device using the same
JP4304547B2 (en) * 1998-03-20 2009-07-29 キヤノンアネルバ株式会社 Single wafer CVD apparatus and single wafer CVD method
US5968333A (en) * 1998-04-07 1999-10-19 Advanced Micro Devices, Inc. Method of electroplating a copper or copper alloy interconnect
US6181012B1 (en) 1998-04-27 2001-01-30 International Business Machines Corporation Copper interconnection structure incorporating a metal seed layer
US6517894B1 (en) * 1998-04-30 2003-02-11 Ebara Corporation Method for plating a first layer on a substrate and a second layer on the first layer
JPH11312680A (en) * 1998-04-30 1999-11-09 Nec Corp Forming method of wiring
US6461675B2 (en) * 1998-07-10 2002-10-08 Cvc Products, Inc. Method for forming a copper film on a substrate
KR100451492B1 (en) * 1998-07-13 2004-12-14 주식회사 하이닉스반도체 Contact hole formation method of semiconductor device
US6287977B1 (en) 1998-07-31 2001-09-11 Applied Materials, Inc. Method and apparatus for forming improved metal interconnects
US6284656B1 (en) 1998-08-04 2001-09-04 Micron Technology, Inc. Copper metallurgy in integrated circuits
US6271131B1 (en) 1998-08-26 2001-08-07 Micron Technology, Inc. Methods for forming rhodium-containing layers such as platinum-rhodium barrier layers
US6190732B1 (en) 1998-09-03 2001-02-20 Cvc Products, Inc. Method and system for dispensing process gas for fabricating a device on a substrate
US6284655B1 (en) * 1998-09-03 2001-09-04 Micron Technology, Inc. Method for producing low carbon/oxygen conductive layers
US6239028B1 (en) * 1998-09-03 2001-05-29 Micron Technology, Inc. Methods for forming iridium-containing films on substrates
US6323081B1 (en) 1998-09-03 2001-11-27 Micron Technology, Inc. Diffusion barrier layers and methods of forming same
US6288442B1 (en) 1998-09-10 2001-09-11 Micron Technology, Inc. Integrated circuit with oxidation-resistant polymeric layer
WO2000016393A1 (en) * 1998-09-17 2000-03-23 Atmel Corporation Method of forming interconnects using selective deposition
US6255192B1 (en) 1998-09-29 2001-07-03 Conexant Systems, Inc. Methods for barrier layer formation
US6169024B1 (en) 1998-09-30 2001-01-02 Intel Corporation Process to manufacture continuous metal interconnects
JP3180779B2 (en) * 1998-10-05 2001-06-25 日本電気株式会社 Method for manufacturing semiconductor device
US6056864A (en) * 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6204176B1 (en) * 1998-11-10 2001-03-20 Sharp Laboratories Of America, Inc. Substituted phenylethylene precursor deposition method
JP2000150647A (en) * 1998-11-11 2000-05-30 Sony Corp Wiring structure and its manufacture
US6127282A (en) * 1998-11-12 2000-10-03 Advanced Micro Devices, Inc. Method for removing copper residue from surfaces of a semiconductor wafer
US6184137B1 (en) 1998-11-25 2001-02-06 Applied Materials, Inc. Structure and method for improving low temperature copper reflow in semiconductor features
US6268015B1 (en) 1998-12-02 2001-07-31 Formfactor Method of making and using lithographic contact springs
KR20070087060A (en) * 1998-12-02 2007-08-27 폼팩터, 인크. Method of making an electrical contact structure
US6672875B1 (en) 1998-12-02 2004-01-06 Formfactor, Inc. Spring interconnect structures
US6491968B1 (en) 1998-12-02 2002-12-10 Formfactor, Inc. Methods for making spring interconnect structures
US6255126B1 (en) * 1998-12-02 2001-07-03 Formfactor, Inc. Lithographic contact elements
JP2000183067A (en) * 1998-12-18 2000-06-30 Rohm Co Ltd Manufacture of semiconductor device
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
JP3708732B2 (en) * 1998-12-25 2005-10-19 Necエレクトロニクス株式会社 Manufacturing method of semiconductor device
US6291339B1 (en) 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Bilayer interlayer dielectric having a substantially uniform composite interlayer dielectric constant over pattern features of varying density and method of making the same
US6287968B1 (en) * 1999-01-04 2001-09-11 Advanced Micro Devices, Inc. Method of defining copper seed layer for selective electroless plating processing
US6417090B1 (en) 1999-01-04 2002-07-09 Advanced Micro Devices, Inc. Damascene arrangement for metal interconnection using low k dielectric constant materials for etch stop layer
US6291887B1 (en) 1999-01-04 2001-09-18 Advanced Micro Devices, Inc. Dual damascene arrangements for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6153514A (en) * 1999-01-04 2000-11-28 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and nitride middle etch stop layer
US6235628B1 (en) 1999-01-05 2001-05-22 Advanced Micro Devices, Inc. Method of forming dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide middle etch stop layer
US6255735B1 (en) 1999-01-05 2001-07-03 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with low k dielectric constant materials in dielectric layers
US6207576B1 (en) 1999-01-05 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with low k dielectric constant materials and oxide etch stop layer
WO2000041235A1 (en) 1999-01-08 2000-07-13 Applied Materials, Inc. Method of depositing a copper seed layer which promotes improved feature surface coverage
US6380091B1 (en) 1999-01-27 2002-04-30 Advanced Micro Devices, Inc. Dual damascene arrangement for metal interconnection with oxide dielectric layer and low K dielectric constant layer
US6207577B1 (en) 1999-01-27 2001-03-27 Advanced Micro Devices, Inc. Self-aligned dual damascene arrangement for metal interconnection with oxide dielectric layer and low k dielectric constant layer
US20020127845A1 (en) * 1999-03-01 2002-09-12 Paul A. Farrar Conductive structures in integrated circuits
US6228760B1 (en) 1999-03-08 2001-05-08 Taiwan Semiconductor Manufacturing Company Use of PE-SiON or PE-OXIDE for contact or via photo and for defect reduction with oxide and W chemical-mechanical polish
US6245655B1 (en) 1999-04-01 2001-06-12 Cvc Products, Inc. Method for planarized deposition of a material
US6221763B1 (en) 1999-04-05 2001-04-24 Micron Technology, Inc. Method of forming a metal seed layer for subsequent plating
US6329286B1 (en) 1999-04-27 2001-12-11 Micron Technology, Inc. Methods for forming conformal iridium layers on substrates
US6037255A (en) * 1999-05-12 2000-03-14 Intel Corporation Method for making integrated circuit having polymer interlayer dielectric
US6627542B1 (en) 1999-07-12 2003-09-30 Applied Materials, Inc. Continuous, non-agglomerated adhesion of a seed layer to a barrier layer
US6328871B1 (en) * 1999-08-16 2001-12-11 Applied Materials, Inc. Barrier layer for electroplating processes
US6413854B1 (en) 1999-08-24 2002-07-02 International Business Machines Corp. Method to build multi level structure
US7825491B2 (en) 2005-11-22 2010-11-02 Shocking Technologies, Inc. Light-emitting device using voltage switchable dielectric material
US7446030B2 (en) * 1999-08-27 2008-11-04 Shocking Technologies, Inc. Methods for fabricating current-carrying structures using voltage switchable dielectric materials
AU6531600A (en) 1999-08-27 2001-03-26 Lex Kosowsky Current carrying structure using voltage switchable dielectric material
US7695644B2 (en) 1999-08-27 2010-04-13 Shocking Technologies, Inc. Device applications for voltage switchable dielectric material having high aspect ratio particles
US6924226B2 (en) * 1999-10-02 2005-08-02 Uri Cohen Methods for making multiple seed layers for metallic interconnects
US7105434B2 (en) * 1999-10-02 2006-09-12 Uri Cohen Advanced seed layery for metallic interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6207558B1 (en) 1999-10-21 2001-03-27 Applied Materials, Inc. Barrier applications for aluminum planarization
US7211512B1 (en) 2000-01-18 2007-05-01 Micron Technology, Inc. Selective electroless-plated copper metallization
US6420262B1 (en) 2000-01-18 2002-07-16 Micron Technology, Inc. Structures and methods to enhance copper metallization
US7262130B1 (en) 2000-01-18 2007-08-28 Micron Technology, Inc. Methods for making integrated-circuit wiring from copper, silver, gold, and other metals
US6376370B1 (en) 2000-01-18 2002-04-23 Micron Technology, Inc. Process for providing seed layers for using aluminum, copper, gold and silver metallurgy process for providing seed layers for using aluminum, copper, gold and silver metallurgy
US6261954B1 (en) 2000-02-10 2001-07-17 Chartered Semiconductor Manufacturing, Ltd. Method to deposit a copper layer
TW441019B (en) * 2000-02-23 2001-06-16 Taiwan Semiconductor Mfg Manufacturing method of copper damascene
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
US6674167B1 (en) 2000-05-31 2004-01-06 Micron Technology, Inc. Multilevel copper interconnect with double passivation
US6423629B1 (en) 2000-05-31 2002-07-23 Kie Y. Ahn Multilevel copper interconnects with low-k dielectrics and air gaps
JP4023076B2 (en) * 2000-07-27 2007-12-19 富士通株式会社 Front and back conductive substrate and manufacturing method thereof
US6562715B1 (en) 2000-08-09 2003-05-13 Applied Materials, Inc. Barrier layer structure for copper metallization and method of forming the structure
US6436267B1 (en) 2000-08-29 2002-08-20 Applied Materials, Inc. Method for achieving copper fill of high aspect ratio interconnect features
US6660631B1 (en) 2000-08-31 2003-12-09 Micron Technology, Inc. Devices containing platinum-iridium films and methods of preparing such films and devices
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
KR100366632B1 (en) * 2000-10-10 2003-01-09 삼성전자 주식회사 Semiconductor device for suppressing detachment of conducting layer and method thereof
DE10053467A1 (en) * 2000-10-27 2002-05-16 Infineon Technologies Ag Forming contacts in ICs involves chemically-mechanically polishing structure resulting from applying mask layer, forming opening, etching contact hole, applying liner, contact material
US6753258B1 (en) 2000-11-03 2004-06-22 Applied Materials Inc. Integration scheme for dual damascene structure
US6433402B1 (en) * 2000-11-16 2002-08-13 Advanced Micro Devices, Inc. Selective copper alloy deposition
US6613664B2 (en) * 2000-12-28 2003-09-02 Infineon Technologies Ag Barbed vias for electrical and mechanical connection between conductive layers in semiconductor devices
US6486049B2 (en) * 2001-04-30 2002-11-26 Motorola, Inc. Method of fabricating semiconductor devices with contact studs formed without major polishing defects
JP3530149B2 (en) * 2001-05-21 2004-05-24 新光電気工業株式会社 Wiring board manufacturing method and semiconductor device
KR100531419B1 (en) * 2001-06-12 2005-11-28 주식회사 하이닉스반도체 semiconductor device and method for fabricating the same
US6521523B2 (en) * 2001-06-15 2003-02-18 Silicon Integrated Systems Corp. Method for forming selective protection layers on copper interconnects
JP2003152165A (en) * 2001-11-15 2003-05-23 Fujitsu Ltd Semiconductor device and manufacturing method thereof
US6743641B2 (en) * 2001-12-20 2004-06-01 Micron Technology, Inc. Method of improving surface planarity prior to MRAM bit material deposition
US6797620B2 (en) * 2002-04-16 2004-09-28 Applied Materials, Inc. Method and apparatus for improved electroplating fill of an aperture
US7363705B2 (en) * 2003-02-04 2008-04-29 Microfabrica, Inc. Method of making a contact
US7265565B2 (en) 2003-02-04 2007-09-04 Microfabrica Inc. Cantilever microprobes for contacting electronic components and methods for making such probes
US20060053625A1 (en) * 2002-05-07 2006-03-16 Microfabrica Inc. Microprobe tips and methods for making
US7273812B2 (en) * 2002-05-07 2007-09-25 Microfabrica Inc. Microprobe tips and methods for making
US7412767B2 (en) * 2003-02-04 2008-08-19 Microfabrica, Inc. Microprobe tips and methods for making
US20060051948A1 (en) * 2003-02-04 2006-03-09 Microfabrica Inc. Microprobe tips and methods for making
US20040009665A1 (en) * 2002-06-04 2004-01-15 Applied Materials, Inc. Deposition of copper films
US20040082169A1 (en) * 2002-10-29 2004-04-29 Chartered Semiconductor Manufacturing Ltd. Deposition of barrier metal in damascene interconnects using metal carbonyl
US6878620B2 (en) * 2002-11-12 2005-04-12 Applied Materials, Inc. Side wall passivation films for damascene cu/low k electronic devices
US7122760B2 (en) * 2002-11-25 2006-10-17 Formfactor, Inc. Using electric discharge machining to manufacture probes
US6945827B2 (en) * 2002-12-23 2005-09-20 Formfactor, Inc. Microelectronic contact structure
US10416192B2 (en) 2003-02-04 2019-09-17 Microfabrica Inc. Cantilever microprobes for contacting electronic components
US20080211524A1 (en) * 2003-02-04 2008-09-04 Microfabrica Inc. Electrochemically Fabricated Microprobes
KR100515058B1 (en) * 2003-03-31 2005-09-14 삼성전자주식회사 Methods of forming semiconductor device having metal patterns
US7220665B2 (en) 2003-08-05 2007-05-22 Micron Technology, Inc. H2 plasma treatment
US20080108221A1 (en) * 2003-12-31 2008-05-08 Microfabrica Inc. Microprobe Tips and Methods for Making
JP4339152B2 (en) * 2004-03-08 2009-10-07 富士通マイクロエレクトロニクス株式会社 Method for forming wiring structure
TWI231960B (en) * 2004-05-31 2005-05-01 Mosel Vitelic Inc Method of forming films in the trench
US7282802B2 (en) * 2004-10-14 2007-10-16 International Business Machines Corporation Modified via bottom structure for reliability enhancement
KR100613346B1 (en) * 2004-12-15 2006-08-21 동부일렉트로닉스 주식회사 Semiconductor device and manufacturing method thereof
KR20060077353A (en) * 2004-12-30 2006-07-05 삼성전자주식회사 Slurry composition, method for polishing an object and method for forming a contact of a semiconductor device using the slurry composition
KR100705397B1 (en) * 2005-07-13 2007-04-10 삼성전자주식회사 Method of forming tungsten layer having low resistance
US7923844B2 (en) 2005-11-22 2011-04-12 Shocking Technologies, Inc. Semiconductor devices including voltage switchable materials for over-voltage protection
UA90089C2 (en) * 2006-02-08 2010-04-12 Григорий БЕРЕЗИН Method for production of coke from the non-coking ranks of coal and the apparatus for its realization
US8258057B2 (en) 2006-03-30 2012-09-04 Intel Corporation Copper-filled trench contact for transistor performance improvement
US20070281476A1 (en) * 2006-06-02 2007-12-06 Lavoie Adrien R Methods for forming thin copper films and structures formed thereby
US7981325B2 (en) 2006-07-29 2011-07-19 Shocking Technologies, Inc. Electronic device for voltage switchable dielectric material having high aspect ratio particles
WO2008036423A2 (en) 2006-09-24 2008-03-27 Shocking Technologies, Inc. Formulations for voltage switchable dielectric material having a stepped voltage response and methods for making the same
KR20080061030A (en) * 2006-12-27 2008-07-02 동부일렉트로닉스 주식회사 Method for forming the metal interconnection of a semiconductor device
US7793236B2 (en) 2007-06-13 2010-09-07 Shocking Technologies, Inc. System and method for including protective voltage switchable dielectric material in the design or simulation of substrate devices
US8004060B2 (en) * 2007-11-29 2011-08-23 International Business Machines Corporation Metal gate compatible electrical antifuse
US8206614B2 (en) 2008-01-18 2012-06-26 Shocking Technologies, Inc. Voltage switchable dielectric material having bonded particle constituents
US20090243088A1 (en) * 2008-03-28 2009-10-01 M/A-Com, Inc. Multiple Layer Metal Integrated Circuits and Method for Fabricating Same
US8203421B2 (en) 2008-04-14 2012-06-19 Shocking Technologies, Inc. Substrate device or package using embedded layer of voltage switchable dielectric material in a vertical switching configuration
EP2342722A2 (en) 2008-09-30 2011-07-13 Shocking Technologies Inc Voltage switchable dielectric material containing conductive core shelled particles
US9208931B2 (en) 2008-09-30 2015-12-08 Littelfuse, Inc. Voltage switchable dielectric material containing conductor-on-conductor core shelled particles
DE102008058974A1 (en) * 2008-09-30 2010-04-29 Infineon Technologies Ag Semiconductor device and method for manufacturing a semiconductor device
US8362871B2 (en) 2008-11-05 2013-01-29 Shocking Technologies, Inc. Geometric and electric field considerations for including transient protective material in substrate devices
US8399773B2 (en) 2009-01-27 2013-03-19 Shocking Technologies, Inc. Substrates having voltage switchable dielectric materials
US8272123B2 (en) 2009-01-27 2012-09-25 Shocking Technologies, Inc. Substrates having voltage switchable dielectric materials
US9226391B2 (en) 2009-01-27 2015-12-29 Littelfuse, Inc. Substrates having voltage switchable dielectric materials
KR101679099B1 (en) 2009-03-26 2016-11-23 쇼킹 테크놀로지스 인코포레이티드 Components having voltage switchable dielectric materials
US8796041B2 (en) 2009-08-28 2014-08-05 International Business Machines Corporation Pillar-based interconnects for magnetoresistive random access memory
US9053844B2 (en) 2009-09-09 2015-06-09 Littelfuse, Inc. Geometric configuration or alignment of protective material in a gap structure for electrical devices
US9224728B2 (en) 2010-02-26 2015-12-29 Littelfuse, Inc. Embedded protection against spurious electrical events
US9082622B2 (en) 2010-02-26 2015-07-14 Littelfuse, Inc. Circuit elements comprising ferroic materials
US9320135B2 (en) 2010-02-26 2016-04-19 Littelfuse, Inc. Electric discharge protection for surface mounted and embedded components
US8518818B2 (en) * 2011-09-16 2013-08-27 Taiwan Semiconductor Manufacturing Co., Ltd. Reverse damascene process
US20130313718A1 (en) * 2012-05-24 2013-11-28 Micron Technology, Inc. Substrates Comprising Integrated Circuitry, Methods Of Processing A Substrate Comprising Integrated Circuitry, And Methods Of Back-Side Thinning A Substrate Comprising Integrated Circuitry
US20130313948A1 (en) * 2012-05-24 2013-11-28 Oved Zucker Electric Motor/Generator With Multiple Individually Controlled Turn-Less Structures
US8999782B2 (en) 2013-03-11 2015-04-07 Semiconductor Components Industries, Llc Process of forming an electronic device including a vertical conductive structure
US9252110B2 (en) * 2014-01-17 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structure and method of forming same
US9281211B2 (en) 2014-02-10 2016-03-08 International Business Machines Corporation Nanoscale interconnect structure
DE102016104306B4 (en) 2016-03-09 2020-04-09 Infineon Technologies Ag EXPANSION SENSOR OR REDUCING EXTENSION DRIFT OF A BRIDGE CIRCUIT
US11289483B2 (en) 2016-12-23 2022-03-29 Intel Corporation Metal fuse and self-aligned gate edge (SAGE) architecture having a metal fuse
CN110546302B (en) 2017-05-05 2022-05-27 Asm Ip 控股有限公司 Plasma enhanced deposition method for controlled formation of oxygen-containing films
CN110651064B (en) * 2017-05-16 2022-08-16 Asm Ip 控股有限公司 Selective PEALD of oxides on dielectrics
US11262383B1 (en) 2018-09-26 2022-03-01 Microfabrica Inc. Probes having improved mechanical and/or electrical properties for making contact between electronic circuit elements and methods for making
WO2020086532A1 (en) * 2018-10-22 2020-04-30 Thin Film Electronics Asa Barrier stacks for printed and/or thin film electronics methods of manufacturing the same, and method of controlling a threshold voltage of a thin film transistor
CN112582341B (en) * 2020-12-15 2024-03-15 上海集成电路研发中心有限公司 Interconnection structure and manufacturing method thereof

Family Cites Families (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5084413A (en) * 1986-04-15 1992-01-28 Matsushita Electric Industrial Co., Ltd. Method for filling contact hole
US5063175A (en) * 1986-09-30 1991-11-05 North American Philips Corp., Signetics Division Method for manufacturing a planar electrical interconnection utilizing isotropic deposition of conductive material
US4822753A (en) * 1988-05-09 1989-04-18 Motorola, Inc. Method for making a w/tin contact
US4954214A (en) * 1989-01-05 1990-09-04 Northern Telecom Limited Method for making interconnect structures for VLSI devices
US5091339A (en) * 1990-07-23 1992-02-25 Microelectronics And Computer Technology Corporation Trenching techniques for forming vias and channels in multilayer electrical interconnects
JPH04320330A (en) * 1991-04-19 1992-11-11 Sharp Corp Method for forming contact portion of semiconductor device
US5124780A (en) * 1991-06-10 1992-06-23 Micron Technology, Inc. Conductive contact plug and a method of forming a conductive contact plug in an integrated circuit using laser planarization
US5225034A (en) * 1992-06-04 1993-07-06 Micron Technology, Inc. Method of chemical mechanical polishing predominantly copper containing metal layers in semiconductor processing

Also Published As

Publication number Publication date
CA2082771A1 (en) 1994-05-13
US5354712A (en) 1994-10-11

Similar Documents

Publication Publication Date Title
CA2082771C (en) Method for forming interconnect structures for integrated circuits
EP0788156B1 (en) Refractory metal capped low resistivity metal conductor lines and vias formed using PVD and CVD
US5635423A (en) Simplified dual damascene process for multi-level metallization and interconnection structure
US6133144A (en) Self aligned dual damascene process and structure with low parasitic capacitance
US6245663B1 (en) IC interconnect structures and methods for making same
US5741741A (en) Method for making planar metal interconnections and metal plugs on semiconductor substrates
US6121150A (en) Sputter-resistant hardmask for damascene trench/via formation
KR970006973B1 (en) Refractory metal capped low resistivity metal conductor lines and vias
US6537905B1 (en) Fully planarized dual damascene metallization using copper line interconnect and selective CVD aluminum plug
US5897369A (en) Method for forming interconnection of a semiconductor device
US6734096B2 (en) Fine-pitch device lithography using a sacrificial hardmask
US5227335A (en) Tungsten metallization
US6211085B1 (en) Method of preparing CU interconnect lines
JP2004513502A (en) Forming metal interconnects
JPH11168105A (en) Manufacture of semiconductor integrated circuit
US20030181034A1 (en) Methods for forming vias and trenches with controlled SiC etch rate and selectivity
WO2002061823A1 (en) Integration of organic fill for dual damascene process
US6429119B1 (en) Dual damascene process to reduce etch barrier thickness
JP3189970B2 (en) Method for manufacturing semiconductor device
EP0267730B1 (en) Tungsten metallization
KR100613296B1 (en) Fabricating method of metal line in semiconductor device
KR20040029868A (en) Fabrication method of semiconductor device
CN116190308A (en) Interconnect structure for semiconductor device
KR100617059B1 (en) A method for fabricating a damascene of a semiconductor device
KR20030080317A (en) Method for fabricating damascene pattern of smiconductor

Legal Events

Date Code Title Description
EEER Examination request
MKLA Lapsed