CA2191456A1 - Method and apparatus for low temperature deposition of cvd and pecvd films - Google Patents

Method and apparatus for low temperature deposition of cvd and pecvd films

Info

Publication number
CA2191456A1
CA2191456A1 CA002191456A CA2191456A CA2191456A1 CA 2191456 A1 CA2191456 A1 CA 2191456A1 CA 002191456 A CA002191456 A CA 002191456A CA 2191456 A CA2191456 A CA 2191456A CA 2191456 A1 CA2191456 A1 CA 2191456A1
Authority
CA
Canada
Prior art keywords
showerhead
substrate
cylinder
gas
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002191456A
Other languages
French (fr)
Inventor
Robert F. Foster
Joseph T. Hillman
Rikhit Arora
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Robert F. Foster
Joseph T. Hillman
Rikhit Arora
Materials Research Corporation
Tokyo Electron Limited
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Robert F. Foster, Joseph T. Hillman, Rikhit Arora, Materials Research Corporation, Tokyo Electron Limited filed Critical Robert F. Foster
Publication of CA2191456A1 publication Critical patent/CA2191456A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4417Methods specially adapted for coating powder
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4558Perforated rings
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/935Gas flow control

Abstract

Low temperature deposition of CVD and PECVD
films utilizes a gas-dispersing showerhead (36) position within one inch of a rotating substrate. The showerhead is positioned a suitable distance below a gas-dispensing ring (50, 52) such a steady state flow of gas develop, between the ring and showerhead. A cylindrical structure extends between the gas-dispersing ring and a showerhead to contain the gas over the showerhead yielding a small boundary layer over the substrate. The showerhead is biased with RF energy such that it acts as an electrode to incite a plasma proximate with the substrate for PECVD. The cylinder (60) is isolated from the showerhead such as by a quartz insulator ring (62) to prevent ignition of a plasma within the cylinder, or alternatively, the cylinder is fabricated of quartz material. The RF showerhead utilizes small gas-dispersing holes (54) to further prevent ignition of a plasma within the cylinder.

Description

~WO 95133868 2 ~ 31~ ~ 6 PCTIUS94/13614 METEIOD AND APPARATl~S FOR LOW TEMPE~TURE
DEPOSI'IION OF CVD AND PECVD FI~.M~
Field of the Inv~ontj~ln This invention relates to chemical vapor deposition and specifically to methods and ~ which utili7e a unique A~
between a gas- dispensing r~ and a rotating susceptor for more efficient gas u~lization and more ' plasrnas. More ~;r.~ll~, the methods and ~ are y~i.~ul~ly useful for depositing CVD films consaining titanium.
,u .,d of the Inv~ntinn Chemical vapor deposition, or CVD is a commonly used technique for applying material films or layers to a substrate in the formation of integrated circuits. CVD comprises; ~ E various reactant gases into a deposition chamber housing a substrate. The reactant gases mi~ pro~imate the substrate and chemically react at the sur~ace of the substrate. One or more reactant products from the chemical reactions deposit upon the substrate surface and form a film.

WO 95/33868 21 9 ~ ~ S 6 PCTIUS94/13614 ~
--2-- ~
One form of CVD i~s,"~ i 1 ' ' chemical vapor deposition or PECVD. In PECVD, one or more of the reactant gases are e~cited into a piasrna such as by being e~pose(i to RF or ~.fi. ~u.. ~ elec~l energy. The p~asma includes various activated particles of tne gas or gases.
The e~cited plasma is mi~ed with other reactant gases, and tne plasma supplies energy to the chemical reaction between the various gases to deposit a film on a substrate.
As may be rr ~ the flow of the reactant gases to the substrate surface and to the plasma is important to ensure proper deposition of films in both CVD and PECVD. Preferably, the flOw of the plasma gases to thc e~cited plasma in PECVD, in addition to the flow of the other reactant gases to the subst~ate surface are ur~iform to promote uniform deposition of the desired film.
In some CVD ' , the reactant gases are introduced at yl~ ' ' flow ratcs and evacuaterd at similar ratcs to cnsure that the reactants are propelled in sufficieM densities to react and form the desired fiim. Generally, thc reactant gases are introduced above a substrate, such as by a gas ring or halo, and travel du...~udly to the substrate at rt, .1. 1.~ flow rates. Upon reaching the substrate, the gases mi~ and react to form a film and any remaining gases are e~hausterd such as by a vacuum system. In such CVD t~hni1u~ there is usually a stagnant lay betwe~n tne gas flow of the mi~ed reactant gases and the substratc sur~ce where very small densities of reactants are present. Such a stagnant layer is referred to as a boundary layer. When t~e boundary layer is large, an ~WO 9S/33868 21~ l q ~ 6 PCT/US94/13614 _3 I,lr amount of the reactant gases may bypass the substrate and be e~hausted from the reaction chamber without reacting. This is wasteful, and therefore costly. It is prefe~ble to have a boundary layer as thin and flat as possible so that a useful density of the gas reactants used in tbe chemical reaction are available at the substrate surface and do not bypass the substrate to be e~hausted, unreacted, out of the chamber.
One way of acbieving a thin boundary layer at the substrate is to introduce the reac~ant gases under matched flow conditions. Matched flow of reactant gases is achieved when the outward volume of gas flowing pa~allel to and over the flat surface of the substrate is ~ the same as the input volume of gas flowing generally downward and ~ to the substr~te surface. With low gas flow rates, matched flow can usually be readily achieved; however, with higher gas flow rates, the reactant gases at the substrate do not flow outwardly over the surface of the substrate rapidly enough, and hence, turbulence and baclcflow of the downward gas flow results.
One alternative for reducing such bac~flow and turbulence at increased input gas flow rates is to rotate the substrate on a rotatirlg susceptor.
An e~ample of a suitable rotating susceptor is utilized within the Rotating Dislc Reactor available from Materials Research Cn~rn~nnn (MRC) of Phoeni~, Arizona. A rotating susceptor spins the substrate and creates a downward and outward pumping action which draws the reactant gases to the surface of the substrate and outwardly over the surface. The pumping action creates a more rapid outward flow of the gases over the substrate to allow a higher downward gas flow rate without bacldlow and h~ on~- Preferably, the wafer is O O ~ C G ~. ~ C. C
wo ss/33s6s ~!1 g ~ 4 5 6 ` ` ~ PCltUSg4R3614 r ~

ratated at a speed which achieves matched flow, i.e., where the downward flaw rate is ~uaL ta the ~utward flow rate. Matched reactant gas flow using a ratating susceptor ensures that a suitably thin boundary ~ayer of reactarlt gas is present for uniform deposiion of a film.
While the use of a rotattng susceptor allows greater gas input flow rates, it has generally been found that thc vdocity profile of the reactant gases pumped by the susceptor should be fully devdoped before the gases reach the ratatLng substrate surface in order to obtain a uniform flow over the substtate and thus uniform deposiion on the substtate. That is, the velocity of the incoming gas flow a3 measured across the flow path should reach a steady state. To achieve a steady state flow using current~y available CVD
cl3C~ l30Qop~
at useful deposiion pressures (e g. fro~ to 100 Torr)), it has been necessary to space the gas r~ng and gas-dispersing allu _ ' ' or other I CO ~r~
gas input device A I ~ (4) inches) or more from the surface of the rotrting substrate. While en~ancing the steady state flow of the gas at the substrate, such a iarge spacing is not without its drawbacks.
One significant drawback is that the incoming reactant gases disperse when travding such a large distance between their point of tlll 1;--.1 and the rotating substrate. Wlth such dispersion, an ~
volume of the react~Lnt gases bypass the substrate around the substrate edges and e~it the reacion chamber without reacting at the substrate surface. For e~unple, Fig. 1 shows various ~1, r.~ 5 of a downward and outward reactant gas flow within a CVD reaction space 7 which houses a substrate 8 which rotates on a rotaing susceptor 6. The ~llr~ t ll ~ 5 are from gas rings AM~ND~ 3H~

~ c e W0 9~i/33868 ~ rPcrius~4ll~6~4 5~
s ~
and a gas-dispersing ' ' (not shown) spa~d ~ 4 inches)or more above susceptor 6 and subst~ate 8. The ~ 5 illustrate what occurs when such a large spacing is used bet Yeen the gas-dispensing rings and sllu _ ' ' and the rotating substrate 8. As may be seen, the aYerage si~e of the boundary layer, indicated geneIaily by reference numeral 10, is fairly signifient and a substantial amûunt of the rea~nt O~ases 5 b,Ypass rotating substrate 8 and pass around the baffle 11 to be e~hausted out ûf the reaction space 7 by an ~ e~haust s,Ystem ~not shown). l~e significant bypassing of the gases S lowers the deposition rate because there is a reduced dcnsit,Y of reactants available at the substrate surface 12 fûr the surface rcaction. F ~ the wide boundary layer of reactant gases 5 at the substrate surface 11 affects the uniformity of the film deposited on substrate 8.
S~ rther, the wasted, unreacted gases which are ec!Lausted make the overall dcposition technique inefficient and costly.
Another drawback to the large spacing between the gas dispensing and dispersing structures and the rotating substrate is the inability to ignite a ~urr..;~.~ dense plasma pro~cimate the substrate. Specifically, in PECYD t~h";TI~ it is desirable to generate a reactant gas plasma close to the substra~e so that a sufficient densiq of actiYated plasma particles are present to proYide energy to the surace rea~on. P~L~.~1Y, a ~
plasma is necessary for lu.. ~ = PE(~ of titanium-containing films as disclosed in the U. S. patent rl~ rllrl~ entitled ~Method And Apparatus Far Producing Thin Flms By Low T~ c pl~sm~ E~l~i Chennical Vapor Deposition Using A Rotating Susceptor Rcactcr" which is being filed on AMEND~D 9~

c ~ r. ~ r ~
WO 95/33868 gl 4 5 6 ~ ~ r PcT/us94n36~4r C~ ~c. ~o~S/3~5~G~
~5e san e day herewitl3~ However, igniring a su~tably deslse plasrna prc~cima5tethe rotztirlg substrate while ~ a stcady stzte gas flow to the plasma has s50t been ~ rA h~ achieved with curr~nt ~ utili~ng gas rings ~ lod~.~ ~S) and ~ ula ~ ru~L (4)10r .~ -- C~ 5~frcm the rctat~ng substra~
Therefore, it is an objectiYe of the presenst inverstion to disperse the reactant g~sses at substrate s~ such tslzt there is a small boundary lay ar5d sufficient densities of the gases at the subst5ate surface while ; ~ e a steady state gas flow to the substrate. Furthc, it i5 an objective to produce a de~se p5'asma at the substrate surface such that t~se plasma is ~-.C[,~.~
~1.. .1 I.~lA~i at the substrate surface to yield deposi~io~ of a PECYD film.
Sllmm~ry of the Invention In A- ~ with the above objectives, the invention provides 5 and methods for dispersing reactant gases close to a rotating subst5-ate in a CVD reaction c lambc such ts~ at t~ere is improved reactant gas f50w ov the surface of the substra5-e ar5d a reducs d boundary ~ay for more ef Eicient and uniform deposition and gas utsli~aion. Furth, the present inYentiOn produces a ' plasma at the rotating subs~te to produce PECVD f5l1ms ..~.~.1!~1~ for PEC~D of a titaùium containing film at low ~. . I 11~ . A~ 1. 1 . .
The preseFst inYention utilizes a ~as dispasing ' posiioned within on~ inch of a rotaing substra~e" The ~Iw.._.;.ui is spaced a suitzble distance below a gas-dispensing r5ng or oth dispensing apparatus such that a steady state flow of gas develops between the ring and the ~llu.._.5'1~ before being disbursed by the ~51U.._.5'~5 over the rotating AMEND~D 8HE5ET

r ~ ~ ~ q ~ o ~ ~ --W095133868 2~ 56 p.~sg4Ll36~4
2~
substrate. The ~.v ' ' is posi~ioned wil~u~. inch)of the substrate and preferably within 20mm tO produce a smail gas bounda~y layer at the substrate y~.
for more efficient and more uniform deposition of a C~D film.
In one ~ / a cylindrica~ structure or cylind e~tends be~we~n a gas-dispensing ring which is coupl2d to a reactant gas supply and the ' ' The r2actant gases are dispens2d into the cylinder at one end spacei away from the substrate and flow down the 12ngth of tbe cylind to be dispersed ov the ~otating substrate surface by the g~c-dispersing hol2s in the Th2 v210ciy profi~e of the flow of incoming reactant gases devdops within the length of the cylinder and the cyiinder confines the r~ant gases such that preferably the reacta~t gases ffow to the substrate surface only thrvugh the gas disp~ing ~I.u..~l.~i. The close spacirlg of the ~I~u. . i, as well as the steady state flow of the gases reduces the boundary layer over the substrate and ensures an efficient and uniform deposition of a C~D film on the subst~ate surface. The shape of the ' .._..,~i and the ~ o~
dimerlsion of the gas-dispersirlg holes, which are ~ 32 (0.03133 of an inc~jl, flatte~s the vdociy profile ûf the gases over the substrate to fur~her produce a uniform gas flow to the substrate. Close spacing of the ~I~u... ' and the reduced boundary layer yields more efficient CVD with less of the reactant gases bypassing substrate.
Ln ~' ' ""'-1' ~ with another featu~ of the present invention, the ~I,v... ' is biased with RF energy to c~e an RF electrode for use in PECVD. Plasma gases pass through the RF ~I.u. ' "dectrode and are e~cited prv~imate the ' ~..~lelec~ode to for.~ a 1~ plasma A~AEN~D 13biE~

o ~c or~ ~r ~ c~
e ~ c WO 95f33868 2 1 9 1 4 5 6 P~lu594/!~ 4 ~

close to the substrate which suppiies energy to the surface reacion flur~ng PECVD (the ah~ .h~i l~ill be refe~red to as a ~ ~ifdxtrode .. . _~
throughout the -ApFii~Ttin~ where A~ ) The reduced spac;ng, i.e., less clS~
thAn)~l inc~, betwefn the ailU. fdfctrode and the substrate arld the improved gas flow of the presen~ invenion ensure a ~ piasma at the substrate surface which yidds sufficierlt energy for the deposiion of a film afcording to PECVD t~h~iT~r~ AdditionaUy, the ailu..~h ~ifdec~ode evenly dispers3 the reac~nt gas3 such that the f ' ` I plasma is uniform over the entire substrate surface. The cylinder and ai u ._.i.~Lfdectrode of the presen~ inYention prevent igrliion ûf a plasma above the ~I~u .~ ~dfdectrode and inside the cylindfr, or eYen in the dispa~.ung hol3 of the ' _.i.~fdxtrode. In that way, the plasma is generally ~ bdow the sl-u..~l.~fdectrode to enh~noe depositio~
and prevcnt ~ within the plasma.
In one c ~l o~ , of the pr3ent invenion, the cyiinder is made of nickd-plated aluminum and is coup~ed to a solid nick I
ailù~ ~ifdectrode by a f~uar2 insulz~ng ring. The insulaing li:lg dectricaUy isolates the cylinder from the R~ ailu '/dectrode to p:~rent ignition of p~asma in the cylinder. In another ~ ; the enire cylinder is made out of an ins~ tive f~uar~ matfcrial to prevent formation of a plasrna ~ b ~r~
within the cylinder. The allu. ' ' may be ~ inches)thic~
and generaily may have from 2C)C to 1,2C10 gas dispe~ng holes depending upon the diameter of the ailu .. ~;.~i and the substrat3 to be processed. The O ~'~9~
dispe~ing holes of the ~i-.,.._.i.~l~l_hu~ ar p~eferably(l/32 (0.0313) of AMEND~D 81 !EET

W0 95t33868 21 9 ~ ~ 56 - n ~ r ~ ;C eC~ r ~ o -an inch)to fi rth ensure that the plasma is confined below the ".u . " ~1, odc. A preferred ~ ~ o i~ for processing si~ inch . . . _~
dia~neter substrate wafers ernploys a circ~ r ,..~ with an 1~5~
Ll~ (G.5 inch)dia~neter arca havirig 300 to oOO gas d~ng holes.
As may be ~ of the cylinder, ~lu.._~i and holes may be adjusted depending upon, arnong other factors, the size of the chemi al vapor deposition charnber, the desired ~.u.._.i.~ distance from the substrase and the size of the substrate b~g p=sed.
The cylind and ~I.u 'lelectrode of the present invention is opcrable to de~iv ~ 200 to 30û watts of power at RF
r,~ as iow as 45û ~Iz and as high as 13.56 b~Ez Additionally, the present invention operates ~ with reactant gas flow rates between SO
and 50,000 sccm, as well as susceptor rotation rates between O and 2,0(~0 rpm.
The inYention and the par~icul~r advantages and features of thc p~sent invention w,~ now be described in furth det~i~ below with reference to th~ ~UIll~ g drawings.
Bnef 3e~.cri~tion of the Drawin~
The d~U~ JIII~, drawings, which are . ' in and constitute a part of this ~ illustrate ~ of the invention and, together with a general ~ " Of the invcntion given above, and the detailed description given below, serve to e~plau. Ihe principles of the invenion.
Fig. 1 is a ~ r.~ cross-secrional view of an e~ampie gas flow pmfile in a CVI~ reaction c~arnb with a mtating susceptor which does Ai~ENi~) 8H~T

~WO 95/33868 2 1 g 1 4 5 6 PCT/US94/13614 not utilize the present invention.
Fig. 2 is a cross-sectional view, of an ~ L ' of the present invention.
Fig. 3 is a ~' _ cross-sectional view of an e~ample gas flow profile in a CVD reaction chamber using the present invention.
Fig. 4 ls a detailed view of tne L ' of the present invention illustrated in Fig. 2.
Fig. 5 is a top view of a gas-dispersing ~I.u.._.i.~ used with the l~u ~ of the present invention shown in Figs. 2 and 4.
Fig. 6 is a cross-sectional view, of another ~ of ~e present invention.
Fig. 7 is a top view of a gas-dispersing ' .. ' used with the ~ of the present invention shown in Fig. 6.
Fig. 8 is a graphical illustration of the deposition rate versus rotation rate for a CVD reaction with and without the present invention.
D~ ~il~i DescriDtion of the Invention By virtue of the foregoing and in ~ with the pr_ciples of the present invention, Fig. 2 illustrates one ' of tne present invention. A CVD reactor 20 includes a deposition cha~nber housing 22 which defines a reaction or deposition space 24 therein. A rotating susceptor 26 supports a substrate or wafer 28 within reaction space 24. A reactor suitable for the purposes of the present invention is a Rotating Disk Reactor available from Materials Research Cn~rn~tinn (MRC) of Phoeni~, Arizona The reaction or deposition space 24 within housing 22 may be selectivdy e c ~ , c O ~ ~ c WO 95133868 ~ 4 S 6 C ~ ~ ~CI'IUS95~rl,36l ~, f o 1300 ev~uated to Yarious different internal pressurcs, for e~am~le, fron~.5 to 100 Tor~. The susceptor 26 is coupled to a Yar;able speed motor (nat shown) by . ~.
shaft 30 such tt~t the susceptor 26 and substrate 28 may be stationary or may be rotated at Yarious speeds such as between 0 and 2,000 rpm. When rotating, susceptor 26 crcates a downward pumpirlg action in a direction generally ~, ~ li "1~, the substrate surf~Lce 29. Susceptor 26 is also heated by a suitf-.ble heating " ~ (not shown) coup~ed to the susceptor 26 so that susceptor 26 may heat substrate 28, such as betwe~n 200 and 800C.
E~tending duwllw~ from the coYer 32 of housing 22 is a cylinder assem~ly 34 which supports a gas-dispersing :~IU.._.L~I 36 aboYe otating susceptor 2Ç and substrate 28. The cylinder assembly 34 preferably ~ 2~
psitions ~.u.._...~ 36 wit~i3,(1 ir~ch)of substrate 28 and more preferably witbin 20 mm of substrate 28. The cylinder assembly 34, in ~ with a generally circular opening 42 formed in the coYer 32, for~ns a vertical flow passage which e~tends ~n the direction of reerence arrows 43 between a gas distributor cover 46 and ah~ ~i 36. Gpening 42 of cover 32 forms a cylinder conce~tric with cylinder assembly 34 to def~e a generally cylindrical flow passage 44. As discussed further ~...~.~cl~ ,1-~ 36 may be coupled to an RF power source 38 such as by an ~ ` RF feedline assembly 40 which e~tends through a~ opening 48 in the gas distributor coYer 46. R~: feedline assembly 40 is used to bias shGwerhcad 36 so that it acts as an e~ectrode for PECVD techniques as e~plained in greater detail below. A
sealing structure 49 seals the opetling 48 around feedline assembly 40. Plasma and reactant gases are introduced i~to vertic 1 flow passage 45 by concentric , ,~ C r ~ c '` ' ,,, ~ , t 95/33868 -- ' ' ^ - ' ' ~ ' ' ' ' ' grs rings or halos 50, 52. A5 wiil be ~ r~i other gas dispensing ~trlT~iln~ l~}lt ~e utilized as are known by a person of ordinary sl~ll in the ar~ The concentric rings 50, 52 are cûup~ed to A~ Vl~l; `' ga5 sUppl~:5 (not shown) through lines 56, 58, ~h~., y and the rings include a number of gas dispensing hoies 54 which evenly dispense the gases around the perimeter of f~ow passage 44. Showerhead 36 includes gas dispasing holes 64 for dispe~ng reactant gæs over subst~ate 28.
Cylinder assembly 34 inc~udes a cylinder 60 and an ~nsula~r r~ng 62 which elecrric~ily separat~s cylinder 60 and ~-u.._~..~i 36 whene~r ~ ,..~I.~i 36 is biased with RF energy. CyEnder 60 is prefe~ably e~ec~rically gTounded by ground line 61. The insula~or ring 62 is preferably ~r, " , ~i, ~ in diameter and width as indicated by reference ~ ' 631 to ensure complete elec~l separation between cylinder 60 and ~Lu ' ' 36 along the ennre at~'nment intface between the cyEnder 60 and ~I.u.. l.~l 36 (see hg. 4). The insulator ring may ~e made of quartz mate~ial such as Quartz T0~-E available from Gener I Electric and in one ~ the ring ~q~
has a thichless of ~ v~ t~ (0.75 inchi~s~i, In use, C~rD reactant gases are introduced at the top of flow passage 44 through rings 50, 52, and the gases are dlawn generally ~u ,~w~dl~ in the direction of arwws 43 by the downward pumping action of ...
rotating susceptor 26. The ~;.,,.._~I.c~ 36 is preferably spaced from 2 to 4 inches f~m the rings 50, 52 ti~ ensure a steady state flow of the gases at _~I.~i 36. More ~lly, as the reactant gases flow ~' . ..w~udl.~
through flow p~ e 44, a velocity profile develops. The vdocity profiie is a ~ME~;DED ~i~iE~T

~ ~ ~ o c ~ ~ r c WO 9~i/33868 ~ 3 ~Ig~4~6 PCT/US9,/1, 1,. ...
of gas vdociies at various points in the gas aOw as measured acmss the gas ffow ~ ~ to the flow direcion 43. Generally, the vdocities acmss the gas flow at the top of the flow passage 44 near nngs 50, ~2 ate generally e~ual. However, at the bottom of flow passage 44, gener liy above the top surface 37 of ~llu.. ' 36, the vdocity pmfile of the g~as flow, indicated by armws 45, has reached a steady state. At steady state the vdociy of the re~ant gas flow is ger~ally greater in the center 67 of the ... ' ' 36 tha;l it is at the periphety 69 of ~ ll~d 36. Showerhead 36 flattens out the vdociy pmf~e of the reactant gas flow acmss the bottom surface 39 of the ~.~.. ' ' such that bdow ~.u ~il~ 36 pm~imate substrau 28 the flow vdocity near the center 67 of llu.._fll~i 36 is ger~eraily e~ual to the flow velociy at the periphery 69 of the ~.u.._.ll~d 36.
The spacing betwe~n the rings 50, 52 and ~' ... ' ' 36 ptovided by cylinder assembly 34 and flow passage 44, an'~ne inc~ or less s)acing between ~llu.. .;.~i 36 and rotating substrate 28 achieved by the present invention yields uniform gas flow over the top surface 29 of substrau 28 with a very thin boundary layer. As shown in F~g. 3, utiliz~ng'~(l inch) or less -' .. ~1l~1 spacing of the present invention the c~ of reactant gas flow 80 are hdd much closer to the substrate 28. The boundary layer height as indicated by referenc numeral 81 is effectively reduced, and thus, there is a greater density of reactant gases pres~L at the subst~ate sur~ 29 to take place in the chernical vapor deposition of a fiIm. This ensures that a greater percentage of reactant gases are ut l~ed it~ the CVD reaction, and therefore, a smaller percentage of the reactant gases bypass the substrate 28 AA~E~ D 8HEET

~ ~ e ~ C ~ r ~ ~ c ~ . t ~ e ~YO 9~133868 , P,CIl tS94i1361$ r -14 _ 5 6 unreact d to be e~hausted by vacuum opening 71 amund baffle 73 and out of the reaction epace 24.
., _~
As discussed above, the reactant gas flow through f~ow passage 44 is drawn du...~w~dly and thmugh ~I-u..~ i 36 by the do~vnward pumping action of the mtating susc~plor 26. An incr~ed susceptor mtation rate pmduces an increased deposii on rate because an increased quantity of reactants are being pumped to the surface. This is termed the mtating disk effect The pmcess curves in Fig. 8 irlustrate that the rotating dislc effect occurs for the reduced ~;lu .. ~I.~-to-susce~tor spacing achieYed by the prescnt invention. That is, as the mtation rate of the susceptor 26 inc~ases, the deposition rate inc~s indicating that a greater quantity of reactants is being pumped to the surface 29 of subst~ate 28. A ma~imum der~osition rate is reached whenever the incoming and downward gas flow to the substrate is equ 1 to the outward flow of gas away from the substrate. Such a conditi is generally refd to as matched gas flow rn the present invention, it is preferable to utili7e matched gas flow. Further discussion of matched gas flow is disclosed in the pending ~ r~tinn entit~ed, ~A Method For Chemic I
Vapor Deposition Of Titanium-~ltride Fi}ms At r ow T ~ . Serial (~9~i ~ u~i s~ S,~ t~o ~3~sc~), No. 081131,900, filed October 5, 1993,1which ~r~Fi;r1hnn is he~in by reference.
At susceptor rotation raus which pump the gas ~.~W~Y~dl~ at a rau higher than the rate at which it can be carried away from the subst~ate, i.e., unmatched flow, the deposition rau drops because ~ ~ t~ and bacl~flow of the gas develop at the substrate sur re. ~ Fig. 8, the depcsition '4At~iXD ~

^,- C ~ F ~C C ~ ~ n O
WO 95133868 ~ ; pt ~r/uSs4~ 4 ~

rate curve for one ~h~i;~l 11 of the present invention, indicated by reference numeral ~2T shows 1~ u-t rates than thc curve achievcd without the present invention which is indicatcd by refe~nce numeral 84. Curve 82 indicates that less of the reacs~nt gases are bypassing the wafer surface 29 in the pr~sent invenion, and ~ ly~ more are IIAI 1;~ ;lIAI;ll~ in the surface CVD reaction. F~ curve 82 is fla~er than cilrve 84 which indicates an improved proi ess sta_ility over a wider range of rotation rates when usir~g thc present invention In ~, IllliA~/ ~ with another fea~ure of the preserlt invenrion, the ~..u..~..c~i 36 may be biased with RF energy to f mcion as an R~ electil~de for PEC~ID t~ rhni~ t C When plasr~a gases, such as H2, N2 and N~3, arc intn~il-rrri, such as through rings 50, 52 ihey are e~cite~3 into a p3zcma by ~u..~ ~ielectrode 36 prefera~ly below the ~lu~ ~/electrode 36 and rlot within cy3inder 60. The ~l'l""''"~ inch)or less spaci~g between the PF
~I.u~ .~d/electrotie 36 and substra~ 28 creates a very ~- IIAII 'i p3asma near substrate 28 which is useful for low ~ c PECVD, and ~. l u~ul~ly for low t~ I PECVD of titarlium~ontairling films. Specific usesi of t~e p~sent invt ntion are i3Iustrated in a co-pending applicaion c~tit3ed ~ot~3 arld Appa~ s for Producing ThiD Fi3ms by 3 ow T ~ A 1111 t~ P3~sma-Enharlced Chernical Vapor nt r~itir,n Usirlg a Rotating Si.-isceptor R~actor~, filed on the same day herewith ~which is completely inw~u~ i he~in by ~ 1i; wlv~ c~ c~c~ hr~q3/~6~ t~cms~d.~
referenct~ Several e~a~nples of use of the ,,1,l.~1,.". .,1~ of the pres~nt invention are given be30w. The terms ~;lU~ Wi 36~ and ~I.u. '/e3ectrode 36~ will be used ~ U~ -I t~is ~MEIYDED 8i?~T
. .

,, 9 .. ~ . r~ ffr ~ r WO 95133868 2~ 5 ~ ~ j f .

application to designate a sirnilar stnicture for non-RF electrode and RF
elecirode fos of the present invention, ~h~y.
More specifically, RF power source 38, through RF feed~ine asse.~nbly 40, biases ~I.u .. _...~ilelectrode 36. The dect icahy grounded susceptor 26 forms a~other par 'llel electrode. An RF field is created prefeiably between s;.u. ' ' 36 and susceptor 26 and the RF fidd e~cites the plasma gases which are dispersed through holes 64 so that a plasma is generated genei2~1y beiow ~I.u..~..~llelectrode 36. It is preferable that the plasina is crf ated below the ~;-u.._...~/electinde 36 and not within the f~ow space 44 above the ~u ' '/e~ectrcfde 36. It is furiher pieferable ti~at the plasma is not created within dispersion holes 64 but rather is confined below the bot~om surface 39 of ,I.u . ' '/electrof~e 36. Thus, the dispersion ho~es 64 are ~ ~ so that the gener ted plasma is preferably confined bdow sui~ce 39 of ~l~u 'lelec~od~ 36. In one ~ f~~ l of the present ~ Q ~qft~n~
inveniIon, the diameter of the dispersng holes 64 i~(l/32 of an inc~
r, ~ other features of the present invention ensure that the generated plasma is ,, ~ bdow the ~lu. . ' ~f~hu~c 36. For e~ample, insulator sleeYes 96, 98 ~re uti'.-7ed within the RF feedhne assembly 40 to insulate the RF line fiom the meta'~ of cylinder 34 and housing 22 as i7~lustrated in Fig. 4 ;ind discussed in greater detail bdow. Additionally, quartz insu~ator ring 62 e'lectiically separates tite ~I.u.._.i.~/decirode 36 from cylinder 34 tofur~ier confine the plasma below ~i~u .~l~iielectiode 36. The rotation of susceptor 26 and a. u...~ h.~ pumping action and the flow of gases within cylinder assembly 34 and flow passage 44 enstues a uniform flow of gases to ~ME1`1~D ~3HEET

wo ssl33s6s 2 ~ 9 1 4 ~ ~ ~ ` Pcrtuss~tl3~
the plasma for uniformiy sustauled plas na and unifor~n rlq~ncninn Wlth PECVD according to the principles of thc present invenion, a reactant gas, such as FiC~, i5 also int~~ into flow passage 44 such as tnrough a gas ring similar to rings 50 and 52, although the plasma gases and the reactanl gases are preferabiy in~--~ through different rings.
l~e gas particles of the reactant gases are also e~cited by the RF fidd generated by sl,u..~..~i/de~de 36 and susceptor 26 but do not form what would be defined as a plasma. Therefore, a mL~tl~re of e~cited reactarlt gas paric~es and a plasma of the plasma gas paricles are r..." ~,...,/t~ ; above 2s~
subsr~ate 28 and preferably witC~,~(l inch)of the substrate in ~ with the principles of the present inveniOQ
The ~F ~I.u.._~..~i/dectrode 36 may be e~cited with RF
energy having a f~uency in the range of, for e~ample, 450 ~Iz to 1356 ME~, and the inYenion does not sen to be !~Li~ly fre~uency sensiive.
The gener~ on of a unitorm plasma withC~(l incl~ or less of substrate 28 yidds a high density of useful p~asma gas radicals and ions pro~imate the substrate surface 29. The pumping action of the susceptor draws the plasma particles and e~cited r~actant gas partic~es to the substrate to react and form a fi~m.
Gen~rally, a substrate rotation rate ~u--.~...c between 0 and 2,û00 Ipm might be utilized with the RF ~.u..- ..~lldectrodc of the present inve~tion.
However, no rotation, i.e., 0 rpm, although not drasticaUy affecing the deposit~on rate, seetns to lower the ~.;ru~ of the reactart and plasma gas flow and the subseo,ue~t ~i~n~itinn A usefui rotaion rate for depositing itanium~ontaining films has been found to be around 100 rpm.

AMENDED ~HEET

.t < ~
WO 95/33868 ~ ~ ~ PC TN594/l~if4 ~

Since the s;.~. ' '/e~ectrode 36 of the present invention generates apiasma conta~ning radicals and ions of the plasma gases, the .~i spacing and deposition ~J~IAIII- `` ~ should prefelably be chosen to achieve a useful mi~tu~e of rA~Ticals and ions at the subst ate surface 29.
While some ion b~ of the substrate 28 is beneficial because it supp~ies additional energy to the grol,ving film lay on the surface 29, too much ion ~ of a subst~ate may damage the integrated circuit dcvices on a substrate. r 1 , "~ a high density of ions leads to poor fiE n . ",r IllAi,ly as ions have a tendency to stick to contact and via surfaces. As discussed above, ~1..,..- ..~lel~_uuic to-susceptor spacing wi~(l inch)and preferably within 20 mm has proven usefi~l.
Fig. 4 discloses an RF sl~u. ~ ~/electrode ~II.II~r,lllA~l~l,, simi~ar to the c. .I.i';~ \ in the ,1 ,I,o~ of Fig. 2 e~cept in greater detail. ~erever possible similar eference nume~ls will be utilized between Figs. 2 and 4. There i5 sho~vn in section a portion of C~D deposition charnber housing 22, to which is mounted the RF ~IIu..~~ /electrode assembly 34. The h~ ' 'lelectrode 36 includ an RF line ste~n 68 mounted thereto which is one of seve~al ~ ma}ting up the RF
feedline assembly 40 supplying RF er~ergy to a..u.._.l.~lle~xtrode 36. The RF feedline assembly 40 also acts as a heat pipe to conduct heat away from ,..u ._.l.~/dxtrode 36 as is discussed in greater detail ~.~ci..l..lu....~e stem 68 may be machined ~. \ . ", Ally into and integr i with the upper surface 37 of ~;.u . ~..~i/elxtrode 36 to increase the RF signal ~ nn~ inn and heat rnn~ nn effiaency see Fg. 5). The RF feedline asse~nbly 40 AMEND~L~ 9HEEl 2~gI~6 includes an i~F line 92 which comprises line stem 68 and an additional length of tubing 94 welded thereto such as at 93 to achieve the desired overall length of the i~F iine 92 and to attach tubing 94 to the stem 68. The ~hu..~.~/electrode 36 and the integral line stem 68 may be made of Nicl~
200, while RF line tubing 94 may be made of a highly conductive material such as 6061-T6 aluminum. However, it will be ~, ' by j~ersons sl~lled in the art that other materials can be used for the i~F line tubing 94, such as Nicicel-200. in one c ~ the RF line tubing 94 is made of aluminum coated with an outer ~ayer of nickel to prevent an RF p]asma from fornung within said cyiinder 60 of the cylinder assembly 34 during use of the RF s;.u.._.~.~/electrode 36 according to the principles of the present invention.
As already discussed, sl.u... ' '/electrode 36 is perforated with a pattern of gas dispersion holes 64 to distribute the reactant and piasma gases eveniy during CVD processing. As shown in Figs. 4 and 5, upstanding RF line stem 68 is provided with a , r~ shoulder flange 70 adjacent and e~tending generally parallei to ' ... ' '/dectrode 36. The flange 70 is spaced above ~,Iu.. Ih~i/electrode upper surface 37 and permits the gas dispersiûn hole pattern to e~tend beneath the shoulder flange 70, thereby gas flow ':~ 1 ^ r li l r, the flange 70 aids in the conduction of the RF energy along iine 92 to ' .._.I.~/electrode 36, assists in cooiing ~I.u... ' '/electrode 36, and provides ' ' support for ceramic isolator tubes 96, 98.
The RF ~I.u... ' '/dectrode assembly 34 of Fig. 4 fur~her WO 95/33868 2 ~ 9 ~ ~ ~ 6 PCT/US94113614 ~
~20 -includes first and second ceramic isolator tubes 96, 98. ~ u.~ly, which are concentric with and surround at least a portion of RF line 9~. As shown, ceramic isolator tubes 96, 98 are supported by ~ r.~ l shoulder flange 70. Tubes 96, 98 may be formed of the ceramic alumina (99.7% Al703), which is readily ~, ."...,., . :-lly available, such as from Coors Ceramics of Golden, Colorado. Isolator tubes 96, 98 prevent RF plasma from forming around the RF line 92 during CVD processing by isolating the metal RF litle 92 from any of the plasma and reactaM gases present within the cylinder 60.
It is desirable to p}even~ the formation of a plasma within the cylinder 60 in order to concentrate the plasma below ~IIu..~ electrode 36.
Additionally, and as described more fully below, the isolator tubes 96, 98 operate to reduce and prevent electrical shorting between gas distributor cover 100 (which is at ground potential) and RF line 92 al the opening 48 where RF
line 92 passes tbrough gas distributor cover 100.
Gas distributor cover 100 is mounted to housing 22 by means of a plurality of screws 102. As shown in Fig. 4, gas injection rings or halos such as rings 50. ~2 (shown in phantom in Fig. 4) are located slightly belûw gas distributor cover 100 to supply the CVD reactant and plasma gases to the inside of cylmder 60 as already discussed. Gas injection rings 50. 52 may be only two of a plurality of concentric rings for i~ udu~,hl~ numerous reactarlt gases into the cylinder 60. A seal assembly 49 prevents vacuum leaks at the ûpening 48 where RF line 92 passes through gas distributor cover 100. This assembly includes a shaft seal and a flange seal. As shown in E~ig. 4, a ceramic seal plate 104 is pressed Ju . ~ lly by two stainless steel clamps ~WO 9~/33868 21 ~ 1 ~ 5 6 PCTIUS94/13614 106. Cl~unps 106 are biased against distributor cover 100 by spring w~h~/~.cw assemblies 108 to obtain a ~ ~ downward force on the Seal t to insure proper sealing, to 7 ' tolerance stacks ~n the seal ~ and to take up l I changes due to thermal e~ pansion which may occur during CVD rm~ .-ccin~ Seal plate 104 presses du....w~ul.~ on a stainless steel ferrule 110 which in turn presses down on an ~ring 112 seated in ceramic seal body 114. The downward force e~erted by cl~unps 106 on seal plate 104 also forces seal body 114 du....w,udl.~ against gas distributor cover 100, which ~ the ~ring 116 located between Seal body 114 and gas distributor cover 100. It should be noted that seal body 114 has a du...~wa~dl~ e~tending annular flange 118 which surrounds RF line 92 over the entire length of it which passes through gas distributor cover 100.
The lower end 120 of annular f~ange 118 e~ctends ' ..~w~dly to a point where h meets the inner ceramic isolator tube 96. As shown, the outer cer,unic isolator tube 98 e~tends further upward than isolator tube 96, such that there is no direct line betwen gas distributor cover 100 and RF line 92. This prevents arcing when the RF line 92 is used to supply RF energy to ~ ..~I~/electrode 36.
Biasing of the ~hu... ~/electrode 36 with RF energy in addition to the i . utilized in CVD techniques heats the ~;~u.._.;.~d/electrode 36 during use. To erlsure proper operation, 51~u.._lh~d/~ ud~ 36 is cooled, and to this end, the RF line 92 also functions as a heat pipe structure. With respect to heat pipe structures, such devices are known, per se, and in the present invention, the heat pipe structure ~VO 95/33868 PCT/~S94/13614 21gI4~

is used to carry off heat from thc ~ . "dL~h~l- 36 generated by radiant energy from the heated susceptor 26, as well as by the RF energy applied to the ~ l~h~c 36. The center space 122 of RF line 92 is provided with a fdt or other suit~ble capillary wicking material liner (not shown). Space læ is sealed with a liquid (e.g., acetone) therein under its own vapor pressure that ent~rs the pores of the capill2ry material wett~ng all internal surfaces of RF line 92. By applying heat at any point along the lengt~
of the RF line, the liquid at that point boils and enters a vapor state. When that happens, the liquid in the wicking material picks up the latent heat of ~ LiOI~ and the vapor, which then is at a higher pressure, moves inside thc sealed pipe to a cooler location where it condenses and re-enters the liner.
Thus, the vapor gives up its latent beat Of ~c~ and moves heat from the "input" to the "out,out~ end of the heat pipe structure. As a general frame of reference, heat may be moved along a heat pipe at a rate of / 500 mph.
~Ith reference to the specific C'"'r;~ 'll utilized in Fig. 4, the "input" end of the heat pipe structure is the end of RF line 92 which is affi~red to ' . I/edectrode 36. The "output" end is the upper end of RF
lisle 92 shown in the Fig. 4 which has a liquid-cooling jac~et 124 sealed around it. The seal is effected by O-ring shaft seals 125 and 126. Cooling jæket 124 is preferably a polymeric material and is provided with TEFLON
fittings 128 and 129 which connect TEFLON tubing 130 to cooling jacket 124. A suitable cooling liquid, such as water, flows through tubing 130 and cooling jaclcet 124 to carry heat away from RF line 92. This wo ssf33868 1 !~ S 6 r P~T;USs~/136 4 , ~ ,, p?3~uts direct contact of the cooling liqu~d with the RF line 92 for cfficient cor~duction of heat from t,he iine 92. Additionally, with thi5 ~r -'~ at . . ~ , no time is the CYD reactor chamber e~posed to the pûssibility of an internal cwlant leak, nor is there any corrosive effect on me I tubing by RF ca~ing liqlud. ~5 stated, the fluid which pas~c through l ~ ~LON tubing 130 and caT~ies the heat away from the RF line 92 may be water, although a variety of fluids can be used depending on the heat to be conducted away from the line 92. RF li~lc 92 also includes a cap 132 which is welded in place and has a fill tube 134 for filling the inte~nai space 122 with the de ired f~uid. A suitable T~ available heat pipe may be obtained from T; P~nn~ n~ Inc., of Lancaster, PA.
As discussed, cy}inder 60 forms part of cylinder assembly 34 a~d mounts ~1.. . ' '/ele~de 36 to the housing col~er 32. The cylinder 60 is n.l.,...~'.,... ~l such that the ~.u.._...~ldectrode 36 is posiTioned ge~erally c ~5~
with~l inch)of suscPptor 26 after taking into account the thickness of ring 62.
Showerheadfdectrode 36 is fastened to cylinder 60 by means of screws 136, which are preferably made of a materi~l that does not corrode in the p~ce of an RF plasma. One such material is ~asteiloy c-æ, which is a trade name of ~anes T"t. .~ l, of Kokomo, IN. Suitable sc~ws made of this material a~e a~ailable from Pinnacle Mfg. of Tempe, AZ.
Insulator ring 62 e}ectrically isol;~s ~I.u. ' 'fedectrode 36 from cyLinder 60. The insulator r~ng may be formed of quartz and preferably of a suitable quality quartz which has few andfor ~ery small inte~nal ble nishes su~h as air bubbies. A suita~le qT~tz mate~ial is ~2uaT~z T08-E a~ailable from AMEi~ D 3HEE~

~ r I r ç o ~ ~ ~ c o o WO 95/33868 21 91 1~56 ~ ~ cI/lJs94rl36~4 creaus Amersil of Tempe, Arizona. The quartz m. ay be machined to form a 19~
quarez ring ~ ; ~314 (0.~5) inches)tl'~ck and having diameter . ~
~lim~ncinnc w'~ich mr~ch t"e rl ~ of the cylinder 60 arld .u _ ' '/dec~ode 36 between wnich tl'le insulator ring 62 is fL~ed. Scrcws 136, which are at ground potentia, are isoLated from the ~' .._~L~/ul~uu~c 36 by two ---~ ; ceIamic isola~or sLeeYes 138 and 139. Quartz ring 62, w~hile insuLatu g :~;IU.. ' '/dectrode 36 fiom cylinder 60, is a'so ~used because ûf the significant resistance of quartz to thermai shoclc. This is imporeant bec~use the RF ~u. ' ~'d~u~ 36 below ring 62 becomes hea~ed to a higher ~ and more rapidly, than cylinder 60 above quartz ri..~g 62, thus inducing them~al shock and strecs in ring 62. Screws 140, which may be made of the same matiaL as screws 136, ~re uti7i~ed to affi~ cy7inder 60 to housing 22.
RF e~ergy is conducted to ~I.u . ' ~lelectrode 36 by RF
feedline, ssembly 40 ~ stem 68 and tube 94. Isolator tubes 96, 98 are needed to dectrically iso~e arld prevert arcir~g between tube 94 and a~y parts of the me 1 housing 22, including distributor cover 100. r 1~ .. -the appa~us includes a seal aro~md tubir~g 94 at the location where it passes through distributor cover 100.
RF energy is supp7ied through a shidded RF supplying cable 142 which is connected to an RF power source 38 (shown in Fig. 2) and has a u~ connector 144 at one end. Conneceor 144 mates with anothc U~F
conrlector 146, whicel in turn is coupled via a le..~gth of 12 gauge wire 148 to a stainless sted shaft collar 150 mounted at the upp~r end of RF line 92. The ~MEN~D ~

~ ~ c c ~ c o c WO 95133868 9~ ~CrlffS9 ~113S}S ~ ~ r --2 5~
shaft collar 150 is in fricnonai contact with RF line 92. To that end, collar 150 may include oppvsing clam-shell clamps which may be tightened ~gainst one anoth by means not shown to finnly grip line 92. With this A~ IA.I~.~ .. ;
there is m~nimal resistance to the flow of RF cu~rent through line 92. Thc segment of RF line 92 which is e~posed above shaft collar 150 is isoi~ted frvm the grounded metal shielding 152 by a polym cap 154. The apparatus ~s capable vf dehverLng 250-300 watts of RF r~ from 450 KHz to 13.56 M~z. .
Fig. 5 disclos~s a top view, of one ~I,v~ i.~l design for the ,.I.o.l;,., ~ of the present invention shown in Figs. 2 and 4. Showcrhead 36 is genesally circular and inc~udes dispersion holes 64 ~ O~A~
generAily throughollt its entu~ a~ea. Showeshead 36 may be A .~ ~8.0 inches)in total diamet~r with an area 156 contaiDLng holes o4 havin a I ~o~._ g diameter'~6.5 inche~ As will be A~ ` i by a person of ordinary sl~ll in thc art, the diameter of the :u.u.._~.,~d 36 and the hole area 156 will depe~d upon the size of the substrate wafers which are processed using the current invention. Showerhead 36 may have genesAiTy fmm 2C0 to 1,200 dispersion ~oo~
hûles 64 and prefesably for at~(8.0 inch)~ ;.~i has fmm 300 to 60'vl ho3es for dispersirlg the gases. As discussed above, the inner diameter of the holes O .~9~
64 is ~cf~ Z(1/32 (0.0313) inches~ to prevent a plassna fL~vm formirlg within the cyiind 60.
~ i 36 includes a pesipher ~ edge section 157 with spaced openings 158 spaced around the pesiphery of ~I.u~ 36 which receiYe screws 136 or other fasteners for connecth~g ~I,u..~L~i 36 to hhe C rr r; r o ~ ~ oo ~ ~
WO 95/33868 ~I gt ~ S 6 quartz ring ~ shown in Fig. 4. As already shown, the ~llu.._~l~l 36 includes a stem 68 whichl forms flange 70. Stem 68 and flange 70 are hrmed integr lly with ~hu.._.h~ 36 and form part of the RF line assembly 40 connected to -' ._.h~ 36. The ~IIu ~I.~d, 36, including sum 6~, is formed of an dectrically conductive material and preferably is formed of Nlcl~ 200. The ~.u ' ' 36 in one r."l.~ .. ; ûf the inYention has a t,'~iclm~ss dimension in the holed area 156 of prefera~(1/4 (0.25) ~che~.
Thc I ~i ~ of the present invention as illust~ated in Figs.
2 and 4 have been udlized to deposit layers of titanium ~nd titSuiium nitride atlow substrate ~ Various e camples of CVD and PECVD metuods and use of the present inve~don are illustrated bdow. Deposidon parameurs are given for each e~ample and tne results of the deposidon are illustrated in tables associated wit,'. parlicular ~ Table I illustrates use of the of the present invendon to deposit a dtanium rlitride (I~N) layer on a substrau utihzing both nigen gas (N~) and hydrogen gas (H2) and dtanium De~osition Parameters for Table No. I
r,c~ (sccm) 10 II2 (sccm) 50C
N2 (sccm) 500 RF Power (watts) 250 ~ 450 KEIz Reaction Chamoe~ PressurelCrorr) 1 I Ll~) 133 ( 1 T~) Susceptor Rotadon Rate (rpm) 10û
Substrate Temp. (C) 400 Deposidon Time (sec~nds) 180 .
AMENDED ~H~T

r. ~ c ~ r c r r ~ c A
W0 95133868 21 9 I ~ 5 6 - -TARt F: NO. I
WAF3~ NO.
~t 1 2 3 4 5 6 7 8 9 ~0 P~ me?~
r~ lALyer ~5 1023 1221 L62 122~ 1224 1141 1348 1400 1~06 ~C~ (A) ;kpOricio?l 2?5 341 407 421 409 408 380 449 481 389 R,ae ~/~i11) ~t1530 2686 41~8 3108 855 4478 3982 4658 3449 ~501 ~si~viq 4 ~uO -cm) Sllsce~or 470 480 488 47~ 470 460 460 460 460 460 Temp Wafers 1 and 2 of Tabl2 1 were silicbn, while t~e remat ung wafers 3-1~

were therma~ o~de. Wafers ~10 rece~ved a 250 Watt RF plasma ann2al for 120 s~s ,_~ JI Ph ~5 at an NH, ~as rale of S000 sccm, at an ~ntGai pressure o~ Torr~ ~waf2r 6 was de a~i Tarr),), and the susceptor rotation rate of 100 rpm. Therefore, as may b2 seen, a layer of .
titarium rutr de may be deposir~d at a suostra~e ~ t~' 'y 400'C, which is subst~tia'lY less than the t~ re~uired hr tr~i io~.2al the~mal CVD processes.
The e~ample of Table 2 be~ow was ~ ~l~i~ ~i wit~. the pa.;2meters of Table l~cept at a subst~ate ~ of 600'C, ant a layer of TIN was deposited ac~ordirlg t~ Table 2 using the deposiion ~AIAIll rl ~ below.
De~osttion Pa~meters f~r TAhle No. I

r,c~ (scc.n) 10 E~2 (s~cm) 500 N2 (sccm) 500 RFPow (watts) 250 ~ 450 ~z Reaction Chamb Pressurei(Torr) 1~ ) 133 (I ri,r,) Susceptor Rotation Rate (rpm) I00 Substrate Tp. (C~) 600 Depositio~ Time (se onds) 180 SU~STiTUTE Sl IEET (RULE 2b) AMENDED ~3HEET

r ~ ' C
WO 95/33868 2~ S 6 - ~ ~ pCTlUS9411361~

TARLF NO. 2 . .~ W~F~ NO.
Results atld 1 2 3 4 5 6 1 8 Addition Patam~
TiN Iayer 6n 822 740 768 767 765 m 9lO
thickness (A) Deposit~cn tl9 274 247 263 256 255 258 303 Rate (Almin) I~lyer 391 254 432 50 471 949 g73 27~0 Resisti~ity (an -cm) Sus~eptor 650 650 650 650 650 650 650 650 Temp (~C) Wafers 1 and 2 of Tab~e 2 were s~licon and wafers 3-8 were th e mal o~ide. An RF plasma, ammonia anneal was perfor~ned on substrate wafers 6-8 of Table 2 at a pow lever of 250 War~s for 120 s~onds, and an a~nmonia ~ , rate of r ~
5000 sccm. a pressure~5 Torr)and a lO0 rpm rotation rate.
The ~ of the present inventior~ as illustrated in Figs. 2 ar~d 4 have also been uti~zed to deposit a layer of pure titarlium. Table ~ below s~ts forth the results and parameters of a deposition run which resu}ted in a deposited f~m of ly 84 % titanium on a thermal o~ide wafer at 650 C. This was an e ccell result for such low ~ chemical vapor .~ iri~

. ~.
SUESTITUTE SHEET ~RULE 2q~MEl~loeD ~I~EEl' f~ c ~ ~ ~ o .7 ~ o wo 95~3868 1 ~ $ 6 r r ; I'~TIC'594/~ ~614 , ~, -2g-D~ ~osition Parametf rs for Tablç I~To. 3 TiCl~ (scem) lO
X2 (sccm)~ 500 RFPower (watrs) 250 ~ 45û KEz React~onChambe~rçssurel(~ lt (~),133 (~ T~) Susceptor Rotat~on Ra~e (rpm) l~0 Deposiion time ~sec) 2700 Subsr~a~e T~ (C) 50'5 TABLE NO. 3 WAFEB NO.
Rf su~ts and Additional Parameters rl lay 19~3 ~h~clmess (A) Dep~sir on 44 Rate (Almin) Layer ~2g Resistivity ~n -cm) Susceptor Temp ( C) The subst~ate wafer of Tabie 3 was not anncaled with a~ ammonia plasma as discossed above.

In Table 4, the flow of X2 was inc~eased to 5000 scf m for wafers 1~ and ~ P~
to 37N sccfn for wafers 5-9. The deposirion ptessure was inf~sed t~(5 Tot~ For wa~ers 5-~, a flow of 0.5 standard liters per ~inute (slm) of Argon was utilized with the A2 as a diluent. In Table 4, wafers 1-2 and 5-6 were sil~con, whi e wafers 3-4 and 7-9 were t'nermal o~ide.
.
-SUBSTITUTE SH'--ET ~RULE 26) ~MEND~D 9t~fET

C C ~ 1 ~ C r O r C <I
WO95/33868 2~gI~S6 ' ~ ~ P~NS94/r136~4~ ,.. ' ,,' ', Parameters for T-Ahl~ 4 , . ~ ,rlC14 ~sccm) 10 H2 (sccm) 5,000 (wafers 1^4); 3,750 (wafers 5-9) Ar~on (slm) 0.5 (wafers 5-9) RF Power (watts) 250 e~ 450 ~z Reacion Chamber Pressure ~orr) 51 (Pl~) 6(of~ (5 r,f~) Suscep~or ~otation rau (rpm) 100 Substrate Temp. (C) 565 Deposilion ime (sec) 300 (600 for wafer 9) Susceptor T~ (C) A~ 650 TAB~E 4 WAFER NO.
Results and 1 2 3 4 5 6 7 ~ 9 Additional Parameters rlN layer 798 1076 43.4 89.5 912.2 1082 656.5 m.l 1302 thi~ness (A~
Deposition 21S0 9.1 17.9 .82.5 2165 1313 115.4 ,,3~,,Rate 159.0 (A/min) I~Lyer 53.8 32.6 216. 377. 89.2 25.7 212. 211. 170 Resistinty 4 6 1 1 3 7 3 .1 ~n ~m) Table S shows additional nms made with the ~creased H2 flow and i~crease deposit~on pressu~
Deposiion Pa~ameters for Ta~le No. S
rlcl, (sccm) 10 H2 (sccm) 3,750 Ar~on (slm) 05 RF Power (watts) 250 ~21450 K~z Reacion Chamber Pressure~To.-r) S ~ 5 ~ r~f) Susceptor Rot~tion R~u (rpm) 100 D~ n~ n tim-- (sec) 300 (wafers 9-12 600 seconds) Substrate T~ (C) 565 Susceptor T. ~ (fC) ~50 AMENDED 91~E~T

~ ~ o ~ o ~ C ~
WO 95J33868 2 ~ 9 1 ~ S 6 ~ ~ P~IUS94~13654 r ~ ~
TABJ F NO. 5 WAFER NO.
2 3 i 5 6 7 8 9 10 11 12 ll 7.~ I~r U9.6 394~ ~10.3 451.6 66.2 3-5.6 3-71 2633 792 5 5U.~ 7.19J 7~A
bi~
~) D_177.9 7~.9 ~C2.~ 91J 93.2 77.: ~9.6 ~2J 79,3 9~-9 75,0 7~A
,,4~=, .m3~.7~ 2~3.- 27~.1 211,0 2~0,1 5~5,1 9-1 31--1 2m~ _ _ (~.0~) ~ Gb~ ~
The change ~n deposition pressure fr~(l Torr to S Torr) produced a more stable and symmetric p ~ma Addiionaily, the increas~ed hydrogen flow with the ~dltion of a small flow of argon increased the sta~ility of the plasma flow as well as the plasma intensity. An argon flow of ~10 slm is preferahle. Wafe~s 1-2 were silicon, whi~e wafers 3-10 were thermai o~ide. Wafers 11 and 12 were b~ l ~si~icate glass, availa'ole from Thin Films, Inc. of Freemont, California. None of the wafers of either Ta~71e 4 or 5 were annealed with a~ ammonia plasma annea~.
Table 6 shows additional deposition runs at a susceptor c of 450 C.

.

~ r ~ ç n ~ ~ f C .
WO 95/33861 ~ --~ ~ ' PCrrUS94~1,3,614 . G ' f De~osition P~r~mrt~s for T~hle No 6 rlcl, (sc~m) 5 H2 (sccm) 3,750 Argon (slm) 0.3 RF Power (war~) 250 ~ 450 KEI~
Reaction chamber pressure~(Torr) 51 Susceptor Rotarion Rate (rpm) 100 Deposiion tune (sec) 180 Substrate T ~ (C) a~ Glsly 400C
Susceptor T, ~ r (C) 450 TARr F NO. 6 WAFE~ NO.
Results and 1 2 3 4 5 6 7 Additiorfal Paramet~ rs N layer 242 222 210 241 168 136 150 thiclmess (A) Depasi~2cll 80.7 ~4.0 70.0 8Q3 56.0 453 50,0 R31c (Al~) I yer 66.0 554.0 494.0 714.0 484.0 0.1 0.1 R3~ ty ~a~) Wafers 1-4 were si~icon, wafer 5 was thermal o~de, whil wafers 6 and 7 wefe ~luminum alloy containing aluminum silicon and copper. Runs 6 and 7 of Tahle 6 i8ustrate the nability of depositing a titanium-containing film on aluminum using the present invenion. The deposition runs of Table 6 utili7ed a lower fiow of rea_tant gas tnan tne runs of Table 5, i.e., 5 sccm of TiCI~.
The d ~ c runs of Table 7 were rnade at f~lrther ~MEN~I~ ~ItE~T
...... ... ..... , . . _ _ _ _ _ O (. O .; C C G ~ O C -woss/33s6s 2191456 i I rc~lus94ll3G~ c reduced rlCl flow ta es. All of the wafers of Tablc 7 wcre the~mal o~ide.
None of the wafers of Tablcs 6 or 7 were annealed wi~h an RF a~nmo;~ia , . ., _, _ anneaL

DeDosi~ion Parameters for T;~hle No. 7 rlCL (sccm) wafers 1-2 4 sccm; 3~ 3 sccm; 5~ 2 sccm; and wafer 7 at 1 sccm H2 (sccm) 3 750 RF Power (wa~ts) 250 ~ 450 EC~z ReactionCh~unberPressurei(Tor~ 51 (~) 6(~ (~
Susceptor Rota~on Pale (rpm) 100 Deposi~ion time (sec) 300 (wafers 1 and 2 at 180 and 240 Lc~
Substratc T~ r. (C) ~JIJ~U~ Gi~l~ 400C
SusCeDtor T~ (C) 450 TABLE ~Q. 7 WAFER NO.
Results and 1 2 3 4 5 6 7 Add~ional Para~neters TiN layer gg 132 158 149 ~sg 166 107 thic~ess (A) DeDosit~on 30 33 32 32 3Z 33 21 Rate (A/mir) La~er z5g Z39 199 199 Igo 2~g 4g2 Resistivity ~n -cm) Fig. 6 shows an alte~ative ' ~ of the present invention which eliminates the metal cyLnder 60 and insu}ator ri~.g 62 whi~e p~enting elect~ical arcing inside of the cylinder assemb~y pro~imate tlle RF line and preYenting the undesired formation of pL~sma within the cylinder assembly when the SIIU.. is biased as an electrode. The AMEN~D ~I~EET

~WO 95/33868 2t g~ ~S 6 PCT/US94/13614 .'..~I;. .. i- of Fig. 6 utilizes a housing similar to housing 22 of Fig. 4 which indudes a housing cover 160 and includes an RF supply assembly 162, a heat pipe assembly 164 with cooling jac~et 165 and fluid supply lines and a gas distributor cover 166 with a sealing assembly 168 all generally similar to the respective . of Fig. 4. However, the cylinder assembly 170 does not include a metal cylinder 60 and insulator ring 62. Rather, a cylinder 172 made of insulating material such as quartz surrounds the RF feed line assembly 174.
Cylinder 172 is preferably formulated out of a high quality quartz such as Quartz T08-E available from Hereaus Amersil, as mentioned above. Quartz cylinder 172 is supported by a sl,u.._lh~/dectwde 176, made of a conductive metal such as Nickel-200, without the use of screws or other fasteners that are utilized within the of Fig. 4. Specifically, a stepped bore 178 is formed within housing cover 160 to receive an upper end 177 of cylinder 172. ~rings 179, 180 are placed at the interface 1~1 between stepped bore 178 and cylinder 172 to form a seal at interface 181. At the lower end 184 of cylinder 172, an annular notch 186 is formed in cylinder 172 to receive a peripheral edge 188 of the ' .._.h~i/._l~hu~c 176. The notch 186 of cylinder 172 rests upon the peripheral edge 188 of ~.u... '/el~hu~
176. S~ .h~/electrode 176 includes a stem 194 which is attached to RF line tubing 175 such as by a weld at 195 to form a unitary RF line 197.
RF line is frictionally held and supported at its top end by collar 199 similar to collar 150 of Fig. 4. The RF line, in turrl, supports , i, .

0 95133868 . PCTIUS94/13614 ~ 2191~6 '/electrode 176 above susceptor 182. Showerhead/electrode 176, in turn, supports the cylinder 172 within the cylinder assembly 170 by abutting against cyLinder 172 at shelf notch and holding it in bore 178.
The interface between ' ' '/el~llud~ peripheral edge 188 and cyLinder notch 186 is sealed by a _ ' ~ring 190 which is Cu".~ 1 between notch 186 and a ~ L. annular notch 193 formed in peripheri 1 edge 188. Similar to the . ' ~ ' of Fig. 4, a plurality of gas halos or rings 191, 192 introduce the necessary plasma and reactant gases into cyLinder 172.
The L ' of Fig. 6 eliminates the need for metal screws to attach the cyLinder 172 to the housing 160 and the ~h.,..~ ~dlelectrode 176 to the cylinder 172. This further reduces the possibility of arcing inside of cyLinder 172 because of the reduced metal pro~imate the biased RF ~I~v ' 'lelectrode 176. r~ . it is not necessary to utiLize cerarnic isolator sleeves at the ~ ,.. ' ' peripherial edge 188.
Accordingly, the RF !' .._ ' ~/electrode 176 has also been modified. As shown in Figs. 6 and 7 ~Ilu.._..l~d/electrode includes a stem 194 without a flange. Instead, a slight ridge 196 is formed around stem 194, and as shown in Fig. 6, ridge 196 supports a generally circular ceramic tray 198 which is forrned from a ceramic material similar to the ceramic isolator sleeves 94, 96 shown in Fig. 4. Cerilmic tray 198 is supported by ridge 194, and in turn, supports isolator sleeves 200, 201.
Isolator sleeves 200, 201 are also preferably made of a ceramic insuLator ~ ~ n c o ~ c r~ c W0 95133868 ' ~ Pl~tUS941136i4 . ' r 219145~i rnaterial similar to sleeves 94, 96 of Flg. 4. Around the peripheral edge 188 of ,4u. " ' 'lelectrode 176, shelf 193 is fo~ned to receive ~nng 190 and seal the interface between cylinder l72 and ,I.u . I.~lelectrode 176 as discussed Gas disp~sion holes 206 are formed ~vithin an area 204 sirnilar to the dispersion hole area 156 of thc ,I.u _.I.~i/dectrode shown O.~q~
in Fig. 4. Preferably the holes are ~UlU~lllG~ 32 (0.0313) inches)in diam~ter to prevent the formation of a plasma inside cyiinder 172 to confine the plasma generally bdow the ,i,u.._.i.~ilelectrode 176 and above the susceptor 182 as already discussed above. The I ,~ of Fig. 6 utilizes cylinder In and eliminates the me~i t~hm~tlt screws pro~imate ,.Iu.._.;l~dlelectrode 176 which hdps to prevent the form tion of a plasma within cylinder I72 and to prevent arcing between the RF line 17~ and ,IIu..~I.~ildectrode 176 and any of the ,~.u,...l;..~ metal. A
layer of insulation 208 may be placed atop gas distributor cover 166 to prevent contact by an opetator, because the gas distributor cover 166 becomes very hot during operation.
While the present invention has been illustrated by the description of ~ ~.,1.~1,",. ..1~ thereof,~nd ~hilo ~e ~..b "~
describ= ~Applicants to L Ul .1 Gll~ ..~L Ih~. ~ Of ~~-rr_.;i~ claims to F~
rdditional advantages and ,, ~ will readily appear to those s~ ed in the ar~ For e~ample, the cylinder and ~llu~.~-l~i utili~ed irl one of the preseM invention might be fabricated from a different met I than disclosed. r.. Il .. ,... Ilr, the non-conductive cylinder arld ring ~5END~D 9H~T

Claims (24)

CLAIMS:
1. Apparatus for deposition of a film on a substrate inside a chemical vapor deposition chamber comprising:
a rotatable susceptor adapted to support and rotate a said substrate inside a said chamber, and to create a pumping action to draw reactant gases toward the substrate;
a gas-dispersing showerhead opposite the susceptor and having holes adapted to disperse reactant gases; and a reactant gas supply element for supplying reactant gas to be dispersed from the showerhead, CHARACTERISED IN THAT
the showerhead is spaced about 25mm (one inch) or less from the susceptor and a said substrate, and the supply element is spaced from the showerhead such that a generally linear reactant gas flow is obtainable between the supply element and showerhead to yield improved reactant gas flow over a said substrate and more efficient chemical vapour deposition of a film thereon.
2. Apparatus of Claim 1 comprising a hollow cylinder located between the supply element and the showerhead and having a first end coupled to the supply element and a second end coupled to the showerhead to contain the linear gas flow between the supply element and showerhead.
3. Apparatus of Claim 1 or Claim 2 comprising an RF energy source coupled to the showerhead for biasing the showerhead as an RF electrode, the showerhead electrode being operable to excite reactant gas from the supply element to form a plasma for depositing a film on said substrate by plasma enhanced chemical vapour deposition.
4. Apparatus of Claim 3 wherein a concentrated plasma is generable proximate the susceptor and substrate.
5. Apparatus of Claim 4 and Claim 3 as appendant to Claim 2 comprising a non-conductive,element coupled between the cylinder and the showerhead electrode to prevent biasing of the cylinder with RF energy.
6. Apparatus of Claim 5 wherein the non-conductive element is a ring connected between the second end of the cylinder and a peripheral edge of the showerhead electrode.
7. Apparatus of Claim 5 or Claim 6 wherein the non-conductive element is formed of quartz.
8. Apparatus of Claim 3 comprising an RF line connecting the RF energy source to proximate the centre of the showerhead electrode to bias the showerhead electrode uniformly.
9. Apparatus of Claim 8 and Claim 3 as appendant to Claim 2 wherein a concentrated plasma is generable proximate the susceptor and substrate, and a portion of the RF line extends through the cylinder to the showerhead electrode.
10. Apparatus of Claim 9 wherein the RF line includes a non-conductive covering over the portion of RF
line extending through the cylinder to insulate said RF
line portion and prevent formation of a plasma within the cylinder.
11. Apparatus of Claim 4 and Claim 3 as appendant to Claim 2, or Claim 9 wherein the cylinder is formed of a non-conductive material to prevent biasing of the cylinder with RF energy.
12. Apparatus of Claim 11 wherein the non-conductive material is quartz.
13. Apparatus of any of Claims 3 to 12 wherein the holes of the showerhead electrode are about 0.79mm (1/32 of an inch) in diameter to confine the plasma to one side of the showerhead electrode between the susceptor and the showerhead electrode.
14. A method for depositing a film on a substrate by chemical vapour deposition comprising:
positioning the substrate within an enclosed chamber;
introducing reactant gases into the chamber opposite the substrate through a reactant gas supply element spaced from the substrate;
positioning a gas-dispersing showerhead with gas-dispersing holes between the supply element and the substrate and facing the substrate; and rotating a said substrate to draw the reactant gases to the substrate through the showerhead, CHARACTERISED IN THAT
the showerhead is positioned about 25mm (one inch) or less from the substrate and spaced from the supply element to create a generally linear reactant gas flow between the supply element and the showerhead, to yield improved reactant gas flow over the substrate and more efficient chemical vapour deposition of a film on the substrate.
5. The method of Claim 14 comprising:
coupling a hollow cylinder between the supply element and the showerhead and directing the reactant gases through the cylinder to confine the gases over the showerhead.
16. The method of Claim 14 or Claim 15 comprising:
biasing the showerhead with RF energy as an electrode; and exciting the reactant gases with the showerhead electrode to form a plasma and deposit the film on the substrate by plasma enhanced chemical vapour deposition.
17. The method of Claim 16 wherein the gas-dispersing holes of the showerhead are dimensioned such that the plasma is generally confined to a side of the showerhead electrode facing the substrate to concentrate the plasma near the substrate.
18. The method of Claim 16 wherein the gas-dispersing holes of the showerhead are about 0.79mm (1/32 of an inch) in diameter.
19. The method of Claim 16 wherein a concentrated plasma is generated proximate the showerhead.
20. The method of Claim 19 and Claim 16 as appendant to Claim 15 comprising:
electrically insulating the showerhead electrode from the cylinder to prevent biasing of the cylinder with RF energy from the showerhead electrode.
21. The method of Claim 20 wherein the step of electrically insulating includes coupling an insulative member between the cylinder and showerhead electrode.
22. The method of Claim 21 wherein the insulative member is formed of quartz.
23. The method of Claim 19 and Claim 16 as appendant to Claim 15 wherein the cylinder is formed of non-conductive material to prevent biasing of the cylinder with RF energy from the showerhead electrode.
24. The method of Claim 23 wherein the non-conductive material is quartz.
CA002191456A 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films Abandoned CA2191456A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/253,714 1994-06-03
US08/253,714 US5628829A (en) 1994-06-03 1994-06-03 Method and apparatus for low temperature deposition of CVD and PECVD films
PCT/US1994/013614 WO1995033868A1 (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films

Publications (1)

Publication Number Publication Date
CA2191456A1 true CA2191456A1 (en) 1995-12-14

Family

ID=22961417

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002191456A Abandoned CA2191456A1 (en) 1994-06-03 1994-11-29 Method and apparatus for low temperature deposition of cvd and pecvd films

Country Status (9)

Country Link
US (2) US5628829A (en)
EP (1) EP0763149B1 (en)
JP (1) JPH10501300A (en)
KR (1) KR970703446A (en)
AU (1) AU1294795A (en)
CA (1) CA2191456A1 (en)
DE (1) DE69414274T2 (en)
TW (1) TW335593B (en)
WO (1) WO1995033868A1 (en)

Families Citing this family (201)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3877082B2 (en) * 1995-08-10 2007-02-07 東京エレクトロン株式会社 Polishing apparatus and polishing method
KR100201386B1 (en) * 1995-10-28 1999-06-15 구본준 Reaction gas injecting apparatus of chemical vapor deposition apparatus
US6184158B1 (en) * 1996-12-23 2001-02-06 Lam Research Corporation Inductively coupled plasma CVD
JP3635875B2 (en) * 1997-06-25 2005-04-06 東京エレクトロン株式会社 Film forming method and film laminated structure
US5926737A (en) * 1997-08-19 1999-07-20 Tokyo Electron Limited Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6161500A (en) * 1997-09-30 2000-12-19 Tokyo Electron Limited Apparatus and method for preventing the premature mixture of reactant gases in CVD and PECVD reactions
US6087261A (en) * 1997-09-30 2000-07-11 Fujitsu Limited Method for production of semiconductor device
US6121140A (en) * 1997-10-09 2000-09-19 Tokyo Electron Limited Method of improving surface morphology and reducing resistivity of chemical vapor deposition-metal films
US6037252A (en) * 1997-11-05 2000-03-14 Tokyo Electron Limited Method of titanium nitride contact plug formation
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
US6090705A (en) * 1998-01-20 2000-07-18 Tokyo Electron Limited Method of eliminating edge effect in chemical vapor deposition of a metal
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6499425B1 (en) 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6936310B1 (en) * 1999-04-02 2005-08-30 Sharp Kabushiki Kaisha Plasma processing method
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6402848B1 (en) * 1999-04-23 2002-06-11 Tokyo Electron Limited Single-substrate-treating apparatus for semiconductor processing system
US6123775A (en) * 1999-06-30 2000-09-26 Lam Research Corporation Reaction chamber component having improved temperature uniformity
US6206972B1 (en) * 1999-07-08 2001-03-27 Genus, Inc. Method and apparatus for providing uniform gas delivery to substrates in CVD and PECVD processes
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6440494B1 (en) 2000-04-05 2002-08-27 Tokyo Electron Limited In-situ source synthesis for metal CVD
KR100419756B1 (en) * 2000-06-23 2004-02-21 아넬바 가부시기가이샤 Thin-film deposition apparatus
US6534423B1 (en) * 2000-12-27 2003-03-18 Novellus Systems, Inc. Use of inductively-coupled plasma in plasma-enhanced chemical vapor deposition reactor to improve film-to-wall adhesion following in-situ plasma clean
US6929831B2 (en) * 2001-09-15 2005-08-16 Trikon Holdings Limited Methods of forming nitride films
KR100501339B1 (en) * 2001-11-02 2005-07-18 주성엔지니어링(주) Plasma apparatus
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US7296534B2 (en) * 2003-04-30 2007-11-20 Tokyo Electron Limited Hybrid ball-lock attachment apparatus
JP2007525822A (en) * 2003-05-30 2007-09-06 アヴィザ テクノロジー インコーポレイテッド Gas distribution system
US20040261923A1 (en) * 2003-06-25 2004-12-30 Burns Steven M. Clean atmosphere heat treat for coated turbine components
KR100561642B1 (en) * 2003-06-27 2006-03-20 엘지.필립스 엘시디 주식회사 Apparatus and method of fabricating display device
JP4399206B2 (en) * 2003-08-06 2010-01-13 株式会社アルバック Thin film manufacturing equipment
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
JP4698251B2 (en) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US20050230350A1 (en) * 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
US8083853B2 (en) * 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8074599B2 (en) * 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US8328939B2 (en) * 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US20060281310A1 (en) * 2005-06-08 2006-12-14 Applied Materials, Inc. Rotating substrate support and methods of use
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
TW200737533A (en) * 2005-12-21 2007-10-01 Nat Science And Technology Dev Agency Low-cost and high performance solar cell manufacturing machine
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
WO2008096981A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for forming a layer
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
EP2215282B1 (en) 2007-10-11 2016-11-30 Valence Process Equipment, Inc. Chemical vapor deposition reactor
US20090178763A1 (en) * 2008-01-10 2009-07-16 Applied Materials, Inc. Showerhead insulator and etch chamber liner
KR101606736B1 (en) 2008-07-07 2016-03-28 램 리써치 코포레이션 Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
TWI494030B (en) * 2008-07-07 2015-07-21 Lam Res Corp Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
JP5271648B2 (en) * 2008-09-22 2013-08-21 株式会社ニューフレアテクノロジー Semiconductor manufacturing method and semiconductor manufacturing apparatus
US20100267191A1 (en) * 2009-04-20 2010-10-21 Applied Materials, Inc. Plasma enhanced thermal evaporator
WO2011109348A2 (en) * 2010-03-03 2011-09-09 Veeco Instruments Inc. Wafer carrier with sloped edge
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
CN106884157B (en) * 2011-03-04 2019-06-21 诺发系统公司 Mixed type ceramic showerhead
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
FR2997557B1 (en) 2012-10-26 2016-01-01 Commissariat Energie Atomique NANOFIL ELECTRONIC DEVICE WITH TRANSITION METAL BUFFER LAYER, METHOD OF GROWING AT LEAST ONE NANOWIL, AND DEVICE MANUFACTURING METHOD
FR2997420B1 (en) * 2012-10-26 2017-02-24 Commissariat Energie Atomique PROCESS FOR GROWING AT LEAST ONE NANOFIL FROM A TWO-STEP NITRIDE TRANSITION METAL LAYER
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
EP2747122B1 (en) * 2012-12-20 2019-07-03 Applied Materials, Inc. Plasma enhanced deposition arrangement for evaporation of dielectric materials, deposition apparatus and methods of operating thereof
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056632A (en) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 Method for manufacturing silicone oxide film
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
WO2015094596A1 (en) * 2013-12-17 2015-06-25 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
CN104103561B (en) * 2014-07-24 2016-08-24 河北神通光电科技有限公司 Etching cavity and etching system thereof for gaseous hydrogen fluoride etching silicon dioxide
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (en) 2018-02-28 2021-01-21 美商應用材料股份有限公司 Systems and methods to form airgaps
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
CN112921305A (en) * 2021-01-22 2021-06-08 上海华虹宏力半导体制造有限公司 Method for improving film thickness uniformity

Family Cites Families (136)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
US4691662A (en) * 1983-02-28 1987-09-08 Michigan State University Dual plasma microwave apparatus and method for treating a surface
JPS59159167A (en) * 1983-03-01 1984-09-08 Zenko Hirose Manufacture of amorphous silicon film
DE3429899A1 (en) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo METHOD FOR FORMING A DEPOSITION FILM
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US4618542A (en) * 1983-11-21 1986-10-21 Tdk Corporation Magnetic thin film
JPS60221566A (en) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol Thin film forming device
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (en) * 1984-07-16 1986-02-06 Canon Inc Apparatus for forming amorphous silicon film
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (en) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt METHOD FOR THE PRODUCTION OF SEMICONDUCTOR LAYERS ON SEMICONDUCTOR BODIES OR FOR THE DIFFUSION OF INTERFERENCE POINTS IN THE SEMICONDUCTOR BODY
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) * 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
JPS61189626A (en) * 1985-02-18 1986-08-23 Canon Inc Formation of deposited film
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (en) * 1985-03-28 1986-10-04 Canon Inc Copying machine
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (en) * 1985-03-27 1996-09-25 キヤノン株式会社 Functional deposition film manufacturing equipment
JPH07101751B2 (en) * 1985-03-28 1995-11-01 キヤノン株式会社 Photovoltaic device manufacturing method
NL8602356A (en) 1985-10-07 1987-05-04 Epsilon Ltd Partnership APPARATUS AND METHOD FOR AN AXIAL SYMMETRICAL REACTOR FOR THE CHEMICAL VAPORIZATION.
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
JPH0645890B2 (en) * 1985-12-18 1994-06-15 キヤノン株式会社 Deposited film formation method
JPH084071B2 (en) * 1985-12-28 1996-01-17 キヤノン株式会社 Deposited film formation method
CH671407A5 (en) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (en) * 1986-12-12 1996-02-22 Canon Kk Process for forming functional evaporated films by a chemical microwave plasma evaporation process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
EP0275965B1 (en) * 1987-01-19 1995-05-31 Hitachi, Ltd. Plasma operation apparatus
KR900008505B1 (en) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Microwave enhanced cvd method for depositing carbon
JPS63233564A (en) * 1987-03-23 1988-09-29 Canon Inc Manufacture of junction transistor
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
CA1303194C (en) * 1987-07-21 1992-06-09 Katsumi Nakagawa Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least zn, se and h in an amount of 1 to40 atomic %
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
JPH0192375A (en) * 1987-10-05 1989-04-11 Canon Inc Device for forming functional deposited film by microwave plasma cvd
EP0317350B1 (en) * 1987-11-20 1995-06-21 Canon Kabushiki Kaisha A pin function photovoltaic element, tandem und triple cells
US5008726A (en) * 1987-11-20 1991-04-16 Canon Kabushiki Kaisha PIN junction photovoltaic element containing Zn, Se, Te, H in an amount of 1 to 4 atomic %
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01198482A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
JPH01198481A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (en) * 1988-04-15 1998-07-16 株式会社日立製作所 Semiconductor device and manufacturing method thereof
JPH01298164A (en) * 1988-05-25 1989-12-01 Canon Inc Formation of functional deposit film
JPH01296611A (en) * 1988-05-25 1989-11-30 Canon Inc Semiconductor thin film deposition
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
JPH01306565A (en) * 1988-06-02 1989-12-11 Canon Inc Formation of deposited film
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
JPH0215174A (en) * 1988-07-01 1990-01-18 Canon Inc Microwave plasma cvd device
DE68927726T2 (en) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Device for dry etching with a generator for generating anhydrous hydrofluoric acid gas
DE3926023A1 (en) * 1988-09-06 1990-03-15 Schott Glaswerke CVD COATING METHOD FOR PRODUCING LAYERS AND DEVICE FOR CARRYING OUT THE METHOD
US5122431A (en) 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JPH02114530A (en) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp Thin film formation device
JP2717583B2 (en) * 1988-11-04 1998-02-18 キヤノン株式会社 Stacked photovoltaic element
US5178905A (en) 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (en) * 1988-11-29 1990-06-07 Canon Inc Apparatus for forming semiconductor device continuously
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
JPH02192771A (en) * 1989-01-21 1990-07-30 Canon Inc Photovoltaic element
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
JP2829653B2 (en) * 1989-01-21 1998-11-25 キヤノン株式会社 Photovoltaic element
JP2892070B2 (en) * 1989-01-26 1999-05-17 キヤノン株式会社 Deposition film forming equipment
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
JPH02258689A (en) 1989-03-31 1990-10-19 Canon Inc Method for forming crystalline thin film
EP0422243B1 (en) * 1989-03-31 1994-09-21 Canon Kabushiki Kaisha Method of forming polycrystalline film by chemical vapor deposition
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
US5156820A (en) 1989-05-15 1992-10-20 Rapro Technology, Inc. Reaction chamber with controlled radiant energy heating and distributed reactant flow
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
US5037666A (en) * 1989-08-03 1991-08-06 Uha Mikakuto Precision Engineering Research Institute Co., Ltd. High-speed film forming method by microwave plasma chemical vapor deposition (CVD) under high pressure
JPH0394069A (en) 1989-09-05 1991-04-18 Mitsubishi Electric Corp Thin film forming device
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (en) 1989-12-13 1996-01-29 三菱電機株式会社 Surface cleaning device and method thereof
KR0184279B1 (en) * 1990-01-29 1999-04-15 미다 가쓰시게 Metal or metal silicide film making method
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
DE4016765A1 (en) 1990-05-25 1991-11-28 Philips Patentverwaltung Chemical vapour coating method - where process plasma is conc. in an area and passed through a small diaphragm opening into a substrate chamber
FR2664294B1 (en) 1990-07-06 1992-10-23 Plasmametal METHOD FOR METALLIZING A SURFACE.
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (en) 1990-10-24 1999-11-01 고지마 마따오 Method for forming a thin film and semiconductor devices
JP2583152B2 (en) 1990-11-06 1997-02-19 大日本スクリーン製造株式会社 Substrate rotating surface treatment method
JP3013455B2 (en) 1991-02-07 2000-02-28 日本電気株式会社 Plasma chemical vapor deposition of tantalum oxide films
JP2939355B2 (en) 1991-04-22 1999-08-25 東京エレクトロン株式会社 Plasma processing equipment
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5434110A (en) 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5449410A (en) 1993-07-28 1995-09-12 Applied Materials, Inc. Plasma processing apparatus
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5647911A (en) 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia

Also Published As

Publication number Publication date
DE69414274D1 (en) 1998-12-03
US5628829A (en) 1997-05-13
EP0763149A1 (en) 1997-03-19
AU1294795A (en) 1996-01-04
US6140215A (en) 2000-10-31
DE69414274T2 (en) 1999-03-25
KR970703446A (en) 1997-07-03
JPH10501300A (en) 1998-02-03
EP0763149B1 (en) 1998-10-28
WO1995033868A1 (en) 1995-12-14
TW335593B (en) 1998-07-01

Similar Documents

Publication Publication Date Title
CA2191456A1 (en) Method and apparatus for low temperature deposition of cvd and pecvd films
US5626678A (en) Non-conductive alignment member for uniform plasma processing of substrates
KR100330295B1 (en) Gas diffuser plate assembly and RF electrode
KR930003136B1 (en) Method and apparatus for thinfilm formation by plasma cvd
KR101345904B1 (en) Showerhead electrode assembly for plasma processing apparatuses
KR101166740B1 (en) Apparatus including showerhead electrode and heater for plasma processing
KR100745942B1 (en) Inductive coupling plasma processing apparatus
CN101720363B (en) Showerhead electrode assemblies for plasma processing apparatuses
US6270859B2 (en) Plasma treatment of titanium nitride formed by chemical vapor deposition
JP5656626B2 (en) Shower head electrode and shower head electrode assembly with low particle performance for semiconductor material processing equipment
EP0447031A1 (en) Composite susceptor
CA2191457A1 (en) Method and apparatus for producing thin films
EP0841838B1 (en) Plasma treatment apparatus and plasma treatment method
US6092486A (en) Plasma processing apparatus and plasma processing method
US10883174B2 (en) Gas diffuser mounting plate for reduced particle generation
JP2003507319A (en) Method and apparatus for depositing materials having a wide electronic bandgap and high binding energy
KR960008697A (en) Mass Synthesis Apparatus and Synthesis Method of Coating Layer for Circular Substrate Using High Frequency Plasma Chemical Vapor Deposition
JP2000348897A (en) Plasma processing apparatus
JPH02185978A (en) Plasma cvd device
JPS5811781A (en) Plasma cvd device
JPS643338B2 (en)
JPS55149199A (en) Vapor phase deposition method under reduced pressure
JPH0544824B2 (en)
JPS6477930A (en) Cvd device
JPS643950B2 (en)

Legal Events

Date Code Title Description
FZDE Discontinued