CA2191457A1 - Method and apparatus for producing thin films - Google Patents

Method and apparatus for producing thin films

Info

Publication number
CA2191457A1
CA2191457A1 CA002191457A CA2191457A CA2191457A1 CA 2191457 A1 CA2191457 A1 CA 2191457A1 CA 002191457 A CA002191457 A CA 002191457A CA 2191457 A CA2191457 A CA 2191457A CA 2191457 A1 CA2191457 A1 CA 2191457A1
Authority
CA
Canada
Prior art keywords
gas
substrate
radicals
deposition
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002191457A
Other languages
French (fr)
Inventor
Robert F. Foster
Joseph T. Hillman
Rene E. Leblanc
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Tokyo Electron Ltd
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CA2191457A1 publication Critical patent/CA2191457A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • C23C16/5096Flat-bed apparatus
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD

Abstract

To deposit a film on a substrate (22) by plasma-enhanced chemical vapor deposition at temperatures sub-stantially lower than conventional thermal CVD temper-atures, a substrate is placed within a reaction chamber (12) and a first gas is excited upstream of the substrate to generate activated radicals of the first gas. A second gas is supplied proximate the substrate to mix with the activated radicals of the first gas and the mixture pro-duces a surface reaction at the substrate to deposit a film.
Rotation of the substrate draws the gas mixture down to the substrate surface in a laminar flow (29) to reduce re-circulation and radical recombination. Another method utilizes a gas-dispersing showerhead (298) that is biased with RF energy to form an electrode which generates ac-tivated radicals and ions in a concentrated plasma close to the substrate surface.

Description

5 7, ~
~VO 9~33867 PCT/US94113641 Method and apparatus for produc~ng th~n f~lms FIF.~n OF 'I'HI~ vF~ oN
This invention relates generally to rl~r~- ' ' chemical vapor deposition (PECVD) for applying a film coating to a substrate, and more specifically to PECVD conducted at a low effective deposition i , at the substrate surface. ~ven more specifically, the invention relates to deposition of titanium containing films using low t~ ,.t; CVD.

12~\('~('~R-)UND OF T~E INVEN~ON
In the formation of integrated circuits (IC's), thin films containmg metal and metalloid dements are often deposited upon the surface of a substrate, such as a ' wafer. Thin films are derosited to provide conductive and ohmic contacts in the circuits and between the various devices of an IC. For example, a desired thin film might be applied to the exposed surface of a contact or via hole on a ! ' ' wafer, with the film passing through the insulative SUBSTITUTE SHEET (~LILE 26) a ~ 5 7. ~'
-2- PCI/IJS9~/136~1 Iayers on the wafer to provide plugs of conductive material for the purpose of making across the insulating layers.
One well known process for depositing thin metal films is chemical vapor deposition (CVD) in which a thin film is deposited using chemical reactions bet veen various deposition or reactant gases at the surface of the substrate. In CVD, reactant gases are pumped into proximity to a substrate inside a reaction chamber, and the gases ' , '~, react at the substrate surface resulting in one or more reaction by-products which forln a film on the substrate surface. Any by-products remaining after the deposition are removed from the chamber. While CVD is a useful technique for depositing films, many of the traditional CVD
processes are basically thermal processes and require t. .,~ in excess of 1000C in order to obtain the neceSsary reactions. Such a deposition is often far too high to be practically useful in IC fabrication due to the effects that high i . have on various other aspects and layers of the electrical devices making up the IC.
P~li~ l~ly, certain aspects of IC ~ r ' degraded by exposure to the high: I normally related to traditional thermal CVD
processes. For example, at the device level of an IC, there are shallow diffusions of ~ dopants which for~n the junctions of the electrical devices within the IC. The dopants are often initially diffused using heat during a diffusion step, and therefore, the dopants will continue to diffuse when the IC is subjected to a high ~ during CVD. Such furher diffusion is I ' ' ' because it causes the junction of the device to shift, and thus alters the resulting electrical " f' ' ;`1 i' ` 0f the IC. T~herefore, for cerain IC devices, exposing the substr_te SUE'STITUTE SHEET (RULE 26) a~ 57.~
~WO 95133867 PCTIUS94/13641 ~3~
to processing i r ' ~ of above iO0C is avoided, aud the upper ~ r limit may be as low as 650C for other more i . sensitive devices.
r.; such i I limitations may become even more severe if thermal CVD is performe(i after metal , or wiring has been applied to the IC. For e~ample, many IC's utilize aluminum as an metal. However, various I ' ' ' voids and extrusions occur in aluminum when it is subjecte~i to high processing Therefore, once ~ aluminum has been deposited onto an IC, the maximum to which it can be e~pose(i is 31r ' ' 1y 500C, and the preferred upper; . limit is 400C. Therefore, as may be 3~r ' i~ it is desirable during CVD processes to maintain low deposition i r ' whenever possible.
Cr--, 'y, the upper L~ h ldLul~ limit to which a substrate must be expose(i precludes the use of some tra~iitional thermal CVD processes which might otherwise be very useful in fabricdting IC's. A good example of one such useful process is the chemical vapor deposition of titanium. Titanium is typically used to provide ohmic contact between the silicon contacts of an IC device and a metal , Titanium may be depositei from TiBr4, TiCI4 or Til~ by using CVD methods such as I ' pyrolysis or hydrogen reduction.
However, the ~ necessary for these thermal processes are in excess of 1000C, and such a deposition ~ r is much to high to be practically useful in IC f~i)rir~tirn Therefore, the deposition of titanium and titanium-containing films presents a problem in formation of integrated circuits.
SUBSTITUTE SHEET (RULE 26 11 4 5 7. ~
Wl~ 95133867 PCTIUS9-1/136 There are low i , physical techniques available for depositing titanium on I r ' sensitive substrates. Sputtering is one such technique involving the use of a target of layer material and an ionized plasma.
To sputter deposit a film, the target is electrically biased and ions from the plasma are attracted to the target to bombard the target and dislodge target material particles. Tbe particles then deposit themselves ~,u~ul~ ,ly as a film upon the substrate. Titanium may be sputtered, for example, over a silicon substrate after various contacts or via openings are cut into a level of the substrate. The substrate might then be heated to about 800C to allow the silicon and titanium to alloy and form a layer of titanium silicide (TiSiz). After the deposition of the titanium layer, the excess titanium is etched away from the top surface of the substrate leaving TiSiz at the bottom of each contact or via. A metal is then deposited directly over the TiSil.
While physical sputtering provides deposition of a titanium film at a lower t.,~ ulC;, sputtering processes have various drawbacks. Sputtering normally yields very poor step coverage. Step coverage is defined as the ratio of film thickness on the bottom of a contact on a substrate wafer to the film thickness on the sides of the contact or the top surface of the substrate. f . '~" to sputter deposit a l,.cd~ ' amount of titanium at the bottom of a contact or via, a larger amount of the sputtered titanium must be deposited on the top surface of the substrate or the sides of the contact. For example, in order to deposit a 200A film at the bottom of a contact using sputtering, a 600A to loooA film layer may have to be deposited onto the top surface of the substrate or the sides of the SUBSTITUTE SHEET (RULE 26) 2 ~ 5 7. ~
~VO 95/33867 . PCT/US94/13641 contact. Since the excess titanium has to be etched away, sputtering is wasteful and costly when depositing layers containing titanium.
r, i~ , the step coverage of the contact with sputtering techniques decreases as the aspect ratio of the contact or via increases. The aspect ratio of a contact is defined as the ratio of contact depth to the width of the contact. Therefore, a thicker sputtered film must be deposited on the top or sides of a contact that is narrow and deep (high aspect ratio) in order to obtain a particular film thickness at the bottom of the contact than would be necessary with a shallow and wide contact (low aspect ratio). In other words, for smaller device dimensions in an IC, ~ r ~ g to high aspect ratio contacts and vias, sputtering is even more inefficient and wasteful. The decreased step coverage during sputter deposition over smaller devices results in an increased amount of titanium that must be deposited, thus increasing the arnount of titanium applied and etched away, increasing the titanium deposition time, and increasing the etching time that is necessary to remove excess titanium. Accordingly, as IC device geometries continue to shrink and aspect ratios increase, deposition of titanium-containing layers by sputtering becomes very costly.
On the other hand, using a CVD process for depositing a titanium-containing film layer may be ~ ' with nearly 100% step coverage. That is, the film thickness at the bottom of the contact would d~ y equal the thickness on the top surface almost regardless of the aspect ratio of the contact or via being filled. However, as discussed above, the L.l~d~Ul~,D necessary for such CVD processes are too high and would degrade other aspects of the IC.

C~ , it would be desir-able to achieve titanium CVD at a ~ less SUBSTITUTE SHEET (RULE 26) 2 ~ 4 5 7~ ~:
WO 95/33867 -6- PC'r/US94/136.11 than 800C, and preferably less than 650C. Further, it is generally desirable ho reduce the deposition i . for any CVD process which is utilized to deposit a film in IC fabrication.
One approach which has been utilized in CVD processes to lower the reaction i is to ionize one or more of the reactant gases. Such a technique is generally referred to as plasma enhanced chemical vapor deposition (PECVD). While it has been possible with such an approach to somewhat lower the deposition h r ' _~ the high sticking coefficient of the ionized plasma particles degrades the step coverage of the film. That is, ions of the reactant gases are highly reactive and have a tendency to contact and stick to the walls of the vias or contacts in the substrahe. The ion particles do not migrate du....w~.~ to the bottom surface of the contact where the coating is desired but rather non-conformally coat the sides of the contact. This results in increased material usage, deposition timeS and etch times. Therefore, PECVD using ionized reactant gases has not been a completely adequate solution to lowering traditional high CVD i r ' and achieving good step coverage and film ~J..~rllll''~ y.
Additionally, when using a CVD process to apply a film, it is desirable to uniformly deposit the film. To do so, such as to apply a uniform film of tungsten (W), for example, a uniform supply of reactant gases must be supplied across the surface of the substrate and the spent gases and reaction by-products should be removed from the surface being coated. In this respect, prior art CVD
processeS have again performed with limited success. Specifically, in known CVD
processes, turbulence in the flow of reaction gases inhibits the efficiency and uniformity of the coating process and aggravah~s the deposition and migration of SUBSTITUTE SHEET (RULE ~6) 2 ~ ~ ~ 4 5 ~
~WO 95133867 PCT/US941136 11 within the reaction chamber. In tungsten CVD processes, tungsten k n~ (WF6) is employed as a reactant gas. Tungsten 1. -ll,....i~r is very costly and thus, when reactant gas utilization efficiency is low, as it is in prior art CVD processes, the overall process costs are S;V~url~la~ increased. Accordingly, there is a need for CVD processes which have improved gas flow and reduced gas flow turbulence to more efficiently and more uniformly supply reaction gases to amd remove reaction by-products from the surfaces of the substrate being coated.
Therefore, CVD processes which may be , ' ' at lower effective 1~ are desired. It is further desirable to have a low deposition which provides good step coverage. It is still further desirable to have a PECVD process which produces uniform film thickness and effective utilization of reactant gases. Accordingly, the present invention addresses these objectives and the ' v Of the various CVD and PECVD
processes currently available. Further, the present invention, ~uti~,lLul.y addresses the difficulties associated with depositing titanium and titanium-containing films using CVD.

c ~ofth~r The CVD ..y --~ and methods of the present invention overcome or obviate the high t~ d~L~Iv and gas flow drawbacks associated with many of the currently available thermal CVD and PECVD -1~ and processes. Specifically, the present invention achieves deposition of a titanium-containing film at a substantially lower ~ l r. as compared to traditional thermal CVD processes. Further, in doing so, the invention does not . I

.

SUBSTITUTE SHEET (RULE 26) ~ ~7~57~
wo 95/338G7 --8-- Pcr/[lss the ~.~..r.... ~ of the resulting film layer, and makes efficient use of the activated and reactant gases while reducing gas turbulence at the substrate surface.
The low i , deposition of the present invention is r~ 1 in two altemative methods. The first method utilizes the upstream, remote generation of a plasma. The plasma is pumped dowrl to a substrate by a rotating susceptor and is ~ ' ' as it travels to the substrate, so that '~, activated gas radicals are present. The gas radicals combine with unexcited reactant gases to deposit a film layer on the substrate by CVD
techniques. The pumping of the rotating susceptor minimizes gas particle ,~ ;,~. l.-;j, .~ and collisions to yield a useful percentage of radicals.
The second method utilizes an RF ~I.u. . ' ' design which yields a ' plasma very close to the substrate surface. All of the gases, both plasma and reactant gases, are passed through the RF ~ u.._~h~ii electrode and are excited. Since the susceptor acts as another electrode, the RE~ sl.u.._.l.~d and the susceptor form a parallel plat~ electrode f uf~ 'ig, ~ With the RF electrode method, the plasma gases utilized in the chemical vapor deposition at the substrate contains a miJ.~ture of both ions and sdicals which contribute energy to the surface reaction.
More specifcally, one CVD pro~ess of the preserlt invention utilizes a plasma source to geneste, upstream of a substste wafer, a gas plasma containing various eJfcited particles of the gas, including charged ions and excited, ' radicals, as well as free electrons. The excited particles of the plasma gas, and 1 ' ~,~, the excited radical particles are brought to the surface before they have ha~ a charlce to ~mbine to fûrm neutral mûlecules. The SLI~STITUTE SHEET (RULE 26) 4 5 7 ~
~WO 95/33867 PCT/13594/13641 excited radicals chemically react with one or more reactant gases to form a thin film on a substrate. The excited radicals supply energy to the surface reaction such that CVD may be used in accordance with the principles of the present inventiOn at h r ~ lower than those required with traditional CVD methods.
To prevent the particle sticking and the reduced layer . ' ' ~, associated with traditional PECVD using ionized particles, the upstream method of the present invention utilizes I ' '~",1~ uh~l~ activated radicals at the substrate surface which yield conformal, uniform films. However, the lifetime of such activated gas radicals is short as they seek to recombine into a low energy, stable molecular structure. As mentioned above, the present invention provides efficient use of the activated gas radicals by bringing the radicals to the substrate surface before a significant number of them are able to recombine to form the original, stable gas molecules. For efficient delivery of the radicals, the present invention utilizes a rotahng susceptor which supports and rotates the substrate and creates a downward pumping action in the direction of the substrate. The rotating susceptor pumps the radicals to the substrate surface.
A reactant gas or gases are introduced into the deposition region above the substrate surface to mix with the activated gas radicals. The downward pumping action of the rotating susceptor ! ' ' ly draws the mixture of radicals and reactant gases toward the substrate surface. At the substrate surface, the mixture of radicals and reactant gases flows radially outward from the center of the substrate in a substantially uniform laminar flow pattern and the excited SU8STITUT~E~SlHE!E~T~(R~UILE 26) ~95/33867 2 ~ ~ ~ 4 ~ 7 ~ PCI/IJS9~1136.~1 ' radicais reæt wiLh the redctant gas particles in a surfæe reaction which r3ults in a film layer being deposited upon the substrate surface.
The activated radicals suppiy energy to the surface reaction and ~hereby reduce the required energy, such as thermal energy, that is necessary for the chemical reaction to talce plæe at the substrate surface. Therefore, the deposition takes place at a substantiaily lower t~lllL~ldlUlG than the t~ ~ll~ldLUlG
requirGd by traditional CVD processes. For e~ample, the deposition of a titanium-containing layer using the present invention may be ~ fri at 600C or below versus 1000C for some traditional thermal CVD processes.
The unique pumping ætion and laminar flow of gases created by the rotating susceptor ensures a useful density of radicals at the substrate surface. For e~ample, by using a gas flow of between 500 to 50,000 sccm (standard cubic centimeterS per minute), a susceptor ~otation-rate of~o 1,000 rpm, a reaction ." i;,, r~
chamber pressure between~5 and 10 Tor~, and a reactant gas flow rate between I
to 20 sccm, the present invention has yielded thin fLims from CVD techni~ues at ~ ldLl~lGa below 650C. The upstream plasma may be excited using either an RF signal or a micmwave signal. Accordingly, the invention has been found to yield desirable results when the plasrna is excited at frequencies as high as 2.54 GHz and as low as 13.56 i~Hz.
The larninar pattern created by the roLating suscep~or minimizes gas particle IG~,III,UIdliUIIS and subsequent radical ~ at the substrate surface, and therefore, there are more ætivated radicals available at the substrate surface for the low ~ r CVD process. Additionaily, in the method of tbe present invention, increasing the mtation rate of the susceptor increases tile SUBSTITUTE SHEET (RULE 26) .. . , .. .... . _ _ ... _ 5 7. ~
~WO 95/33867 -11- PCI/US94113641 deposition rate at the substrate surfaoe. Due to the unique C..~ ;n.l of activated radicals and the laminar flow produoed by the pumping action of the rotating susoeptor, the deposition rate of the present invention incr beyond what might be achieved solely due to the increase in molecular reactants at the substrate surfaoe resulting from an increased pumping action. That is, imcreasing the rotation rate of the susceptor a~ ,' ' more than merely drawing reactant gases toward the substrate at a higher rate; it further minimizes ' of radicals thus providing more available radicals at the substrate surface. This in the delivery of radicals to the substrate surfaoe is an important a.l~. in PECVD prooesses. It allows the majority of the radicals formed upstream or remotely from the substrate to be carried to the substrate surface so that they take place in the surface deposition reaction without a large amount of radical ' ~ loss. This; ' and the subsequent increased energy at the surface reaction, in tum, allows the reaction to take plaoe at even lower deposition For the RF electrode plasma generation method of the present invention, the plasma gas is delivered proximate the surfaoe of the substrate utiiizing a gas-dispersing ~llu.. h~ which is biased with RF energy to act as an electrode. A susceptor supporting a substrate acts as another parallel electrode.
The RF showerhead/electrode generates a ~ ' plasma very close to the surfaoe of the substrate while a gas delivery cylinder attached to the ~ h~
ensures uniform gas flow to the plasma. The prw~imity of the plasma to the substrate ensures an ample density of activated radicals and ions for the surfaoe reaction. That is, a: ' of both gas ~adicals and gas ions is utilized in tbe SUi3STiTUTE SHEET ~RULE 26) 2 19~4~7 C.

u.._.l.~/electrode method. Utilizing the ~ v.._.}l~d/electrode~ a spacing of less than 1~ between the generated plasma and the substrate is possible yielding desirable CVD films. r, the RFi i~llu.._~}l~d/electrode method keeps the plasma . ' belûw the ~;~u.. ' ' and clûse to the substrate for efficient deposition. The RF ~hv.._~ ad has been utilized at RF frequencies from 13.56 MEIz to as low as 450 KH~.
While the present invention may be utilized with a number of different plasma gases and reactant gases, the invention has been found to be L '.y useful for depositing tit~nium-containing films, such as pure titanium ~Ti), titanium nitride CliN) and/or titanium silicide (TiSi~ films onto a substrate utili2~ing plasma containing radicals and ions of hydrogen and nitrogen and/or ~' ~ ' titanium . ' ' ' (riCI~) and ammonia (NH3). A diluent such as argon might be mixed with the plasma gas. Further, different plasma gases besides H2, N2 and NH3 might be used in accordance with the principles of the present invention to supply radicals and ions to the surface reaction according to the present invention.
In a specific i b~ ' t, the invention has been found useful for depositing titanium films over aluminum layers on a substrate. Deposition t r ~ in accordance with the invention are low enough that the aluminum layer is not damaged by reflow during the deposition.
In another specific i b~ ' t, the invention has been found useful for producing selective deposition of titanium over a substrate having a field oxide (silicon oxide) layer patterned with vias into a lower silicon layer. Under certain SUBSTITUTE SHEET (RULE 26) ~945~ ~
~wo 95/33867 PcrrlJss4/l364 conditions, it has been found that titanium deposits only on the silicon layers in the vias without sigificant deposition on the field o~ide.
In accordance with various hardware ~ ~ " of the invention, the plasma may be created using energy from various energy sources imcluding microwave and radio frequency (RF) sources. One hardware ~ ~ ~ " utilizes a ~hv.. ~/electrode which is biased with RF energy to create a plasma. One possible upstream plasma: ' ' utilizes a commercially available plasma source with an RF coil ~u~ a pla8ma region. Still another ~...1,l~ ' utilizes an upstream microwave plasma source which remotely excites a plasma with microwave energy. The remote plasma is then pumped along a tube whereby activated radicals are formed. After exiting the tube and entering the deposition chamber, the radicals are mixed with reactant gases and drawn to the substrate surface by the rotating susceptor.
The invention and the particular advantages and features of the present invention will now be described in detail below with reference to tbe P . ~ drawings.
Bri~ De~rr~v~ion of tbe Dra~
Fig. 1 is a side view and partial cross-section of one b~ ' of an upstream pl~.. ; ' ' deposition chamber used to practice the methods of the present invention using microwave energy.
Fig. lA is a view of an altemative ~ b. ' of an upstream p~ n m ~ ~ deposition chamber using microwave energy.

SUBSTITUTE SHEET ~RULE 26) ~v ~y~i ~r~A~ nt~ U;~ ____ ,Y9~ 0 ~O "_ _ 51~3 4~ 6~ +4y 5~J ~ 3~J944~ 5 0 y, " ' ' ' 2~9457'i-Fi~. 2 is a side vsew and partial cross-section of one P ~ orll..,f 1 of deposition chamber used to practice the methods of the psesent invention using an RF showerhead~esectrode.
Fig. 2A is a more detaiied view of the ~ lf~ of Fig. 2.
- Fi~. ~B is asl alterr~a~ e cll~bud.Lll,,~ of the cr~ of Fis,~. 2.
Pig. 3 is a side Yiew and partial Lross-sectiorl of a second J~ of an upssrcatn plasma~nha~ed deposition cslamber using RF
energy.
Figs. 4A and 4B ase Aslherlius funcîion gsapshs of the necessary activ~don esler~y fo~ deposilion without and witsl the upstream activa~ed radicals of the prQen~ invendosl, ~Q~ ,L~.
Fig. S are graphs os~ deposi~on rate increase as a s~unc~ion of ro~tion rate isscrease wi~t and ~itslout the upstreat~ artiva[ed radicals~

I~tt!C~
Fiy. 6 is a ~ Lutv~ u~;.~h showin~ sekctive deposition of ~i anium f~l~s onto vias par~ernerl i~ a silicon oxsde layer overlying a silicosl su~strate.
Dehiled De~s~riDtion o~ th~ In~entson The presetst irlvesldon islcllsdes both ~ethods and A~ for "",~ lo~Y t~ CvD utilizing acdvated gas radicals assd/or acffYated gas radicals Aand iOslS. Proper use of the a~livated iorls alsd radicals, and a resultaslt low r~ CV'D rnethod, requires a useful derlsiry of radicals as /or ions at the substrate surface. A rotatir~ susceptur is us~d in accv.~e with the present islYer~tion vhich totatQs a substrate inside of a dcposition charnber and draws activated gas radicals down to the surface of the substrate. The radicals A~,ENDED SHEET

2 ~ Q ~ 4 5 7 ~WO 95/33867 PCTIUS94/13641 and reactant gases ta'~e pa~;t in a surface reaction on the substrate to deposit a film.
The activated, I,ll~UE,., ' radica'ls and charged ions contribute energy to the surface reaction such that the flm is deposited upon the substrate surface in a chemica'~ vapor tec'nnique at ' "y lower . than are possible with thermal CVD techniques. Also, because the ions and radicals a~;e activated by the plasma, less thermal energy is required to complete the surface reaction.
Prefera'oly, in the upstream plasma generation, r ~ ' ''!~
radica'ls are present at the substrate surface to participate in the low i surface reaction. The laminar gas flow created by the susceptor reduces collisions and tne subsequent ,~ 1 - -';..--- of the activated radicals into stable molecules so that a useful density of the radicals are delivered to the substrate surface to take place in the surface reaction and subsequent film formation. With the RF
Jl,u.._ll.~/electrode method, the plasma may be generated very close to the substrate, as discussed further I ' -' .., thus enhancing the efficient use of the activated ions and radicals. The present invention yields a CVD technique that may be '~rv 1-1;`h~ at very low I . compared with the traditional thermal CVD techniques thus making it practical for integrated circuit fabrication requiring low deposition i r ' ~. r~ VI~ the inventive method achieves improved step coverage and film; ' ' ~ over sputter deposition techniques and other CVD techniques. The invention may be utilized to deposit various different films by a low t~ ul~ CVD; however, it is ,u~ ,ul~uly useful in depositing titanium-containing films such as titanium nitride (TiN) at low and especially pure titanium metal.
SUBSTITUTE SHEET (RULE 26) 2 ~ ~ ~ 4 5 7 ~

Fig. I shows one ~ ,, ' of an upstreain plasma source with a rotating susceptor for practicing the upstream p~ J CVD of the present invention. The . ~ of Fig. 1 utilizes a microwave plasma source for generating an upstreain reactant gas plasma from which the necessary activated radicals are drawn. A reactor 5 includes a chamber housing 10 enclosing a reaction space 12. The housing 10 may be controUably vacuumed to a desired intemal deposition pressure for practicing the invention. Plasma gases to be e~cited, such as, for example, hydrogen gas (H~), nitrogen gas (N2), and/or ammonia (NH3) are introduced into space 12 through a qua}tz tube 14. Plasma tube 14 is ~shaped and has a long portion 16 which extends generally horizontally until it reaches a 90 bend 15. After ahe 90 bend 15, a smaU straight section 18 extends vertically downward and has an ouaet end 19 which opens into space 12.
Housing 10 also contains a rotating susceptor 20 which rotates on a shaft 21 coupled to a motor (not shown), such that the speed of the rotation may be adjusted. Susceptor 20 supporls a substrate 22 in ahe reaction space 10. A
t~ control device (not shown) is coupled to susceptor 20 which is used to heat substrate æ to ahe desired ~ . An example of a suitable reactor, including a rotating susceptor, for practicing the methods of the invention is ahe Rotating Disk Reactor available from Materials Research Corporation (MRC) of Phoenix, Ariwna.
A microwave energy source 24 is coupled to plasma tube 14 alrough a microwave waveguide 26. The waveguide 26 propagates microwave energy 27 from source 24 to tube 14 to define an excitation region 28 within ahe tube 14. Plasma gases a~e introduced into tube 14 at end 13 and tiavel along the SUBSTITUTE SHEET (RULE 26) 4 5 7 ~
~WO 95133867 PCT/US9~/136.11 length of the tube 14 passing through region 28, wherein the microwave energy 22 is absorbed by the gases to excite the gases to form a plasma. The plasma generated in tube 14 contains various activated particles including ions and activated, .,I.~ dl radicals. For example, if hydrogen gas (H2) is introduced into tube 14, a hydrogen plasma containing free electrons (e~), hydrogen ions (H+) and ,h~,~ 1, activated hydrogen radicals (H*) is produced, while nitrogen gas (N2) yields electrons, nitrogen ions (N-) and activated radicals (N*). Ammonia gas (NH3) might also be utilized to produce radicals of hydrogen H* and nitrogen N*. However, as discussed in greater detail below, NH3 reacts with some reactant gases, such as (TiCI~), to form an undesired adduct. Therefore, preferably pure H2 and/or N2 are excited and utilized to achieve low ~ CVD.
Utilizing hydrogen (H2) as the plasma gas, generation of the plasma results in generation of radicals H* as well as ionization as follows:
Hl -- 2H+ + 2e~ ~lonization) (EQI) As the excited gas plasma travels along the horizontal section of tube 34, ' ~ occurs according to equation 2 below as the plasma is, and additional hydrogen radicals H~ are created through a ~ ' of hydrogen ions and free electrons.
H+ + e- -- H' (~ n) (EQ2) As time progresses, a second ,~ may occur according to equation 3. The second 1~ ;"" yields inactive, stable hydrogen gas molecules which will not contribute reaction energy to the surface reaction.

SUBSTITUTE SHEET (RULE 26) ~9~57 2, WO 9~133867 PCTIUS9~1136 Tberefore, it is important to deliver the activated radicals to a surface 23 of substrate 22 before they recombine.
H~ + H~ -- H~ (EQ3) The hydrogen radicals Hi~ and any other remaining gas particles of the plasma travel around the 90 bend 15 of the tube 14 and are drawn ~' n...~Jly along vertical section 18 and out into the reaction space 12 through outlet 19 by the rotation of susceptor 20. Rotating susceptor 20 generates a downward pumping action in the direction of substrate 22. The pumping action creates a laminar flow of gases over the wafer surface 23 as illustrated by arrows 29.
Preferably, susceptor 20 is operated to achieve matched gas flow conditions. In a matched gas flow, the rate of gas flow in a downward direction indicated by Q-l equals the rate of gas flow in a horizontal direction designated by Q-2. When these two gas flow rates are equal, matched flow occurs. An additional discussion of matched flow is disclosed in the pending application entitled "A Method For Chemical Vapor Deposition Of Titanium-Nitride Films At Low T, ~ r~ 7 Serial No. 08/131,900, filed October 5, 1993, which application is I ' herein by reference.
For an efficient CVD reaction according to the principles of the present invention, it is desirable that the plasma gas reaching the substrate 22 contain a large percentage of radicals, and preferably 80% or more activated radicals by, -",~ Such a high radical ~'Jl ~ II requires drawing the plasma gas down to the substrate 22 with minimal .~.,."l.: -ti.",~ Ma~imum utilization of radicals is ~ ~~ .' ' ' by the laminar flow created by the rotating SUBSTITUTE SHEET (RULE 26) 4 5 7 ~
~VO 95r33867 PCT/IIS94113641 susceptor 20. It has been determined through ~ ;.. that the laminar flow pattem of the susceptor 20 minimizes the, t ;, ~ o of the gas reactants and ~uLi~,ulall~ minimizes IC~,;l.,UIdliUll of the activated gas radicals at the substrate surface 23. The minimized in turn, minimizes gas pha~e collisions of the activated radicals, and hence, reduces the rate at which the radicals recombine to form stable molecules. That is, the amount of of H* into H2 according to equation 3 above is reduced. As a result, there is a greater density of useful activated radicals available at the substrate surface 23 to supply energy to the chemical surface reaction and to reduce the thermal energy required in the chemical vapor deposition of the film.
Thereby, the present invention effectively reduces the deposition When gas radicals are introduced into space 12, the reactant ga~es are introduced such as through a vertically adjustable ~LU.._.II.,dd 30 shown in Fig. 1. For example, to deposit a titanium-containing film, a titanium tetrahalide gas such as titanium t~ rh1nn~l~ (TiC14), titanium t~lldblullud~ (TiBr,), or titanium tetraiodide (Til,), and preferably TiC14, is ihlLI. ' ' For a pure titanium layer, H2 might be excited into a plasma and TiCI4 might be introduced into the reaction space 12. A mixture of H# and TiC3~ might then occur in space 12 generally above susceptor 20 and substrate 22. The pumping action of susceptor 20 would draw the mixture down to substrate surface 23 in a laminar flow and the activated H* and TiCI~ should react at surface 23 to deposit a thin film on the substrate 22. Hydrogen radicals Hi' should supply energy to the surface reaction according to equation 4.
4H~ + TiCL~ Ti + 4HCI (EQ4) SUeSTlTUTE SHEET ~RULE 26) 2 ~ ~ ~ 4 ~
Wo gsl33867 PCrNSg411364 The reaction should yield a film of titanium Crl) upon the substrate surface 23 and l~ acid (HCI) which might be removed through the appropriate exhaust port 32. The energy contributed to the reaction of equation 4 by the activated radicals should achieve a CVD filrn at reduced deposition i . ' While the example of the invention described l..le.r.~vvc might yield a layer of pure titanium upon the substrate 22, various other rnaterial layers might also be deposited according to the principles of the present invention containing titanium or contau~ing other desirable elements. For example, titanium nitride (TiN) might be deposited by ...l-~ ' hydrogen (H2) and nitrogen (N2) into the r3r~ ~ , tube 14 to yield HY and N* radicals. Further, ammonia gas (NH3) may be excited and ~' -- ' into a plasma containing H*
amd N* radicals. Similar to the . c~ ;- - of the hydrogen gas plasma particles, the NY radicals will eventuaUy combine into nitrogen molecules (N2) unless quicldy drawn down to the surface of the substrate 23. As a fur~her example, titanium silicide CliSi2) might also be deposited according to the principles of the present invention. In such a case, silane gas (SiH~) might be introduced with the titanium-containing gas (e.g. TiCL,) into the reaction space 12.
Additionally, tungsten (W) may be deposited using the apparatus of Fig. l and the method described. E~amples of chemical reactions for producing titanium nitride and titanium silicide are given below in equations 5 and 6, ~
TiCI4 + N* + 4H* -- TiN + 4HCI (EQ5) TiCI, + 2SiH~ + 4H* -- TiSi2 + 4HCI + 4H~ (EQ6) SU~STITUTE SHEET ~RULE 26) 2 ~45~
~WO 95/33867 -2 1- PCT/lJSg4/13641 The microwave plasma deposition apparatus of Fig. 1 waD used to deposit a layer of tungsten and several tests were made to determine the viability of the method. Hydrogen was passed through quartz tube 14. An e~ccited plasma was ignited in the vicinity of region 28 and travelled du..,.Dtl through tube 14 into reaction space 12. As the plasma travelled along quartz tube 14, it was ' ' du....D,i~ of the microwave excitation region 28 indicating that of the excited plasma particles had occurred, such as according to equation 2 above to yield additional hydrogen radicals. The hydrogen radicals were ' . '~, drawn down to substrate surface 23 by rotating susceptor 20.
, tungsten k n .. ~ (WF6) was introduced through a gas port 29. A deposition reaction occurred according to Equation 7, below, to deposit a layer of tungsten onto substrate 22.
WF6 + 6H* -- W + 6HF (EQ7) To verify that hydrogen radicals were actually reaching the substrate surface 23 and . b~ ~ to the CVD process, an activation energy ~
was made. Specifically, the tungsten deposition rate was measured as a function of substrate l r ' C. The III~DUI~ ' were made both with the microwave power turned off and no plasma and with the microwave power turned on to create a plasma and hydrogen radicals. The data measured is shown plotted in Figs. 4A
and 4B as a l~lgl~ntl-~ir Arrhenius function, i.e., plotted as In (k) versus 1/T, where lc is the reaction rate constant and T is absolute i , c:. The process SU~STITUTE SHEET ~RULE 26) A ~ JJ~ 3 a ~Q1457 ~

and deposition parameters for both the non-plasma and plasma depositions illustrated by Figs. 4A and 4B, ~ y, were as follows:

H~ rate = 2,000 sccm WFD rate = 225 sccm Pressure =l~ Torr) ~ ~ -Rotation rate of susceptor = 30 RPM
A Microwave Power = 900 Watts From the experiments, and the resulting Arrhenius functions, the activation energy, ED. was calculated. Fig. 4A is a plot of the activa[ion energy for tungsten (W) deposition without a plasma, while Fig. 4B is an activation energy plot with a micro~vave piasma. For the thermal process, ti~at is, with the microwave power rurned off, E~ = 67.1 kJ/mole-degree K However, when the microwave power was tumed on to create a plasma, the activation energy necessary for the deposition proccss was oniy ED = 63.2 k:~/mole-degree K The decrease in activation energy ED between the plasma and non-plasma deposition processcs, indicates that activated hydrogen radicals are reaching the substrate surface and ~Jdl~ JdLillg in the surface re~ction according to the principles of the present inYention. The decreased activation energy necessary when utilizing the activated radicals results in a decrease in the deposition ~ dLUL~ necessary for the CVD process. As discussed above, a lower deposition tC~llpCIdlulc is desirable for integrated circuit fabrication of temperature-sensitive circuits requiring deposition Lelll!1C~d~UI~ below 650'C.
The deposition rate of nungsten was also plotted as a function of the susceptor rotation rate or substrate rotation rate. Fig. S illustrates that the deposition rate for the thermal process increased with increasing rotation. rate as expected. This is due to the fact that the molecular reactants are being pumped to ~ L_~ ' v i --- . ~ lj ,j~ . ij,._i -__-_i,_ ~ 'bL-I_ ~r~Li L~V ~ 44i 5~
3 4 ~ 7 the rotatirlg substrate surface at a higher rate. However, f~r the upstrea~ radicai assisted pr~3cess of the present iriYention, the deposition rate increased much more dratnatically as the rotalion rate increased. That is, ther~ is an effect beyond the basic pumping of reactants caused by the r~3tating substrate which produces the increase~ deposi~ion rate. With the upstrearn plasr~a ~ethod of the present invention, it was d~tertnir.ed that the larlir~ar gas flow pattern proYided by the rotatirlg susceptor rnir~imizes the gas phase collisiorls, and thus riP~uces the rate at which the necessar,Y activat~d hydrogen radicals H~ recombine to f~rm hydrogen molecules H1. The efficient d~liver,Y o~ radicals to the substr te s rface in the upstrearn method ef the pr sen~ inYenticn is ar~ important . ~.3i~3~ ,u, in plastna-er.hanced CVD. A majoriy of the actiYateCi radicals are canied to t3le substrate su3rfac~3 to ta'~e place irl ti~ sudace depositio~ reacIion. Therefore, not en~y do the activated radicals contri~ute energy ar3d lower the ~epo5ition 1 , but also the hi~h der~sity of radi~als d~ivere3d to t~e substrate by the larninar gas flow of suscep~or 2~ further r~duces the de?ositiorl t~ dtulc below the i.~ c~i,,~lly iigh ~ of thennal CVD ,~ni~ c Fig. lA shows arl alternatlYe CY~3 Ci~ which utilizes ar3 upstream Illil~LUn~ , source to generate actiYated gas 3adicals. ~ reactor 100 irlcludes ~ chamier housing 10~ erlclosing reactio3l space 104. Li3~e teacwr 5 of Fig. 1, ~e ~ousir3g may be controlla~31y vacuurn~d to a desired ~nterl~al depositior pre sure. Plas~a gases are iD31rOdUCed in~ a vert~3cal quart2 tube 106. A
microwaYe wave ~ide strucPlre 108 is coup~ed to tluar~z tube 106. Wave guid~
structure 10~ in~iudes a hor~ontal section 110 which includ~s a r~icr~wave sourc~
11~. An an~led waveguide section 114 cormects borizo~al section 110 to t ~.~END.~ SEiEET

2~'I4gi7 ~ ~

~ertical waveguidc seclior~ 116. Quartz Nbe 106 ex~ends through an opening ~not shown~ in rhe angled section 114 and extends throu~h section 114 and vertical section 116 whcreupon it ~tends through a top cover plate lS of housing 102.
Quart~ tube I06 extends throu~h p~ate 118 and tcrminat~s at an outlct erld 120 abo~e a-g~s dispersing ~IIU~ LC~d 12 Showerhead 122 is attached co a quartz inswlasor ring 124 which connecrs rhe ~ 122 to the cover 115 of reactor housing 102. Also dispot;ed abo~e ~1..J.._.~ læ and adjaccn~ the outlet end 120 of quare tube 106 is a reactanr ~as L]alo or dispersion rin~ 128 which has a pluralit)~ of openings for dispersing reactant gas. A source line 130 is connc~red to ring 128 for deliver~ing a reactant gas such as T;CIIL to the ring 12~.
The micrawave source 112 within waYe guide section 110 r~ay be a magne~n or a~y olher suitablc sourc~ whir h generates en~rgy ar rnicrowave r,. ~ s For exaI:~pl~, a coaxial waveguide adap~er (nat shown~ mi~ht ~e attached onto one end of horizontal wavegu~de section 110 ~o ~erlerate the necessary microwavc energy.
~ e upstr~ar~ microwave plasma soutce and r~eactor 100 of Fig. lA
operales somewh2t sim~larly to reacwr 5 in Fi~. 1. That is, a plasma ~2s sr~h as ~ydroge~, nitrogen andlor ammor~i2 is irltroduced in~o quar~z tube 106 and travels along the qua~z tube 106 and through the microwave wa~eguidr~ suucture 108 such that the gases are excitcd into a plasma withirl a section or area of tul:e 10~.
A routin~ susceptor 132 supports a rubstrate I34 below slw.. .l.~ad 122 and halo 128. Si~ilar to the rotating susceptor of Fig. 1, suscc-ptor 132 is coupled to a C contro~ devtce (not showrl1 wbich hears substrate 134 to a dcsire~' r' `''1'~ Ulc7 susceptor 13~ is coupl~d by sha~t 134 to a motor (not AA~ENC,CD SHEET

~ "399446.r~: R I :~
a ~19~457 ~i shown) such that the r~tatian of susceptor 132 may bc set as desired. The rotaring susceptor pumps the activated radicais from erld 120 of quartz tu'oe 106 arld from reactan~ gas from ring 128 through ~I.u~._Ll.e~i 122 ~o rcact and deposit a filrn layer onîo substrate 134. Preferably, the majoriy of activaoed plasrna particks reaching substrate 134 ar~ activated radica~s which contribute ~ncrgy ~o the surface reaclion to acilieve low ~ e CYD. The remainirl~ narl-utili~ed gases are exhaustcd through an exhaust port 138 While the laminar gas flow of a rotatirlg susceptor in :,,."I.. ,~;.,~\
with an upstream plasrLa source yieids desirab~e radicai densities, a mcrhod of low ~Clalulc CVD of litar~ m has also beerl achieved using a gas dispcrsing ~llU ~.h~i biased as arl RF ekctrode in order ta gcncrate a plasma of iorls and radicals closc ~o the substratc such that bo~h iorls and radicais comribute to thc iow t~ .I, wrface reactions. AccordiLgly, Fig. 2 shows a prcferred ~ "I.u.~
o~ a CVD reactor for achieYi~g low ~ A~ C deposi~ion using acdvared ra~icais and iorLs in ~ornl*~nrr with the principles of ~e prese~t invention. Refcrr,ng to Fig. 2, the r~actor 40 includes a dcposition chamber housing 42 and housin~ cover 43 which d~fincs a reaaion space 44. E~ousi~g 4~ also encloses a rotatirlg susceplor 46 which supports a substrate 48 in spac~ 44. Sirnilar to the reac~or of Fig. 1, reactor 40 rnay be selecdYely cYacuated ~o various dif~cr~rlt internai pressures~ whiie susceptor 46 is coupled ~o adjustable heat and rotational colltrols ~or heating a~ MUtirlg substrate 48 at various 1~."~ and speeds, Exteuding dowrlwardly from the ~op of housing 42 is a cylinder assembly 50 which is attached to a ~Lo~ ~d 52. Showerhead 5~ ~s suspen~d ~E~'.D S~EET

2 ~ ~ ~ 4 ~ ~ -above subsrrate 48. The gases ~o be excited into a plasma are introduced through a gas injection ring 54 into cylinder assembly 50 through a plurality of ring holes 56. Ring 54 is coMected to a plasma gas supply by line 55. Showerhead 52 is coupled to an RF power source 57 by feedline assemb~y 58 which extends through cylinder assembly 50 to allu..~ d~ 52. Cylinder assembly includes a cylinder 51, and insulator ring 60 which separates cylinder 51 and allu..~ d~ 52 for reasons discussed IICL~;IIb~h~W~ Irl one embodiment of the reactor 40, cylinder 51 is electrically grounded. The RF energy biases showerhead/electrode 52 so that it acts as an electrode and has an associated RF field. Showerheadlelectrode 52 is preferably dyylù~illldtely~l~o 2s inche~ thick and contains approximately 300-600 dispersion holes 62. The gases introduced through plasma gas injection ring 54 flow downwardly in cylinder 51. ~he RF field created by the biased ~I.u.._ll. dd/electrode 52 excites the gases so that a plasma is created be~ow the lower surface 53 of ~IIu~.ll-dd/electrode 52. Preferably, the showerhead dispersion holes 62 are .~ ,c;"l~ I somewhat smaller than the gas dispersion holes of traditional gas allO~._lllcdds to preYent creation of a plasma in the holes 62 which results in deposition in the holes and subsequent bUllllJdldlll~ of the substrate 48. F~LIIcl~-ule, the smaller holes 62 of the showerhead 52 prevent formatiorl of a plasma above ~l~u.._.ll~ld 52 inside of cylinder 51 thus ;"~ the plasma below ~I-u~ i/electrode 52 and close to substrate 48.
The allu.. ' 1 holes 62~ in a preferred rlllllO.I""~ ,I, are ~ . n~ l to be dyy., ~ 'yl~/32 of an inch) wide. Cylinder 51 preferably has the same diameter as ~llu.._ll.cd~/electrode 52 to spread the plasma and reactant gases over the entire allu.. I.cdd 52.

. . _ . . _ . , ., _ _ _ .

2 ~ , 7 C

The reactant gases. such as TiCI, are introduced through a ring 66 which is generally concentric with ring 54 and is coMected to a reactant gas source by line 64. The gas flow from injector rings 54 and 66 develops within the length of the cylinder 51 as the gases travel to the ~I~u..~ dl/electrode 52.
Utilizing the rotating susceptor 46, the cylinder S l. and ~I~u .. _ll~ /elec~rode 52.
it is preferable for the velocity p~ofile of the incoming plasma gases passing hrough ~llu.._l;lca~ 52 to be fully developed before it reaches the rotating ~ 3 ~
substrate 48. The sllu.. lll~âd/electrode 52 is spaced betwee~.25 to 4 inches) from the substrate 48 tû ensure that the plasma is close to the substrate 48.
Preferably, the spacing is under,~,(1 inch¦ and in a preferred ~Illbo~illl..l~ is ~,u,uluAillld~cly 20 millim.~n ~ As the gases pass through the ~llu.._~ a~/electrode 52, the pressure drop across the :~;IU~ d/electrode 52 flattens out the velocityprofile of the gases. That is. the gas tends to have the same velocity at the center of tbe ~IIù..~ di/electrode 52 as around the periphery, This is desirable for uniform deposition of a film on substrate surface 49. The plasma gases pass through showerhead/electrode 52 and are excited into a plasma proximate the bottom side 53 of ~llu.._lllcdd/electrode 52. As mentioned above, it has been found tbat an RF plasma may be excited with RF erlergy as low as 450 KHz and as high as 13.56 MHz and the invention does not seem to be ~ Liuul frequency sensitive.
If susceptor 46 is rotated with the deposition ~ JI~ of Fig, 2, the pumping effect of the rotating susceptor 46 takes place below the ~IIU ~ ,d/electrûde 52. In the ~lllUOdill~ll~ of the present invention as shown in Fig. 2, the unique use of ~llu.._lllc,~J/electrode 52 in very close proximiry to . . ., .. _ ., .. . _ , .. .

2 ~ 7~

substrate 4g produces a concentraled plasma with a large density of useful gas radicals and ions proximate the substrate surface 49. With the RF
~IIu.._.I.f d~/electrode ~ of Fig. 2, it has been discûvered that there does not seem to be a noticable r"~ f "~ ~1 gained in rotating the susceptor 46 faster than dL~LJlV~illldL~iy 100 rpm. It was also found, howeYer, that a rotation rate of 0 rpm, although not drastically affecting the deposition rdte, lowers the uniformity of the reactant and plasma gas flow and the s~lhsf~fl~lPnt deposition.
Generally, a substrate rotation rate between 0 and 2,000 rpm might be utilized with the deposition . o~ utilizing an RF ~llu~ l,.,dd/electrode.
As illustra~ed further ll.~ f, a susceptor rotation rate of dlJIJlu~ ldt~ly 100 rpm has proven ~o be sufhcient for deposition. While it is preferable to utilize only radicals in the upstream plasma generation merhods, both radicals and ions are present during the deposition using RF ~llu~ ,df'electrode 52. That is, both ions and radicals supply energy to the surface reaction While it is generally not desirdble to use only ions due to their tendency to stick to contact and via surfaces and produce non-conformal films, some ion ~ù~llbd~d~ lt of the substrate 4g is beneficial because it supplies additional energy to the growing film layer on the surface 49 of the substrate 48. However, too much ion bulllb~ L
of substrate 48 may damage the integrated circuit devices of the substrate 48 and may lead to poor film conFormaliy. ThereFore, the deposition parameters and showerhead spacing are choserl as illustrdted herein to achieve a useful mixture of radicals and ions. As discussed above, for the ~ lf ll of Fig. 2, the spacing ~ _5~~~
is und~(l inch)and preferably d~J~lU~ ly 20mm.

If:C~. ~oPl:EPA~ C~ ; Ll~ 9Li: 20:''U, _ ~ bll3 4"1 f'~L;9-- +43 ~9 '>:3994~L;~ 7 1 4 5 7~ ~

T~e reactant gases, 6uch as TiCI~, Pre i~troduced ~nto cylinder 51 through anothe~ gas rin~, 66. The reactant gases travel dow~ the length of cyl~nder 51 and are also excited by the RF field created by 51lu..~ d/eleclrode 52, as they pass through the operf~llgs 62 of shu.. .h ~i 52. The reactan~ gas travels to the surface of substrate 48 along witb the radicals and ~orls of th~ excited plasma.
~he radicals. ions and ~ci~ed reactant gas particles react at the surface of substrate 48 to de~osit a fiim such as a titani~ contain3ng fllm, upon substrate
4~.
Because of the L~lose spacing of the .I~.. .l.c~d~elec~ode 52 from substrate 48 ir~ witE~ cyiirlder ~1, the gas rni~uTe ~ rntin-c 6~
ernana~ing from sE~owerhead 52 are close to the substrate 48 to p~vide efflcient deposition ar~d r~duce the arnount of S~as mixture wbich oypasses tile substrate d,8.
lhat is, t~e boundary layer of gas7 which is defuteLi as the volume or space below the gas ~ 65 which is stagnL~ or ~on-moving with respect to the susL eptor 46, is very small. Th~refore, a large percenrage of th~ radicals, ions i reactant gas par~icles are being utilizeLi in the surface r~action, and au,u.d...t~l~, the efficiency of th~ Cvr~ process anLI rhe d~position rate are increased.
Witn tbe ~L.u.. ~;~l/electrode S2 æling as ar~ electrode, a mOre uniform plasTna ir. ger~erated at substrate 48, tberefore enhanciTIg the uniformity of raLiicai a3~d ion densiy at the substrate 48 and the unifo3mity of the deposited film.
~n the RF ~lu,..~ electrode ~ rl_ - of Fi~s. 2, 2A and 2B the d~posit30n ra~e r~aches a ma~imum when rhe ~otation r~te ls rnatched to the ~lEi~E~ SH~ET

~ . . t~ A~ ~HL~ -~--u- L~ ~ ~3~1~44.1j.5 ~
2 ~ 5 7 ~irlcoming plaslna Llnd r~actatl~ ~s flow. i.e.. matched 8aS flow. Acccrlingly, it is desi~able to acl~ievo ma~ched flow when susceptor 46 rotates.
Fig. 2A discloses an RF ~I.u.. .ll~e~ecnode ~n,,~ sirnilar to the ~ of Fig. 2 e~cept in greater detail. Wberever possible sirLIilar ~eferenc~ r~nerals wi~l be utilized bet~veen Fi~s. 2 and 2A. Ihe ~ JU of Fig. 2A is similar to a strucrure disclosed within pending U. S. pater~ ~pF~ir~tion Serial No. 08/166,745 ~he disclosure of which is fully i~cuLIJul~led herein by r!ferer~ce.
In Fig. 2A, ~her~ is shol~m in break-away a por~ion of CV~
deposition ch Tnber housing 42, to which is mounted the RF sbowerheadlelectrode appara~us 1~2 used to practice the low ~ r deposition of the pres~tL~
invention. It will be app}eciated by persons ski}led in the art that certaiD feamres to be desc~ibed may pertain to orle or more~ but less than all. ~ b~~ of the iDvention. In Fig. 2A, tbe ~ILu..~ i/electrode S2 includes an RF linc s~eLn 144 mourlted there~o. As will bc discussed in nlrther d~tail, tbe RF lis~e stem 144 is one of several ...."~ making up ~e RF feedline assembly 58. llle feedliDe assembly 58 ~Iso acts as a heat pipe to ~ûndllc~ heat away frorn ~Lu.. ,l e~llekc~rode 52 as is also discussed further L.,~ 'vw. Preferably, line stem 144 is ~nachined ~"",~ lly into and is integral wi~h upper surface 146 of showerhead/electrode 52 to increase ~he RF signal contu~tion and heat c~n~ n cff}ciency. RF lirle 148 c~mprises lir~ stem 144 d an additional length of mbirle 150 welded the~eto to achieve the d~sired û~rerall length of the RF line 148 ~e weld is ~ at 149. Preferablv, ~llu.._~ d/elecr~odc 52 and integral line stem 144 are madc of Nickel-200, while RF lirle tubing 1~0 is made of a highly ~lEi l~'3 S~ T

2 ~ 9 11 b~ ~ 7 ~ -conductive ma[erial such as 6061-T6 aluminum However, it will be appreciated by persons skilled in the ~rt that other materials can be used for the RF Line 150, such as nickel 200. In one embodiment, the RF line 148 is made of aluminum coated wi~h nickel to prevent an RF plasma from forming within said cylinder 51 of the cylinder assembly 50 during the plasma-enhanced CVD reactions of the present invention. Preferably, the allu~ cd i/electrode is approximatelyl(O 25 inches)thick.
Showerhead/electrode 52 is perforated with a pattern of gas dispersion holes 62 to distribute ~he reactant and plasma gdses evenly during CVD
processing. .~s shown in Fig. 2A, upstdnding RF line stem 144 is provided with a ui~ul~lrtl~llLidl shoulder flange 152 adjacent and pardllel to showerhead/electrode 52. The flange 152 is spaced above allu~ l/electrode upper surfdce 146 and perrnits the gas dispersion hole pattern to extend beneath the shoulder flange 152, thereby Illi~l;llli~illU gas flow disrurbances. FUILI~IIIIVIC~ the flange 152 aids in the conduction of the RF energy along line 148 to showerhedd/electrode 52, assists in cooling allu.~.,lll,,d:i/electrode 52, and provides mechanical support for ceramic isolator tubes 154, 156. An alterndtive ~I'lo~ " of the showerhead electrode C~ UIAIj..l~ elimindtes the flange 152 as shown in Fig. 2B.
The RF al~u~ ~i/electrode apparatus 142 of Fig. 2A further includes first and second ceramic isolator tubes 154, 156, IcaLJC~Li~ly, which are concentric with and surround at ~east a portion of RF line 148. As shown, ceramic isolator tubes 154, 156 are supported by ,ilcuullrclcllLidl shoulder flange 152. Tubes 154, 156 are preferably forrned of alumind (99 7% Al2O3) which is reddily commercially available such as from Coors Ceramics of Golden, Colorado.

_ _ . _, . , . _, , ., . , . .. ., .... , .. ... . ...... , ,, .. , .. . . _ _ , . . . .

r .VOI~:EPA~ E:`IC~ 1_03 ,__ :,79-1'7-95: 20 "4 . ~ 3 4_1 7')1;9 +49 89 23'.39446~i 7"(l . 2~4~7~
-~2 -One functiorl Oe thcse Isolator tubes 154, 156 Is to preven~ RF plasraa f}om forr~ around the ~F line 148 during CVr~ processing by isolating ~he R~ e 148 from the plasr~a arld reactant gases in t~e cylinder assel4bly 50. As rnay be ap~reciate~, it is desirable to preYerlt the formation of any plasrla within thecylin~er assembly 50 in order to .UIl~.d~ the plasrna below ~u.. ' - - '/electrode 52. 'rherefore, tne isolator rubes lS4, 156 operate ~o prev~nt the formation of such a plasma inside of the cylinder asscmbly 50.
Additionally, ard as described more ~lly below, the isolator tubes 154, 15~ aid in preYcnting elcc;rical shor~in~ oetween gas dtstributor cover 158 (which is at ~round poteraial) and RF line 148 at tne locatiorl whete RF li~e 148 passes through ~s distribu~or coYer 158. Gas dis[rib~or cos~er 158 is mounted ~o housing 42 by mcalls of a plurality of 5crews 150. As shown in the Fi~. ZA, gas irijection rirlgs or halos such as rings 54, 66 (shown in phantom~ are loca~ed slightly below gas disrr;~,utor coYcr 158 and supply the CVD ~eactlor~ and plasrna gases ~o the inside o~ cylintcr asscr~bly 50. Gas injection n~lgs 54~ 66 tray betwo o~ a pluraliy of concentric tin~s ~o~ iul.~.~uci~ nurlcrous ~eachnt ~as3.
A seal preYents vacuum Ical~s at the location where RF line 148 passes through gas distr~butor coYer 158. ~his is ~ d by means o~ a shaft 5cal and a flan~e s~al. As shown irl t~e Figurc 2A, a cerarnic sc 1 plate 160 is prcssed dow~ v'ly by two stainless stcel clamps 162. Clarnps 162 are bias~
agamst distributor coYer 158 by spri~ h~ lCw assemblies 164 to obtain a r~ dow~wrrd force orl d~e seal c,~ . ."~ to illsure proper seali~g, to n..lr tolcranc~ staclcs in thc scal ~UI~IIJVU~ and to ~aEce up ,1.".. .~
cha~g3 due ~o therrnal expansion which rnay occur during C~ /L' ~, S~al ~,E~5E~ S'n~T

liY~-.S'ON:EPA-blUh'`iCilFN 03 :29~ 5 ~ 51;3 4'>1 7~6~ +49 8Y '~399446F:~
a ~

plate 160 presses downwardly on a stainless s~eel ferrule 166 which in null presses down on an 0-ring I68 seate~t in ceramic seat body 170. The dcwnward ~otce cxcrted ~y clamps 162 on seal plate 160 also fotces seal body 170 ivwl~ 31~11y against gas dist~ibutor cover 158, which compresses ttle O-rinE 172 located betweer. seal body 170 and gas distri~utor cover 158. It should be noted t~t seal body 1~0 tlas a d~,~u aldly exterldin~ a~ular llange 114 which surroullds Rl~ tine 148 over the ~ntirc lerlg~h of i~ whjch passes through ~as disuibutor coYer 158.The lower end 176 of armular flange 174 cxte~ds doY~nWardly to a poi ~ where i~
meets c~ramic isola~or tube 154. ~.s shown, the outer c~ratnic isolator tube 156~xtends further upward than isolator ~be 154, such tha~ there is rlo direct linebetween gas distribu~or coYer 158 and R~ line 148. This prcve~ts atcirlg ~vhen the I~F line 148 is used to power ~ Ielcc~de 52.
T~c ~F line 148 a~so fu3lcticrLs as a hcat pipe stlucture. Wi~
respect to hea~ pipe struc~ur~s, such dcYic~s arc Imown ~er se, and iri the preserlt inYention, the heat pipe str~cture is used to Carr,Y off heat frorn the ,ho.. ' '~electrode 52 gcnerated ~y Mdiarlt energy from the heated suscep~or 46, as well as by the RF ener~ applicd to the ~l,o.. ' ~J~ .v~c. The c~rlîer space 178 of RF line 148 is proYided wit~ a felt or other suitable capillary wic~ing material liner ~rLot shown). Space 178 is scaled with a liquid (e.g., ace~rle) thereirl undtr i~s own vapor pressure that ent~rs the pores of the capillaty material wetti~g all intetnal surfaces of RF lit~e I48. By appl,Y~ng heat at ar~ point along the leng~ of the RF lirle, the liSuid at that pOint boils and e~crs a Yapor s~ate.
When that ~apperls, ~he li~uid in the wicking material picks up rhe later~t heat of "~1~'' ;'~1;- '1. a~d the va~or, which therL is at a hi~er pressure, moYes insidc the A~,E~D'~ S'.~'~T

~C~.VC~ PA-~lUF.NCHE:'l oa ~9-12-95 70:25 . ~ 3 4''1 ~369~ +~9 89 2:3~35~4*81;.11~
2 ~

sealed pip~ to a cooler iocation where it condenses and re-enters the lin~r. Thus, the vapo~ giYes up its latent heat of ~ l and moves heat from the ~inpu~"
to the "output' end af the heat pipe structure. As a genera3 frrltne of referenc~, heat rnay be movt~d along a h~at pip~ at a Mte of A~ O~ t !y SOO mph.
With reference ~o the speeific ~,,.,r;~.,.~ri,", utiiized in Fl~. 2A, the "Irlput~ end af t~e heat pipe structure is the end u,hich ~s aff~ed to ~L~ ~felectrode ~2. The 'output" erld is the upper end shawn in the Fig. 2A
which has a liquid-cooling jacket 180 sealed r~round it. The seal is ~ffectcd by O-ring shaft seals I82 arld 183. Cooling jacket 180 is preferab~y a polymetie rnaterial and is pravided with TEFLON ~ fittings 184 and lg5 whieh COnnect 'rE~ LON tubing 1~6 to coolirlg ~acket 180. A suitable cooling liquid.
such as water, flows through tubing 186 and coolin~ Jacket 180 to catry heat away frarn RF line 148. This pemlits dir~et contac~ of the cooling liquid wirh the lU:
line 118 for efficient canduetiotl of heat from tbe line i48. ~dditionally, with this .,..r~ ...AI;..,l at nO tirne is the CVD reaeror ch~nber exposed to the possibility of r~ internal eoolant ~eak, nor is there any c~trosive effect ot~ metal tubing ~y RF
earrying liquid. As sta~ed, t31e fluid which passes throu~h TEFLON tubing 186 and earries the heat a~ay fr~m the RF line 148 rnay b~ ~ater, althou~h a variey of fluids can be ~sed depending on the heal to be condueted away from the lin~
148. RF line ~48 also includes a cap 188 which is we3ded irl piace and 31as a fill tub~ 190 for Flling the inr~rnal space 178 with the desired fl~ud. A snitable e.~nm~i~lly availaele heat pipe may be oblamed from Th~rmocore Inc., of Lancaster, PA.

A~Ei~aEa Sl l~:ET

~EY.YO~i:EPA-~!l,'ENCi~' oa :28-12~ : 2Q:25 . _ 5la 4 ~ 6~ ~,L9 8~3 "3994_.~;5 .~"a L

As shown in Fig. 2A, an alur~unum cylinder 51 is u~ilized ~o var~Y
the shu..~ d/e~ectrode substrate spacing(s). S11V.._.I...aCUeL~ILVdL 52 is fsstened to cylin~er 51 by ~neans of screws 192, whtch are preferabLy ~nade of a material tha~ does not corrode in t~e prese~ce of an RE~ plasrna. One such material is Hastelloy C-22, which Ls a tradç name of Halles Tntrm~ri~n~l of Kokoma. IN Suitable screws made of this material arç available from Pinnacle Mfg. of Tempe. A~. Quari2 rin3 60 elsctrica~ly isolares all...._.;.~/electrL~de 52 from alumirtum cylinder 51. A suiabls quaiiry quartz for ring 60 is Quare TO8-;E
available fron~ Hereaus Amersil in Tempe. Arizona. Screws 1,2, which are at ~round pokntial, are isola~ed from the ;,ho~._.h~ l.u~ 52 by ~ vo ;~,t~ ceramic isolator sleeves 194 and 196. Q~L~tz is used for isolator ring 60 bçcause of its si~nificant resistanc~ ~o thern~l shock. This can be imporra~t sir,c~ the RF sho.. ' '~electrode 5~ below qUartz ring 60 becornes heated t~ a higher i ~ . and more rapidly rhan aluminum cylinder S1 above quart~ ri~
60, th~s inducing thermal shock and stress in ring 60. Screws 198, which inay be raade of the same maurial as screws 1~2, are utiliz~d to at~ aluminum cylinder S1 to housin~ 4~. As disc~ssed above, various length cylinders 51 migh; be Utiii2ed to vary the showerhead/eleetrode to-substrat~ spacing. It ~s preferable ~hat the length of cylinder 51 be chosen to position showerhead/electr~de 52 ~ithin 1 inch of susceptor 46.
RF energy is conducted to ~ .h~i~electrode 5~ by RF teedliZle at~sembl~ 58 cc .~JL;aL~; stem 144 and tube 1~0. Isolator tubes 154, 156 are needed to electncally isolate ar~d preYerlt arculg be~Leen tubulg 150 arld any parts o~ the rQetal housiuj~ 47. including dislr~but4r cover 158. F li,.. ,..r..c. tbe ~EA~'~E~ S~EET

t~'.VOl'i:E~'A~ C~ I 03 ~ :29~ 96: `'0:'~7: _ 51~ 4_1 ~"69~ ~49 8Y 2.3994465.i~
- 2~8~4~7~
--3~ -apparatus includ~s a seal aro~d tubing 150 at the location where it passes through distributor cover I58 as described l.~.c..~.vc and shown in Fig 2A.
R~ er,ergy is supplicd througLt a shielded ~F supplying cable 200 which is conrlected to ~t RF power source 57 (not shown in Fig. 2A) and has a UHF co~nector 2Q2 at oCIe end. Corlnector 202 ~nates with another U~IF
connector 204, which tn turn is coupled via a Iength af 12 auge wire 206 to a stainless stee~ shaft col~ar 208 mounted ac the upper end of RF line 148. With this ~",., .~...". ..~ thcre is trinimal resistance to the flow of RF ctlrrent. The seg~cnt of RF line 148 whtch is expased above shaft coL~ar 208 is isolated from the grounded rfletal shieldir~g 210 by a po~yrner cap 212. T~c apparatus is believed to be capable of deliYering 250-300 vatts of RF ~owcr a~ 450 KXz to 13.56 ~Iz.
~ ig. 213 shows an alternadve ~ of t~te R~:
showerhead/el~trode ~ o t~ r:.-.. utilized to practice the present invention. The CVD apparams 220 of Fi~. 2~ operateS sirnilarly to the ~ , shown in Figs. 2 and 2A. Ihat is, an RF ,Iw.. L~ilelectrodc 2Z2 is biased by an ~F
feedlirle assembly 224 wbile plasma and reactant gases are putrlped through a cylinder assembb 226 to a substrate ZZ8 on susceptor 230. ~Iow~Yer, the ~..,h.,.'..,...l of Fig. 2~3 e~itrlir~ates the metal cylinder 51 attd insulator ring 60 of Figs. 2 ar~d 2A while pt~ver~ting electrical arcitlg u}sioe of 2he cyli~er asse~bly 226 pro~ima~c Ihe RF line and ~re~entirle che undesired formation Oe plasma wichin che cyl~der ass~mbly 226 when the ~IIU.._ ' 222 is biased as an ~le trode. The ~ r of Fig. 2B utilizes a ~ousiDs, such as or~e si~ilar co housing 42 of Fig. 2, which includ~s a housing co~ 232 and includes æn RF
supply assembLy 234, a heat pipc assembly 236 with c~oling j~ck~t 237 and A~.'E3~'C'D SH~E~

~V,V~N:EPA-MUE~ICHESI 03 ~a~ 20:'~6 ~__ E;L3 4_1 7~ 14~! 8~ 6~
2 I 9 'il 4 5 7 ,A

asscciat~d fluid supply lincs and a gas distrioutor cover 23g with a sealirlg assembly 24L a l gen~rally sir~ilar to the rcspe~tive ~ r,,~r.d~ of Fig. 2.
Howe~er, the cylilLder ~ssembly ~26 dces Llo~ include a r~etal cylirldcr 51 and Lnsulatcr rirlg 60 as showrl in Fig. 2. Rather, a cyl~nder 238 rnade of an insulatilLg rn teria~ such as quarrz surrGunds the ~F feed line assembly 224.
CyiiDder 238 is prefet~bly formlllatcd out of a ,ugh qualiy quartz such ~s Quart~ 1'08-E avaiJable f~om H~rea~s Arners~, as rltiorlcd above.
Quartz cylinder 238 is supported by a rlickel ~1.u ~ .3~electrode 2æ. made of a coriductive m~tal such as Nickel-2C0, without the us~ of scrcws or othcr fasteners rhat are utiii~ed within the P ~ 7 ~ , sf Figs. 2 and 2A. Spe~ifically, a stepp~d bore 24û is forrned withirl housing cover 232 to receivc an upper crld 242 of cylinder 238. ~rings 243, 244 are placed at th~ iL~ ace betwecn stepyed bore 240 a~d cylinder 238 to ~CrrrL a sc~l at Lhe Lnterface. At the ~ower c~d 246 of cylind~r 738, an a~ular rlotch 248 LS formed in cylillder 238 to ~eceive a periphcra3 edge 250 of rhe ~llo n ~Lea~electrode æ2. Ihe notch 248 of cylirlder 238 resrs upon tle peripheral edge 250 of ~llùv~ ' ~elect~de 222.
S31o..~ dlcleAIrode 222 irlclu~cs a stern 252 which is attached to ~F lirle tl ~Lng 254, such æ by a weld ~t 255, to f~tL a unitary ~F line 25~ ' line 25 frictionally hdd and suppo~ted at its top end by collar 25~ s~2~lar to collar 208 o~
Fig. 2~ l~e RF lirle, in turn, supports al~ù,. ' ~electrode 222 aboYc susceptor 23U. Showerhcad/electrode 222. in turn, suppor~s the cylinder 238 wirh~n the cylir~der ~ssembly 226 by ~butting agaiDst cylind~ 238 at notch 2~8 u~
holding i~ i~ bore 24û Ihe interface ~erween al~u..~ 3J~.J/elenrode peripher2i edge 250 and cyli~dcr notch 248 is realcd by a r.~ ~ ~ring 2~ which is ~,~',ENCED Sh'EET

2 ~457 C

coll~ a~ between shelf 248 and a similar ~.OIIt~ JVlldillg annular nofch 260 formed in peripheral edge 250 of the showerhead/electrode 222. Similar to the ~IllI,o~ el.~ of Figs. 2 and 2A, a p~urality of gas halos or rings 262. 264 introduce the necessary plasma and reactant gases into cylinder 238.
The r~ of Fig. 2B eliminaoes the need for metal screws to attach the cylinder 238 to the housing cover 232 and the ~llu~ i/e~ectrode 222 to the cylinder 238. This further reduces the possibility of arcing inside of cylinder 238 because of the reduced metal proximate the biased RF
showerhead/electrode 222. Furthermore~ it is not necessary to utilize ceramic isolator sleeves at the ~llu..~lll~d peripheral edge 250.
Accordingly, the RF showerhead/electrode 222 has also been modified. Showerhead/electrode 222 includes a stem 252 without a flange.
Instead, a slight ridge 266 is formed around stem 252, and as shown in Fig. 2A.
ridge 266 supports a generally circular ceramic tray 268 which is formed from a ceramic material, such as alumina (99.1% Al,03), similar to the ceramic isolator sleeves 154, 156 shown in Fig. 2~. Ceramic tray 268 is supported by ridge 266, and in tum, supports isolator sleeves 270, 211. ~solator sleeves 2?0, 271 are also preferably made of a ceramic insulator material similar to that used for sleeves 154, 150 of Fig. 2A. As wirn the r~ ;ll, "l~ used to practice the present i~vention which are discussed above, preferably the holes of ~l~u~ h~d/electrode . c ~q~
22 are d~ T(1132 (0.0313) inches~in diameter to prevent the formation of a plasma inside cylinder 238 and to confine the plasrna gencrally below the ~l-u~ i/electrode 222 and above the susceptor 230. The ~:...bo~ ,.d of Fig.

2B utilizes quartz cylirlder 238 and eliminates tbe metal attachment screws . .
.. ... . . _ . , _ . .

2 ~ ~ ~1 4~ ~

proximate ~llow~ dilelectrode 222 which helps to prevent the formation of a plasma within cylinder 238 and to prevent arcing between the RF line 256 and ~I-v..~ dd/electrode 2æ and any of the ~ul-uull Lillg metal. A layer of insularion 272 may be placed atop gas distributor cover 239 to prevent contact by an operator; because the gas distribulor cover 239 becomes very hot during operation.
Numerous deposition runs have been made utilizing the RF
electrode/showerhead ~ of Figs. 2 and 2A to illustrate the viability of the presen~ invention. SpecificaLLy, a layer of titanium ni~ride was deposited upon a substrare wafer at d~JlV~ l)/ a L~ .,.diUlc of 400 C. This is c~lhct~nti~lly lower than the substrate tClll~CldLule which is ordinarily required for ther~nal CVD
processes to take place, which may be well over l,000C. For exampLe, a layer of titanium nitride was deposited using ammonia gas (NH3) and nitrogen gas (~2) with the parameters listed below and the results shown in Table 1. The ~.",t;~",,,i;.~.. of the present invention utilizes plasma gas fLows between 500 and
5,000 Sccm (50 to 500 sccm for NH3) while a reactant gas fLow, such as TiCI~, between .5 and 10 sccm is desired. The reaction space 4~L should be evacuated c~ 13~
betwe~(5 to 10 Tor~.

2 ~4~29~ .
sl33s67 PCr/llS91/13641 De~osition Parameters for Ta~le No. I

TiCI, (sccm) 10 NH3 (sccm~ 500 N2 (sccm) 500 RF Power (watts) 250 ¢~ 450 KHz ReactionCha~nberPressure~rr~ (~ (1 r~
Susceptor Rotation Rate (rpm) 100 Substrate Temp. (C) 400 TABLE NO. 1 WAFER NO.

Re3ults and Additional 1 2 3 4 5 6 Deposition Parameters TiN layer thickness (A) 800 698 608 545 723 910 Deposition Rate (A/min) 400 348 304 272 241 303 Layer Resistivity (~i7 ~m) 1519 1194 970 940 lC21 1284 Deposition Time (sec) 120 120 120 120 180 180 Susceptor Temp (C) 414 471 457 461 462 475 Wafers 1-3 were silicon, while wafers 4-6 were thermai o~ide wafers having a thin layer of silicon dio~ide on the surface. This was done to erlsure that the process of the present invention may be utilized in a broad range of CVD d~ ,dliUII;~ for both silicon wafers and oxide wafers. Each of tne substrate wafers of Table 1 were also given arl RF plasma ammonia (NH3) anneal irl the apparatus of Fig. 2 at 250 Watts for d~ Iu~dllld~lr 120 seconds with a gas ~G ~
.". of 5,000 sccm of NH3 at a pressure o~ Tord. The rotation rate of the susceptor during the anneal was d~lU~illl~LL~lr 100 rpm. The NH3 RF plasma improYe3 the film ~uality of the deposited TiN film as discussed further h~,lci~lbluw .
SVBST TUrE S~ RU~ 26 2 ~ 7 ~
95/33867 PCI~/US94/ 136~ 1 The RF plasma ~ ude/~l~u..~ cdd configuration, in accordance with the principles of the present invention, may be utilized to deposit a titanium nitride (TiN) layer on a substrate utilizing both nitrogen gas (N,) and hydrogen gas (H2) instead of ammonia gas (NH3). The various film results and deposition parameters for the Hl and Nl low klll~dLulc deposition of TiN are given below in Table Nos. 2, 3, 4 and 5~ at increasing deposition tc~ dlUlC~ for increasing table numbers.
De~osition Parameters for T~l-le No. 2 TiCI~ (sccm) lû
Hl (sccm) 500 N~ (sccm) 500 RF Power (watts) 250 e? 450 KHz Reaction Chamber Pressure ~ 3~ ( l ru . . ) Susceptor Rotation Rate (rpm) 100 Substrate Temp. (CC) 400 Deposition Time (seconds) 180 TABLE NO. 2 Results and TiN layer Deposition Layer Susceptor Additional thickness (A) Rate (Almin) Resistivity Temp (C) Paramet~rs (~ cm) WAFER NO.

3 l22l 407 4118 488 5 læ7 409 855 470
6 1224 408 4478 460
7 1141 380 3982 460
8 1348 449 4658 460
9 1400 487 3449 460
10 1 106 389 4501 460 SUESTiTUTE SHEET (RULE 2~) 2 9 ~ ~ 4 5 ~ C - -867 PCT/U59~1136 Wafers I and 2 of Table No. 2 werc silicon, while the remaining wafers 3-10 were thermal o~ide. Wafers 6-10 receiYed a 250 WaK RF plasma anneai for 120 seconds at an NH3 gas rate of 5,000 sccm, at an internal pressure f~?(3 Torr) P~
(wafer 6 was done aS(5 Torr)), and a susceptor rotation ratc of 100 rpm.
Table No. 3 illustrates the results of deposition runs utilizing a substrate ~.ll~clulc of 450C, but . ~ the same gas and deposition par~uneters as were used in the deposition runs of Table No. 2. Wafer I and 2 were silicon while wafers 3-8 were therrnal o~ide. The results are as follows with wafers 6-8 of Table No. 3 receiving a 120 second RF plasma ammonia anneal at 5000 sccm~5 Torr) and a 100 rpm rotation rate with a power level of 250 WaKs.
TARr l~ NO. 3 WAFER NO.

Results and 1 2 3 4 S 6 7 8 Additional Parameters TiN layer 996 1009 1064 1488 1562 1444 1381 1306 thicl~ness (A) Deposition 332 336 355 496 521 481 454 435 Rate (A/min) Layer 640 607 666 815 821 7121 5812 6363 Resistivity ~n -cm) Susceptor 518 519 521 524 521 522 524 523 Temp (C) SUbSTlTUTE Sl IEET (RULE 26) ~WO 9~/33867 PCT/US94/13641 The low i , TiN deposition was repeated with the substrate at 500C and the results are tabulated according to Table No. 4 below.

Wafer I was silicon a~ld wafe}s 2-7 were thermal oxide.

TART.T''. NO. 4 WAFER NO.

Res~alts and 1 2 3 4 5 6 7 Additional Parameters TiN layer 990 1086 1034 1092 1004 1001 1004 thichless (A) reposition 330 362 345 364 335 334 335 Rate (Almra) Layer 578 687 700 786 1892 1840 1886 Resistivity ~n -cm) Susceptor 579 590 597 595 591 593 594 Temp (C) Wafers 1-4 in Table No. 4 were not annealed, while wafers 5-7 were annealed using a similar RF plasma NHI anneal process and the parameters used for the deposition runs referenced in Table No. 3.
Similarly with a substrate ~ --r. of 600C, the CVD process of the present invention was used to deposit TiN wit71 the results shown in Table No. 5 below, with wafers I and 2 being silicon and wafers 3-8 being t'aermal oxide.

SA, ~
SUBSTITUTE SHEET (RULE 26) ~i;o ss/33~67 ~ 4 ~ 7 Y~NS~/t~G1, TAR~.F NO. 5 WAFER NO.

Results and 1 2 3 4 5 6 7 8 Additional Parameters TiN layer 657 822 740 768 767 765 713 910 thiclcness (A) Deposition 219 274 247 263 256 255 258 303 Rate (A/min) Layer 391 254 432 543 471 949 973 2710 Resistivity cm) SL~sceptor 650 650 650 650 650 650 650 650 Temp ( C~
Again, an RF plasma NH3 anneal wa3 performed on substrate wafers 6-8 of Table No. 5 similar to the anneal step of tables 3 and 4 except at a ?~
pressure of il~l Torr) instead o~(5 TorrJ. Therefore, the deposition of TIN using the low ~ Aiul~ CVD process of the present invention may be A( I IJ"~ i at various ~,~ ALul~s lower than the t~ Uuc;~ necessary for traditional therrnal CVD.
While titanium nitride may be deposited with the present invention, it may also be desirable to deposit simply a layer of pure htanium. For example, a titanium layer might be deposited upon a silicon wafer which then reacts v.~ith the titanium to form a film of titanium silicide (TiSi~). To this end7 the present invention may also be used to deposit a layer of titanium.
Table No. 6 below sets forth the results and parameters of a deposition run which resulted in a deposited film of A~ Jlu~ u~ ly 84% titanium SUBSTITUTE SHEET (RU~E 26) ~095/33867 2 ~ 4 5 7 C pCrruS9~/136-l1 on a thermal o~ide wafer at 650C. This was an e~cellent result for such low ~ chemical ~apor deposition. The deposition run of Table 6 was performed according to the following deposition rA~m t~r~, with the RF
~llu.._lh~d/electrode ...~ ,.... of Fig. ~.
Derosition Parameters for TAhle No. 6 TiCI~ (sccm) 10 H2 (sc~m) 500 RF Power (watts) 250 ~ 450 KHz ReactionChamberPressure jl-T{trr~ (~ l33 (1T~i) Susceptor Rotation Rate (rpm) 100 Derosition time (sec) 2700 Substrate Temperature (C) 565 TARr F NO. 6 WAFER NO.
Results and Additional Parameters Ti layer 1983 thic~ness (A) Derosition 44 Rate (A/min) Layer 9~9 Resistivity ~n -cm) Susceptor o51 Temp ( C) The substrate wafer of Table No. 6 was not annealed.
Additional Ti-layer derosition runs were made according to the Table No. 7 parameters below with the following results shown in lable No. 7:
SU~STITUTE SHEET (RULE 26~

2 ~ 457 C
~9S133867 PC.~`lU594/1i611 D~ihr~n Parameters for Table No. 7 TiCl~ (sccm) 10 EII (sccm) 500 RF Power (watts) 250 ~ 450 KHz Reaction Chamber Pressure j~85~ 3 ( C ~^l T~
Suscepior Rotation Rate (rpm) 100 Deposition time (sec) 120 (wafer 7 for 180 sec) Substrate Temperature (C) 565 Susceptor Temperature (C) 650 TABLF NO. 7 Results and TiN 1ayer Deposition Rate Layer Resistivity Additional thickness (A) (A/min) (~n-cm Parameters WAFER NO.
134.8 67.4 2116.1 2 466.2 233.1 1767.8 3 209.2 104.6 761.8 4 100.8 50.4 5 194.04 97.0 6 154.98 77.5 7 1 15.92 38.6 1001.4 8 114.7 57.3 371.6 9 152.5 76.2 321.6 39.06 19.5 1 1 41.6 20.6 12 50.4 25.2 Wafers 1-3 and 7-9 of Table 7 were silicon while the remaining wafers were thermal oxide. None of the wafers of Table No. 7 received an RF
plasma anneal of NH3.
Since a benefit of chemical vapor deposition of titanium-contairing films is improved step coverage and film r~nfonn~iity over the physical deposition SUbSTlTUTE SHEET (RULE 26) 2 ~ ~ ~ 4 5 7 -- -951338C7 PCrtUS9~/13641 techniques, seve~al of the film layers deposited according to the present invention were tested to measure ~ r.,.."A~ and step coverage. The layers tested for ~ l.oliL~ and step coverage were deposited according to the parameters of Table No. 8 ~vith the resulS shown in Table No. g below. The film conformality and step coverage of the film layers deposited according to the parameters belo~v were very good.

De~osition pA~ml~t~S for C~ r~" ",AT;I ~ and Ste~ Cov~ ~e Deposition Runs of ~able 8 TiCl (sccm) lO
H2 (sccm) 500 N2 (sccm) 500 RF Power (watts) 250 ~ 450 KHz Reactor Chamber Pressure ~o~~ r~
Susceptor Rotation rate (rpm) lO0 Substrate Temperature (C) 450 Susceptor Temperature (C) 520 TABLE NO. 8 WAFER NO.
Results and l 2 Addition-1 Parameters TiN layer 586 2423 thic~ness ~A) Deposition 362 3r~4 Rate (A/min) Layer _ _ Resistivity cm) Susceptor 520 520 Temp (~C) SUBSTITUTE SHEET (RULE Z6 4 ~ 7 ~wo None of the wafers used in Tabk 8 and tested for step coverage were annealed with an ~F plasma of NH3.
As illustrated above a layer of titanium nitride (TiN) may be deposih~d in accordance with the principles of the present invention without utilizing ammonia gas (NH3). Instead, a mixture of H2 and N2 gases is used. Low h r ' e deposition of titanium nitride using TiCLt, N2 and H2 i5 desirable because it reduces a within the reaction chamber that are formed by the chemical reactions of TiC~ and NH3. More specifically, TiCI~ reacts with NH3 at r \~ c~ below 120C to form a yellow powdery adduct, and to prevent the adduct from forlning it was necessary in the past to heat the reaction chamber walls to at least 150'C. Since it is now possible to deposit a layer of titanium nitride at low t~ r ~1 using TiClt, N2, and H2 chemistry instead of NH3, it is no longer necessary to remove a deposited adduct or ho heat tbe reaction chamber walls, thus greatly reducing the cost of CVD systems.
According to the deposition parameters of Table No. 9, a layer of titanium nitride was deposited upon several thermal o~:ide substrates using a reaction chamber with unheated walls and a gas mixture of H2/N2. After the deposition of the films, the reacdon chamber was inspected and there wa3 no evidence of a yellow adduct found. None of the wafers of Table No. 9 were annealed with an RF NH3 anneal.

'! t~f ~ r ~ . 4' ~ ~:
SUBSTITUTE SHEET (RULE 26) '` 2~9~4~7,C
~0 95/33867 PCT/US9 P;3r~m~l~rs for Adduct Test of T~hle No. 9 TiCI. (sccm) 10 N2 (sccm) 500 ~2 (sccm) 500 RF Power (watts) 250 62 450 KHz Reaction chamber pressurei~To~ 3 ('~ T~ ) Susceptor Rotation rate (rpm) 100 Substrate Temp. (C) 450 Deposition time (sec) 95 Susceptor Temperature (C) .1 ~, 520 T~Rr F NO. 9 Rults and TiN layer Deposition Layer Susceptor Additional thickness (A) Rate (A/min) Resistivity Temp (~C) Parameters (~n-cm) WAFER NO.

2 132 83 æl8 523 6 160 101 '~05 523 g 195 123 689 519 Further deposition rurls were made utilizing the I ~"r~ ". of Fig. 2 wherein the plasma and reactant gas flows were adJusted, a3 well as the internal deposition prsure of the reaction space 44. For example, the deposition runs shown in Fig. 10 utili~ed a higher flow rate of H~ and an increased deposition pressure fron~ (1 To.q to 5 Torr~. ~urther, Argon was mi~ed witn the H~ for some of the deposition runs.

SU8STITUTE SHEET (~ULE 26 2 ~ ~ ~ 4 5 7 95t33867 PCTIUS9~/136~11 ~neters for Table 10 TiCl~ (sccm) 10 H7 (sccm) 5,000 (wafers 1-4); 3,750 (wafers 5-9) Argon (slm) 0.5 (wafers 5-9) RF Power (watts) 250 6~ 450 KEIz Reaction Chamber Pressure l (Torr) 5 Susceptor Rotation rate (rpm) 100 Deposition time (sec) 300 (600 for wafer 9) Substrate Temp. ('C) 565 Susceptor Temperature (CC) d~ GL~I~ 650 TARr.F, 10 = ~
Results and TiN layer Deposition Rate Layer Additional thic~ness (A) (A/n7in) Resistivity Parameters (/112-cm) WAFER NO.
798 159.0 53.84 2 1076 215.0 32.66 3 43.4 9. 1 216. 1 4 89.5 17.9 377. 1 5 912.2 182.5 89.23 6 1082 216.5 25.7 7 656.5 131.3 212.7 8 577.1 115.4 211.3 9 1302 130.2 170.1 In Table 10, the flow of Hl was increased to 5,000 sccm for wafers ~ r~;
1-4 ~nd to 3,750 sccm for wafers 5-9. The deposition pressure was increased t~
Torr~ For wafers 5-9, a flow of 0.5 standard liters per minute (slm) of Argon was utilized with the Hl as a diluent. In Table 10, wafers 1-2 and 5-6 were silicon, while wafers 3~ and 7-9 were thermal oxide.
Table ll shows additional runs made with the increased H~ flow and increased deposition pressure.

SUESTlTUTE SHEET (RULE 26) 2 ~9 ~457 ~
~095~33867 PfL'T/~15941136JI

Derlosifi-)n Par~m~-f~ fnr T~htP No 11 rlcl, (sccm) 10 Hl (sccm) 3,750 Argon (slm) 0.5 RF Power (watfs) 250 ~ 450 KHz Reac ian Chamber Pressure l~rorr) 51 ~ P~ L 5 rc~f) Susceptor Rofation Rate (rpm) 100 Deposi ion time (sec) 3J0 (wafers 9-12 600 seconds) Substra e Temperature (~C) 565 Susceptor Tempera ure (C) 650 TART F NO. 11 Results and TiN layer Deposifion Rafe Layer Resisfivify Addi ional fhickness (A) (A/min) Gun-cm) Parameters WAFER NO.
889.6 177.9 54 03 2 399 4 7'~.9 35 ?1 3 510.3 102.1 233.4 4 458.6 91.7 274.1 5 466.2 93.2 281 0 6 385 6 77.1 280.1 7 347.8 69 6 545.1 9 792.5 79.3 314.1 10 948 8 94.9 203.5 1 1 749.7 75.
12 7144 71.4 I ~ ~t~ ~ ~ f ~
The change in deposifion pressure fron~(1 Torr to 5 Torr)produced a more sfable and symmefric plasma. Addifionally, the increased hydrogen flow wifh the addi ion of a small flow of argon increased the sf~abilify of the plasma flow as well as fhe plasma intensify. An argon flow of ~10 slm is preferat~le.

SUBSTITUTE SHEET (RULE 26~

~WO 95/33867 2 7 !~ ~ 4 ~ 7 PCT/US94113641 Wafers 1-2 were silicon, while wafers 3-lO were thermal oxide. Wafers 11 and 12 were ~ululJllu*~llo-silicate glass, available from Thin Films, Inc. of Freemont, California. None of the wafers of either Table 10 or 11 were annealed with a NH3 plasma anneal.
Wafers 11 and 12 had field oxide (silicon oxide) top layers, patterened with silicon contacts (i.e., vias through the field oxide to a lower silicon layer). Selective deposition was observed in wafer number 11 after processing in the manner described above. Fig. 6 shows deposition at the bottoms of silicon contacts (vias), but no deposition onto the oxide field. Selective deposition has been repeated and ;~ ly verified using the identified parameters. A selective deposition process can be used in place of multiple process steps to form vias. Selective deposition may be a result of different nucleation times for silicon and silicon oxide -- nucleation occurs rapidly on silicon, but only after d,uyl~ 'y 30 seconds on silicon oxide. Although the process applied to wafer 11 r m for longer than the normal 30 second nucleation time of silicon oxide, nucleatiûn apparently did not occur over silicon oxide, possibily due to an instability in the plasma. High process pressures appear to be important for producing the selective effect.
Table 12 shows additional deposition runs at a susceptor i .
of 450C.

SUBSTITUTE SHEET (RULE 26) ~ ~9~457 ~, De~n~itinn P~r~m^t~rs for T~h1~ No. 12 TiCI, (sccm) 5 H2 (sccm) 3,750 Argon (slm) 0.3 RF Power (watts) 250 6~ 450 KHz Reaction Chamber Pressu}el(To.l) 5 1 (~ (5 T~ ~) Susceptor Rotation Rate (rpm) 100 Deposition time (sec) 180 Substrate Temperature (C) dLJ~U~llld~ly 400C
Susceptor Temperature (C) 450 T~BLF, NO. 1~

WAFER NO.
Results and 1 2 3 4 5 6 7 Additional Parameters TiN layer 242 222 210 241 168 136 150 thic~ness (A) Deposition 80.7 74.0 70.0 80.3 56.~ 45.3 50.0 Rate (A/min) Layer 66.0 554.0 494.0 714.0 484.0 0.1 0.1 Resistivity ~n -cm~
Wafers I ~ were silicon, wafer 5 was thermal oxide w~ile wafers 6 and 7 were dn aluminum alloy containing aluminum silicon and copper. Runs 6 and 7 of Table 12 illustrate the viability of depositing a titanium-contair ing film on aluminum using the present invention. The deposition runs of Table 12 utiii~ed a lower flow of reactant gas than the runs of Table 11, i.e., 5 sccm of TiCl~.
Good adhesion between the aluminum and titanium layers was obtained by ",; ,; ";,;"o the corrosion of the aiuminum layer. Corrosion is iargely a result of e~posure of the aluminum layer to chlorine ions (C~) released from SubsTlTuTE SHEET (RULE 26) ~WO 9~/33867 2 ~ ~ ~ 4 5 7 PCT/US94/13641 titanium trtr~.~hl~lri-l~ (TiCI~) during deposition. By reducing the flow rate of titanium i ' ', the corrosion of the aluminum layer is reduced and adhesion is improved. Reduced tit~nium ' ' ' flow also reduces ~e deposition rate, aUowing dissociated titanium atoms addi~tional time to locate stable sites in the underlying aluminum layer. This additional time is ~uLi.,uLI~ needed due to the low the~mal energy and reduced thermal motion of the titanium atoms at reduced process i The deposition runs of Table 13 were made at further reduced TiCI4 flow rates. All of the wafers of Table 13 were thermal oxide. None of the wafers of Table 12 or 13 were annealed with. an NH3 RF anneal.

,v ~ u ~J.~
SUESTITUTE SHEET (RULE 26) ~Y095/33867 ~ 4 5 7 ~ pC~/USg~113641 --ss--De~ n P~r~ml~trr~ for T~hle No. 1~
TiCI~ (sccm) wafers 1-2, 4 sccm; 3-4, 3 sccm; 5~, 2 sccm; and wafer 7 at 1 sccm H2 (sccm) 3,750 RF Power (watts) 250 G~ 450 K~Iz Reaction Chamher Pressure ~Fer~5t (Pu~ o~ -) Susceptor Rotation Rate (rpm) 100 Deposiion time (sec) 300 (wafers 1 and 2 at 180 and 240, SubstQte TempeQture (C) d~ U~l~ld~ly 400C
Susceptor TemDeQture (C) 450 TABLE NO. 13 WAFER NO.
Resuits and 1 2 3 4 5 6 7 Additional Parameters TiN layer 89 132 158 149 158 166 107 thichless (A) Deposiion 30 33 32 32 32 33 21 Rate A/min) Layer 259 239 199 199 190 208 482 Resisivity ~n -cm) icr~ ir~n of Results from DeDositio~ ~lmc Titanium films have ben deposited utili~ing the parameters and appaQtuses discussed above at Qte3 Qnging from 30 A~min. measured by mass g~in and by waYe dispersive ~-Qy nuul~li~ (WDXRF). It has been found that the deposition Qte is directly IJIU~UIIiUlldl to the deposition ~.ll~dlU~t: and to the T;CI~ al pressure. Film resistivity increases from 120 to 150 ~n -cm a3 the deposiion ~~ Lulc is decreased from 550 C to 450 C. Titanium films . .
SUE,S~ITUTE SH'ET (RULE 26) a~
~WO 95133867 PCT/US94/13641 deposited at 550C onto thermally grown oxide were analyzed by Rutherford Back Scatter S~ y (RBS) and found to be elemental titanium. The only impurity that is detectable by RBS is oxygen. Auger Electron Sp~l-u~u~7y (AES) depth profiling was performed to identify low level c~ ;.... The AES
profiles indicate a bulk chloride content of 0.1%. Chloride was also measured by WDX~cF, which indicated a bulk I of 0.45%.
Films were also deposited at 550 C onto non-deglazed silicon substrates. These films were analyzed by RE',S and found to have formed a silicide during the deposition process. No post deposition anneal had been performed.
The ~ y of the in-situ silicided titanium is TiSi2 but 0.5% chloride was detected. AES depth profiling confirmed the ' y of the in-situ silicide, as well as the bulk chlorine content of 0.5%. The AES profiles indicate a low level of oxygen in the silicide, but there is no evidence of an oxygen peak at the silicon/TiSi, interface. This indicates that the native oxide has been removed by the CVD-Ti process.
Titanium films were deposited at 550 C onto patterned bu., r' I' ' silicate glass (E,PSG) in order to observe film Cullr.,....~i~y. All contacts were I~Lm to 0.35~m (aspect ratios varied from 1.0 to 2.9). The titanium films were found to be conformal for all aspect ratios. Film thicknesses of up to lSoo A were deposited and cross sections were observed by a scanning electron microscope (SEM). There was no evidence of overhang formation at the contact openings. Overhang formation is a r I problem with line of sight deposition processes such as sputtering. This problem has been well ~' ' ~ . .
SUBSTITUTE SHEE~ ~RULE 26) ~ ~ 9 1 ~ 5 7 ~WO 95133867 PCTIUS94/136-11 for both ~ iùl~l and collimated sputtering, and the conformal nature of the CVD-Ti pro~ess represents a significant advantage over sputtering technology.
A ~ I of the electrical properties obtained with CVD-Ti and sputtered-Ti was made using the electrical test structures described above. Contact resistance were made using K~lvin structures with contact sizes wbich varied from 0.35~m to O.oO~Lm. In order to deposit 100 A of titanium at the bottoms of the 0.35~Lm contacts, 900 A of sputtered-Ti was deposited compared to 200 A of CVD-Ti. The CVD-Ti and sputtered-Ti films provided equivalent contact resistance for all contact sizes. However, the smaller conhcts had a much higher probe yield with the CVD-Ti contact layer. For 0.35~m contacts the yield for the CVD-Ti contact layer was double that of the sputtered-Ti layer. The i~ u._ in yield indicates that the CVD-Ti process provides more uniform and repeatable results over the surface of the wafer, and suggests that the process may overcome minor contact to contact variations that are created by the contact etch and contact cleaning processes. This assertion is supported by the AES results reported above which showed that no residual native oxide was detected at the silicon/TiSi2 interface after CVD-Ti and in-situ ~ inn A more severe cnm~ nn of the two contact layers was made using chains of 10,000 contacts. Ag~un the results were similar for the larger contacts.
However at 0.35~m The CVD contact layer produced superior results. The CVD-Ti contact layer provides contact chain resistance values that are two orders of magnitude lower than those obtained with the sputtered-Ti layer. r.,l;~ , the probe yield for the CVD-TI layer was five times bigher than that for the sputtered hyer.

SUBSTITUTE SHEET (RULE 26) 4 ~ 7 C
WO 95/33867 PCT/I)S9-11136 11 Leakage current for CVD-Ti and sputtered-Ti were similar. This indicates that the in-situ silicidation provided by the CVD-Ti process does not cause junction damage. This is confirmed by SEM cross sections which were performed on the samples after completing the electrical 11~UI~ 11.~11L7 The cross sections confirm that the silicide formed during the CVD-Ti process at the bottoms of the contacts is uniform.
In conclusion, titanium films have been deposited by chemical vapor deposition at i . of 450 C to 550 C. The titanium is fully converted to TiSi2 during the deposition process for depositions onto silicon surfaces.
DPrcifinnc were conformal with no evidence of titanium overhangs at contact openings. Contact resistance and junction leakage , indicate that the CVD-Ti process provides equivalent dectrical I ' to sputtered-Ti for low aspect ratio features. For higher aspect ratio features the CVD-Ti process provides superior contact resistance and yield. The improvement in electrical y~l is due to the conforma'l nature of the CVD-Ti, the removal of the residua'l native oxide from the contact bottom, and formation of a uniform TiSi2 layer at the contact bottom.
Figure 3 shows another; ' ' of a deposition chamber with an upstream RF plasma source which might be utilized to generate the necessary radicals for an upstream plasma low h..~ lc; PECVD process utilizing a rotating susceptor as discussed and disclosed hereinabove with respect to the upstream plasma generafion utilized by the ~....I;~..,~Ii.~.~ of Fig. 1. Specifica'lly, a deposition chamber 280 is attached to an RF p asma source 282. A suitable source is a cn~~lPrri~lly available RF source available from Protofech Research, SU~STITUTE SHEET (RULE 26) Inc., of Tempe, Arizona. RF plasma source 282 includes a housing 284 which forms a plasma generating region 286 therein. The plasma gases to be excited, such as H2, N2, and/or NH3 are introduced through gas input lines 287, 288 and gas rings 289, 290, ~ y Within region 286, the plasma gases are excited by an RF field generated by RF coil 292 which is connected to an RF source 294.
RF energy of, for example, ~ , 13.56 MHz is delivered to t'ne gases within region 286 to create a gas plasma containing free electrons, ions and radicals of the plasma gas. As the excited gases are drawn down the length of pl~,.." g~ g region 286, gas particles combine until preferably an abundance of radicals remain. The radicals are drawn down through a deposition region 296.
The react~nt gases, such as TiCI~, are introduced into the deposition region 296 by a vertically adjustable gas ~I~u..~ ~l 298, and the reactant gases and activated radicals are drawn down to substrate 300 by rotating susceptor 302 and combine to form a film layer on substrate 300. The substrate 300 heated as discussed above and similar pressures, susceptor rotation rates and gas flow rates for the examples discussed above might be utilized with the RF plasma source of Fig. 3.
Accordingly, a film, such as a titanium-containing film, may be deposited at substantially lower i I than achieved with traditional thermal CVD
processes.
While the present invention has been illustrated by the description of . ' ~ ' thereof, and while the ~ ' ' have been described in c<",~;.l. .,.1.'~ detail, it is not the intention of Applicants to restrict or in any way Iimit the scope of the appended claims to such detail. Additional advantages and ~ will readily appear to those skilled in the art. For example, the lûw . . . r A ~
SU~STITUTE Sl li~-ET (RULE 26) 2 ~ ~ ~ 4 5 7 - -s/338~7 PCT/US9~/136 LCIII~d~UlC CVD technique of the present invention may be utilized to deposit other films besides the titanium-containing films discussed in extensive detail herein. FulLc~ o~, activated radicals of gase3 other than 1I2~ Nz and/or NH3 might dlSO be utiiized to lower the deposition ~ll~ldLUlC. jThe invention in its broader dspects is therefore not limited to the specific details, IC~IC~CII~Li~
apparatus and method, and illustrative example show~ and described.
Accordingly, departures may be made from such detdils without departing from the spirit or scope of Applicants' general inventive concept.
/What is claimed is:

/ ,,/ _ .

SUBSTIi i~E Si !E-T (RU' ~ 26!

Claims (30)

CLAIMS:
1. A method of depositing a film on a substrate by plasma chemical vapour deposition comprising:
providing a substrate inside a chemical vapour deposition reaction chamber;
heating the substrate;
supplying a first gas into the reaction chamber;
exciting the first gas to form a plasma; and supplying a second gas into the reaction chamber, CHARACTERISED IN THAT
the first gas plasma includes activated radicals of the first gas, the second gas is a titanium-containing gas and the radicals mix with the second gas, the method comprising:
rotating the substrate to draw a mixture of the radicals and the second gas to a surface of the substrate such that the radicals react with the second gas in a surface reaction to deposit a titanium-containing film on the substrate surface.
2. A method of Claim 1 wherein the step of exciting the first gas forms ions thereof which supply energy to the surface reaction.
3. A method of Claim 1 or Claim 2 wherein the step of exciting the first gas includes generating activated radicals thereof with an RF energy source.
4. A method of any preceding Claim wherein the step of exciting the first gas includes generating activated radicals thereof with a microwave energy source.
5. A method of Claim 4 wherein the first gas is excited with the microwave energy source remotely from the substrate, the first gas producing additional radicals as it is drawn to the substrate.
6. A method of Claim 5 wherein the first gas is excited in one end of a quartz tube and drawn through the tube to the substrate.
7. A method of Claim 1 including exciting the second gas such that the gas mixture drawn to the rotating substrate comprises first gas radicals and the excited second gas.
8. A method of Claim 3 comprising directing the first gas through an RF field region surrounded by an RF
coil and located upstream of the substrate to excite the first gas and form radicals.
9. A method of Claim 8 comprising supplying the second gas downstream from said RF field region so that the second gas is not excited by the RF field.
10. A method of Claim l wherein the substrate is rotated at a rate between 0 and 2,000 rpm.
11. A method of Claim 3 comprising:
directing the first gas through a gas-dispensing showerhead proximate the substrate, the showerhead having a plurality of openings;
biasing the showerhead with the RF energy source to make the showerhead an RF electrode having an associated RF field; and passing the first gas through the openings of the showerhead and through the RF field to excite the first gas to form radicals and ions which react with the second gas at the substrate surface to deposit a film thereon.
12. A method of Claim 11 comprising:
passing the first gas through a cylinder coupled to the showerhead above the substrate to establish a predetermined first gas flow before passing the first gas through the showerhead, to produce a uniform flow of radicals and ions to the substrate.
13. A method of Claim 11 wherein the showerhead is positioned approximately 25mm (1 inch) or less from the substrate.
14. A method of Claim 11 including exciting the second gas with the RF field such that a gas mixture is formed comprising first gas radicals and excited gas particles of the second gas.
15. A method of Claim 11 wherein the substrate rotated at a rate between 0 and 50,000 rpm.
16. A method of Claim 1 or Claim 11 wherein the first gas is selected from hydrogen, nitrogen, ammonia and mixtures thereof.
17. A method of Claim 1 or Claim 11 wherein the first gas is selected from a group consisting of a combination of gases including any two of hydrogen, nitrogen and ammonia.
18. A method of any preceding Claim wherein a diluent gas is mixed with the first gas.
19. A method of Claim 17 wherein the diluent gas comprises argon.
20. A method of Claim 1 or Claim 11 wherein the substrate is heated to between 200°C and 800°C during deposition of the film.
21. A method of Claim 1 or Claim 11 comprising maintaining the pressure inside the reaction chamber between 67 and 2000 Pa (0.5 and 15 Torr).
22. A method of Claim 1 or Claim 11 wherein the first gas is supplied at a rate between 50 and 50,000 sccm.
23. A method of Claim 1 or Claim 11 wherein the second gas is supplied at a rate between 1 and 20 sccm.
24. A method of Claim 1 or Claim 11 wherein the substrate is rotated at a rate sufficient to produce a laminar flow of the first and second gas mixture over the substrate to reduce gas recirculations and recombinations of the activated radicals.
25. A method of Claim 1 wherein the substrate includes an oxidized layer overlying a semiconductor layer, the oxidized layer including at least one via through which said semiconductor layer is exposed, and the chemical vapour deposition reaction chamber includes an RF energy source and RF electrodes forming an RF
field, comprising:
directing the first gas through the RF field to excite the first gas to form activated radicals and ions thereof and mixing the second gas with the first gas radicals and ions, the rotation of the substrate drawing a mixture of the first gas radicals and ions and the second gas to a surface of the substrate, the radicals and ions of the first gas reacting with the second gas in a surface reaction to cause deposition of titanium onto the semiconductor layer through the at least one via, without causing deposition onto the oxidized layer.
26. Apparatus for depositing a film on a substrate by chemical vapour deposition comprising:
a reaction chamber for receiving a substrate;
means for heating a substrate in the reaction chamber;
a first gas supply;
a passage connecting the first gas supply to the reaction chamber, the passage defining an outlet which directs the first gas proximate a substrate in the reaction chamber;
an energy source coupled to the passage upstream of said outlet for generating a plasma in the passage by exciting the first gas to form activated radicals thereof;
a susceptor within the reaction chamber for supporting a substrate; and a second gas supply coupled to the reaction chamber to direct a second gas proximate the substrate, CHARACTERISED IN THAT
the second gas is a titanium-containing gas, and the susceptor is rotatable to draw the radicals through the passage into the chamber and proximate the substrate to mix with the second gas, and to draw said mixture over the substrate such that the gases chemically react to deposit a titanium-containing film on the substrate:
surface.
27. Apparatus of Claim 26 wherein the passage includes a quartz tube, the energy source generating microwave energy and being coupled to the tube to generate the activated radicals of the first gas.
28. Apparatus of Claim 27 wherein the quartz tube is generally vertical such that the first gas radicals are drawn vertically downward to the substrate.
29. Apparatus of Claim 27 wherein the quartz tube is generally horizontal and includes a vertical portion defining said outlet, such that the first gas radicals are drawn horizontally along the tube and then downward through the vertical portion to the substrate.
30. Apparatus of Claim 26 wherein the energy source generates RF energy.
CA002191457A 1994-06-03 1994-12-23 Method and apparatus for producing thin films Abandoned CA2191457A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US08/253,393 1994-06-03
US08/253,393 US5665640A (en) 1994-06-03 1994-06-03 Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
PCT/US1994/013641 WO1995033867A1 (en) 1994-06-03 1994-12-23 Method and apparatus for producing thin films

Publications (1)

Publication Number Publication Date
CA2191457A1 true CA2191457A1 (en) 1995-12-14

Family

ID=22960086

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002191457A Abandoned CA2191457A1 (en) 1994-06-03 1994-12-23 Method and apparatus for producing thin films

Country Status (9)

Country Link
US (5) US5665640A (en)
EP (2) EP0936284B1 (en)
JP (2) JPH10504604A (en)
KR (1) KR100355913B1 (en)
AU (1) AU1261195A (en)
CA (1) CA2191457A1 (en)
DE (2) DE69435288D1 (en)
TW (1) TW261689B (en)
WO (1) WO1995033867A1 (en)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112216586A (en) * 2019-07-12 2021-01-12 中微半导体设备(上海)股份有限公司 Double-station processor for realizing uniform exhaust and plasma processing equipment

Families Citing this family (402)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JPH088212A (en) * 1994-06-22 1996-01-12 Sony Corp Plasma cvd method
US5661115A (en) 1994-11-08 1997-08-26 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
US6251758B1 (en) * 1994-11-14 2001-06-26 Applied Materials, Inc. Construction of a film on a semiconductor wafer
JPH08170174A (en) * 1994-12-14 1996-07-02 Nec Corp Formation of titanium nitride film
JPH08176823A (en) * 1994-12-26 1996-07-09 Sony Corp Formation of thin film of high melting point metal
US5972790A (en) * 1995-06-09 1999-10-26 Tokyo Electron Limited Method for forming salicides
KR0167248B1 (en) * 1995-07-24 1999-02-01 문정환 Heat treatment of substrate
FR2744649B1 (en) * 1996-02-12 1998-04-10 Conte METHOD FOR INCREASING THE WETABILITY OF A POROUS BODY AND DEVICE FOR IMPLEMENTING THE PROCESS
US5789317A (en) 1996-04-12 1998-08-04 Micron Technology, Inc. Low temperature reflow method for filling high aspect ratio contacts
JP3851686B2 (en) * 1996-06-08 2006-11-29 キヤノンアネルバ株式会社 Thin film formation method by plasma CVD
US5856236A (en) * 1996-06-14 1999-01-05 Micron Technology, Inc. Method of depositing a smooth conformal aluminum film on a refractory metal nitride layer
US5859284A (en) 1996-08-23 1999-01-12 Gilead Sciences, Inc. Preparation of carbocyclic compounds
US5744395A (en) * 1996-10-16 1998-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Low resistance, self-aligned, titanium silicide structures, using a single rapid thermal anneal procedure
JPH10134997A (en) * 1996-10-24 1998-05-22 Samsung Electron Co Ltd Plasma processing device, in which discharge due to secondary electric potential is eliminated
US5963840A (en) 1996-11-13 1999-10-05 Applied Materials, Inc. Methods for depositing premetal dielectric layer at sub-atmospheric and high temperature conditions
US6152070A (en) * 1996-11-18 2000-11-28 Applied Materials, Inc. Tandem process chamber
JP3469420B2 (en) * 1996-12-20 2003-11-25 東京エレクトロン株式会社 CVD film forming method
JPH10237662A (en) * 1996-12-24 1998-09-08 Sony Corp Plasma cvd method of metallic coating, formation of metallic nitride coating and semiconductor device
JP3050152B2 (en) * 1997-01-23 2000-06-12 日本電気株式会社 Method for manufacturing semiconductor device
US6051286A (en) * 1997-02-12 2000-04-18 Applied Materials, Inc. High temperature, high deposition rate process and apparatus for depositing titanium layers
US5989652A (en) * 1997-01-31 1999-11-23 Tokyo Electron Limited Method of low temperature plasma enhanced chemical vapor deposition of tin film over titanium for use in via level applications
US5906866A (en) * 1997-02-10 1999-05-25 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6271121B1 (en) 1997-02-10 2001-08-07 Tokyo Electron Limited Process for chemical vapor deposition of tungsten onto a titanium nitride substrate surface
US6093645A (en) * 1997-02-10 2000-07-25 Tokyo Electron Limited Elimination of titanium nitride film deposition in tungsten plug technology using PE-CVD-TI and in-situ plasma nitridation
AU6784798A (en) * 1997-03-27 1998-10-20 Micron Technology, Inc. Titanium metal treatment method, method of forming an electrically conductive interconnect, and method of reducing contact resistance of an elemental titanium contact
JP3077623B2 (en) * 1997-04-02 2000-08-14 日本電気株式会社 Plasma chemical vapor deposition equipment
JP3129232B2 (en) * 1997-05-08 2001-01-29 日本電気株式会社 Method for manufacturing semiconductor device
US5994662A (en) * 1997-05-29 1999-11-30 Applied Materials, Inc. Unique baffle to deflect remote plasma clean gases
JP3222404B2 (en) * 1997-06-20 2001-10-29 科学技術振興事業団 Method and apparatus for forming insulating film on semiconductor substrate surface
US6309713B1 (en) * 1997-06-30 2001-10-30 Applied Materials, Inc. Deposition of tungsten nitride by plasma enhanced chemical vapor deposition
US6162715A (en) * 1997-06-30 2000-12-19 Applied Materials, Inc. Method of forming gate electrode connection structure by in situ chemical vapor deposition of tungsten and tungsten nitride
GB9717368D0 (en) * 1997-08-18 1997-10-22 Crowther Jonathan Cold plasma metallization
US5976976A (en) 1997-08-21 1999-11-02 Micron Technology, Inc. Method of forming titanium silicide and titanium by chemical vapor deposition
US6037252A (en) * 1997-11-05 2000-03-14 Tokyo Electron Limited Method of titanium nitride contact plug formation
US6079356A (en) * 1997-12-02 2000-06-27 Applied Materials, Inc. Reactor optimized for chemical vapor deposition of titanium
US6106625A (en) * 1997-12-02 2000-08-22 Applied Materials, Inc. Reactor useful for chemical vapor deposition of titanium nitride
JP3381774B2 (en) * 1997-12-24 2003-03-04 東京エレクトロン株式会社 Method of forming CVD-Ti film
US6841203B2 (en) * 1997-12-24 2005-01-11 Tokyo Electron Limited Method of forming titanium film by CVD
US5976990A (en) * 1998-01-09 1999-11-02 Micron Technology, Inc. Method for optimization of thin film deposition
JPH11217672A (en) * 1998-01-30 1999-08-10 Sony Corp Chemical vapor growth method of nitrided metallic film and production of electronic device using this
US6050506A (en) * 1998-02-13 2000-04-18 Applied Materials, Inc. Pattern of apertures in a showerhead for chemical vapor deposition
US6395128B2 (en) * 1998-02-19 2002-05-28 Micron Technology, Inc. RF powered plasma enhanced chemical vapor deposition reactor and methods of effecting plasma enhanced chemical vapor deposition
US6140230A (en) * 1998-02-19 2000-10-31 Micron Technology, Inc. Methods of forming metal nitride and silicide structures
US6143362A (en) * 1998-02-25 2000-11-07 Micron Technology, Inc. Chemical vapor deposition of titanium
US6284316B1 (en) 1998-02-25 2001-09-04 Micron Technology, Inc. Chemical vapor deposition of titanium
US6301270B1 (en) 1998-03-02 2001-10-09 Compaq Computer Corporation Right to left matching of device address numbers with provided integrated services digital network destination numbers
US6179919B1 (en) * 1998-03-07 2001-01-30 United Silicon Incorporated Apparatus for performing chemical vapor deposition
US7858518B2 (en) 1998-04-07 2010-12-28 Micron Technology, Inc. Method for forming a selective contact and local interconnect in situ
US5997649A (en) * 1998-04-09 1999-12-07 Tokyo Electron Limited Stacked showerhead assembly for delivering gases and RF power to a reaction chamber
US6635569B1 (en) * 1998-04-20 2003-10-21 Tokyo Electron Limited Method of passivating and stabilizing a Ti-PECVD process chamber and combined Ti-PECVD/TiN-CVD processing method and apparatus
US6294466B1 (en) * 1998-05-01 2001-09-25 Applied Materials, Inc. HDP-CVD apparatus and process for depositing titanium films for semiconductor devices
US6218288B1 (en) 1998-05-11 2001-04-17 Micron Technology, Inc. Multiple step methods for forming conformal layers
US6642155B1 (en) * 1998-06-05 2003-11-04 Micron Technology, Inc. Method for applying a fluid to a rotating silicon wafer surface
US6289842B1 (en) 1998-06-22 2001-09-18 Structured Materials Industries Inc. Plasma enhanced chemical vapor deposition system
KR100331545B1 (en) * 1998-07-22 2002-04-06 윤종용 Method of forming multi-layered titanium nitride film by multi-step chemical vapor deposition process and method of manufacturing semiconductor device using the same
US6302057B1 (en) 1998-09-15 2001-10-16 Tokyo Electron Limited Apparatus and method for electrically isolating an electrode in a PECVD process chamber
US6486081B1 (en) 1998-11-13 2002-11-26 Applied Materials, Inc. Gas distribution system for a CVD processing chamber
US6355571B1 (en) * 1998-11-17 2002-03-12 Applied Materials, Inc. Method and apparatus for reducing copper oxidation and contamination in a semiconductor device
US6207568B1 (en) 1998-11-27 2001-03-27 Taiwan Semiconductor Manufacturing Company Ionized metal plasma (IMP) method for forming (111) oriented aluminum containing conductor layer
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6579805B1 (en) * 1999-01-05 2003-06-17 Ronal Systems Corp. In situ chemical generator and method
US6499425B1 (en) * 1999-01-22 2002-12-31 Micron Technology, Inc. Quasi-remote plasma processing method and apparatus
US6221174B1 (en) 1999-02-11 2001-04-24 Applied Materials, Inc. Method of performing titanium/titanium nitride integration
US6245674B1 (en) 1999-03-01 2001-06-12 Micron Technology, Inc. Method of forming a metal silicide comprising contact over a substrate
US6163007A (en) * 1999-03-19 2000-12-19 Applied Materials, Inc. Microwave plasma generating apparatus with improved heat protection of sealing O-rings
US6173673B1 (en) 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
JP3595190B2 (en) * 1999-04-16 2004-12-02 株式会社日立製作所 Semiconductor manufacturing method and semiconductor manufacturing apparatus
US6444556B2 (en) * 1999-04-22 2002-09-03 Micron Technology, Inc. Chemistry for chemical vapor deposition of titanium containing films
US6234219B1 (en) * 1999-05-25 2001-05-22 Micron Technology, Inc. Liner for use in processing chamber
US6169031B1 (en) * 1999-05-28 2001-01-02 National Science Council Chemical vapor deposition for titanium metal thin film
US6555183B2 (en) 1999-06-11 2003-04-29 Applied Materials, Inc. Plasma treatment of a titanium nitride film formed by chemical vapor deposition
US6548402B2 (en) 1999-06-11 2003-04-15 Applied Materials, Inc. Method of depositing a thick titanium nitride film
US6245192B1 (en) * 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
US6335282B1 (en) * 1999-08-26 2002-01-01 Micron Technology, Inc. Method of forming a titanium comprising layer and method of forming a conductive silicide contact
US6364949B1 (en) * 1999-10-19 2002-04-02 Applied Materials, Inc. 300 mm CVD chamber design for metal-organic thin film deposition
KR100709801B1 (en) * 1999-11-17 2007-04-23 동경 엘렉트론 주식회사 Precoat film forming method, idling method of film forming device, loading table structure, film forming device and film forming method
US20030235652A1 (en) * 1999-11-17 2003-12-25 Satoshi Wakabayashi Precoat film forming method
US6772827B2 (en) * 2000-01-20 2004-08-10 Applied Materials, Inc. Suspended gas distribution manifold for plasma chamber
US6477980B1 (en) * 2000-01-20 2002-11-12 Applied Materials, Inc. Flexibly suspended gas distribution manifold for plasma chamber
US6436819B1 (en) 2000-02-01 2002-08-20 Applied Materials, Inc. Nitrogen treatment of a metal nitride/metal stack
EP1127957A1 (en) * 2000-02-24 2001-08-29 Asm Japan K.K. A film forming apparatus having cleaning function
US6596343B1 (en) 2000-04-21 2003-07-22 Applied Materials, Inc. Method and apparatus for processing semiconductor substrates with hydroxyl radicals
US6461435B1 (en) 2000-06-22 2002-10-08 Applied Materials, Inc. Showerhead with reduced contact area
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US7101795B1 (en) * 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US7732327B2 (en) 2000-06-28 2010-06-08 Applied Materials, Inc. Vapor deposition of tungsten materials
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US7964505B2 (en) * 2005-01-19 2011-06-21 Applied Materials, Inc. Atomic layer deposition of tungsten materials
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6939434B2 (en) * 2000-08-11 2005-09-06 Applied Materials, Inc. Externally excited torroidal plasma source with magnetic control of ion distribution
US7166524B2 (en) 2000-08-11 2007-01-23 Applied Materials, Inc. Method for ion implanting insulator material to reduce dielectric constant
US7223676B2 (en) 2002-06-05 2007-05-29 Applied Materials, Inc. Very low temperature CVD process with independently variable conformality, stress and composition of the CVD layer
US7294563B2 (en) * 2000-08-10 2007-11-13 Applied Materials, Inc. Semiconductor on insulator vertical transistor fabrication and doping process
US7479456B2 (en) 2004-08-26 2009-01-20 Applied Materials, Inc. Gasless high voltage high contact force wafer contact-cooling electrostatic chuck
US7094670B2 (en) 2000-08-11 2006-08-22 Applied Materials, Inc. Plasma immersion ion implantation process
US7465478B2 (en) 2000-08-11 2008-12-16 Applied Materials, Inc. Plasma immersion ion implantation process
US7037813B2 (en) * 2000-08-11 2006-05-02 Applied Materials, Inc. Plasma immersion ion implantation process using a capacitively coupled plasma source having low dissociation and low minimum plasma voltage
US7320734B2 (en) 2000-08-11 2008-01-22 Applied Materials, Inc. Plasma immersion ion implantation system including a plasma source having low dissociation and low minimum plasma voltage
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
US7303982B2 (en) 2000-08-11 2007-12-04 Applied Materials, Inc. Plasma immersion ion implantation process using an inductively coupled plasma source having low dissociation and low minimum plasma voltage
US7430984B2 (en) * 2000-08-11 2008-10-07 Applied Materials, Inc. Method to drive spatially separate resonant structure with spatially distinct plasma secondaries using a single generator and switching elements
US7288491B2 (en) 2000-08-11 2007-10-30 Applied Materials, Inc. Plasma immersion ion implantation process
JP3993972B2 (en) * 2000-08-25 2007-10-17 富士通株式会社 Semiconductor device manufacturing method and semiconductor device
US6365515B1 (en) 2000-08-28 2002-04-02 Micron Technology, Inc. Chemical vapor deposition process
US6905079B2 (en) * 2000-09-08 2005-06-14 Tokyo Electron Limited Shower head structure and cleaning method thereof
US6573181B1 (en) * 2000-10-26 2003-06-03 Applied Materials, Inc. Method of forming contact structures using nitrogen trifluoride preclean etch process and a titanium chemical vapor deposition step
JP4720058B2 (en) * 2000-11-28 2011-07-13 株式会社Sumco Silicon wafer manufacturing method
US6765178B2 (en) 2000-12-29 2004-07-20 Applied Materials, Inc. Chamber for uniform substrate heating
US6825447B2 (en) * 2000-12-29 2004-11-30 Applied Materials, Inc. Apparatus and method for uniform substrate heating and contaminate collection
US20020083897A1 (en) * 2000-12-29 2002-07-04 Applied Materials, Inc. Full glass substrate deposition in plasma enhanced chemical vapor deposition
US6951804B2 (en) * 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020122896A1 (en) * 2001-03-02 2002-09-05 Skion Corporation Capillary discharge plasma apparatus and method for surface treatment using the same
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6734020B2 (en) * 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
US6737361B2 (en) 2001-04-06 2004-05-18 Wafermaster, Inc Method for H2 Recycling in semiconductor processing system
US20020197416A1 (en) * 2001-06-21 2002-12-26 Majewski Robert B. Gas jet deposition with multiple ports
US7211144B2 (en) * 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
US20070009658A1 (en) * 2001-07-13 2007-01-11 Yoo Jong H Pulse nucleation enhanced nucleation technique for improved step coverage and better gap fill for WCVD process
KR100427996B1 (en) * 2001-07-19 2004-04-28 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer
US6676760B2 (en) 2001-08-16 2004-01-13 Appiled Materials, Inc. Process chamber having multiple gas distributors and method
US7049226B2 (en) * 2001-09-26 2006-05-23 Applied Materials, Inc. Integration of ALD tantalum nitride for copper metallization
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
KR100501339B1 (en) * 2001-11-02 2005-07-18 주성엔지니어링(주) Plasma apparatus
US6699788B2 (en) * 2001-11-13 2004-03-02 Chartered Semiconductors Manufacturing Limited Method for integrated nucleation and bulk film deposition
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6902620B1 (en) * 2001-12-19 2005-06-07 Novellus Systems, Inc. Atomic layer deposition systems and methods
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6827978B2 (en) * 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) * 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US20050081788A1 (en) * 2002-03-15 2005-04-21 Holger Jurgensen Device for depositing thin layers on a substrate
KR100829327B1 (en) 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and reaction tube
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6869838B2 (en) * 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030194825A1 (en) * 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
KR100439948B1 (en) * 2002-04-19 2004-07-12 주식회사 아이피에스 Apparatus and method for depositing thin film on wafer using remote plasma
JP4847009B2 (en) * 2002-05-23 2011-12-28 ラム リサーチ コーポレーション Multi-component electrode for semiconductor processing plasma reactor and method of replacing part of multi-component electrode
US20030236489A1 (en) 2002-06-21 2003-12-25 Baxter International, Inc. Method and apparatus for closed-loop flow control system
US7018517B2 (en) * 2002-06-21 2006-03-28 Applied Materials, Inc. Transfer chamber for vacuum processing system
JP4540926B2 (en) * 2002-07-05 2010-09-08 忠弘 大見 Plasma processing equipment
US7543547B1 (en) * 2002-07-31 2009-06-09 Lam Research Corporation Electrode assembly for plasma processing apparatus
US20040065255A1 (en) * 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20070051471A1 (en) * 2002-10-04 2007-03-08 Applied Materials, Inc. Methods and apparatus for stripping
JP3946130B2 (en) * 2002-11-20 2007-07-18 東京エレクトロン株式会社 Plasma processing apparatus and plasma processing method
US20040238008A1 (en) * 2003-03-12 2004-12-02 Savas Stephen E. Systems and methods for cleaning semiconductor substrates using a reduced volume of liquid
US7375035B2 (en) 2003-04-29 2008-05-20 Ronal Systems Corporation Host and ancillary tool interface methodology for distributed processing
KR20060011887A (en) * 2003-05-30 2006-02-03 에비자 테크놀로지, 인크. Gas distribution system
KR20060079144A (en) * 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 Atomic layer deposition of barrier materials
US7429714B2 (en) * 2003-06-20 2008-09-30 Ronal Systems Corporation Modular ICP torch assembly
US7071118B2 (en) * 2003-11-12 2006-07-04 Veeco Instruments, Inc. Method and apparatus for fabricating a conformal thin film on a substrate
JP4698251B2 (en) * 2004-02-24 2011-06-08 アプライド マテリアルズ インコーポレイテッド Movable or flexible shower head mounting
US7695590B2 (en) 2004-03-26 2010-04-13 Applied Materials, Inc. Chemical vapor deposition plasma reactor having plural ion shower grids
US7244474B2 (en) 2004-03-26 2007-07-17 Applied Materials, Inc. Chemical vapor deposition plasma process using an ion shower grid
US7291360B2 (en) 2004-03-26 2007-11-06 Applied Materials, Inc. Chemical vapor deposition plasma process using plural ion shower grids
US7229911B2 (en) * 2004-04-19 2007-06-12 Applied Materials, Inc. Adhesion improvement for low k dielectrics to conductive materials
US20050233555A1 (en) * 2004-04-19 2005-10-20 Nagarajan Rajagopalan Adhesion improvement for low k dielectrics to conductive materials
US8083853B2 (en) 2004-05-12 2011-12-27 Applied Materials, Inc. Plasma uniformity control by gas diffuser hole design
US8328939B2 (en) 2004-05-12 2012-12-11 Applied Materials, Inc. Diffuser plate with slit valve compensation
US8074599B2 (en) 2004-05-12 2011-12-13 Applied Materials, Inc. Plasma uniformity control by gas diffuser curvature
US7784164B2 (en) * 2004-06-02 2010-08-31 Applied Materials, Inc. Electronic device manufacturing chamber method
CN101866828B (en) * 2004-06-02 2013-03-20 应用材料公司 Electron device manufacturing chamber and forming method thereof
JPWO2006008889A1 (en) * 2004-07-20 2008-05-01 シャープ株式会社 Plasma processing equipment
US7767561B2 (en) 2004-07-20 2010-08-03 Applied Materials, Inc. Plasma immersion ion implantation reactor having an ion shower grid
US8058156B2 (en) 2004-07-20 2011-11-15 Applied Materials, Inc. Plasma immersion ion implantation reactor having multiple ion shower grids
US20060021703A1 (en) * 2004-07-29 2006-02-02 Applied Materials, Inc. Dual gas faceplate for a showerhead in a semiconductor wafer processing system
US7323400B2 (en) * 2004-08-30 2008-01-29 Micron Technology, Inc. Plasma processing, deposition and ALD methods
US7429410B2 (en) * 2004-09-20 2008-09-30 Applied Materials, Inc. Diffuser gravity support
US7531469B2 (en) * 2004-10-23 2009-05-12 Applied Materials, Inc. Dosimetry using optical emission spectroscopy/residual gas analyzer in conjunction with ion current
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US7670433B2 (en) * 2005-02-16 2010-03-02 Ulvac, Inc. Vacuum deposition apparatus of the winding type
KR100909750B1 (en) * 2005-03-01 2009-07-29 가부시키가이샤 히다치 고쿠사이 덴키 Substrate processing apparatus and semiconductor device manufacturing method
TWI328050B (en) * 2005-05-10 2010-08-01 Ulvac Inc Reeling type plasma cvd device
US7312162B2 (en) 2005-05-17 2007-12-25 Applied Materials, Inc. Low temperature plasma deposition process for carbon layer deposition
US7422775B2 (en) 2005-05-17 2008-09-09 Applied Materials, Inc. Process for low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7109098B1 (en) 2005-05-17 2006-09-19 Applied Materials, Inc. Semiconductor junction formation process including low temperature plasma deposition of an optical absorption layer and high speed optical annealing
US7323401B2 (en) 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7335611B2 (en) 2005-08-08 2008-02-26 Applied Materials, Inc. Copper conductor annealing process employing high speed optical annealing with a low temperature-deposited optical absorber layer
US7429532B2 (en) 2005-08-08 2008-09-30 Applied Materials, Inc. Semiconductor substrate process using an optically writable carbon-containing mask
US7312148B2 (en) 2005-08-08 2007-12-25 Applied Materials, Inc. Copper barrier reflow process employing high speed optical annealing
US20070044714A1 (en) * 2005-08-31 2007-03-01 Applied Materials, Inc. Method and apparatus for maintaining a cross sectional shape of a diffuser during processing
US7641762B2 (en) * 2005-09-02 2010-01-05 Applied Materials, Inc. Gas sealing skirt for suspended showerhead in process chamber
US20070116888A1 (en) * 2005-11-18 2007-05-24 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
DE102005056322A1 (en) * 2005-11-25 2007-06-06 Aixtron Ag Apparatus for depositing a film on a substrate, especially for semiconductor production, comprises a process chamber that contains a substrate holder and is supplied with process gases through coaxial inlet ports
JP2007149590A (en) * 2005-11-30 2007-06-14 Toshiba Corp Radical processor
KR100668745B1 (en) * 2005-12-29 2007-01-29 주식회사 하이닉스반도체 Method for forming the transistor of semiconductor device
US20080044638A1 (en) * 2006-03-02 2008-02-21 Fred Ratel Nanostructured Metal Oxides
US7740705B2 (en) * 2006-03-08 2010-06-22 Tokyo Electron Limited Exhaust apparatus configured to reduce particle contamination in a deposition system
WO2007111348A1 (en) * 2006-03-28 2007-10-04 Hitachi Kokusai Electric Inc. Substrate treating apparatus
KR101501426B1 (en) * 2006-06-02 2015-03-11 어플라이드 머티어리얼스, 인코포레이티드 Gas flow control by differential pressure measurements
JP5069427B2 (en) * 2006-06-13 2012-11-07 北陸成型工業株式会社 Shower plate, and plasma processing apparatus, plasma processing method and electronic device manufacturing method using the same
US20080087641A1 (en) * 2006-10-16 2008-04-17 Lam Research Corporation Components for a plasma processing apparatus
US7776178B2 (en) * 2006-10-25 2010-08-17 Applied Materials, Inc. Suspension for showerhead in process chamber
US20080099147A1 (en) * 2006-10-26 2008-05-01 Nyi Oo Myo Temperature controlled multi-gas distribution assembly
WO2008089168A2 (en) * 2007-01-19 2008-07-24 Applied Materials, Inc. Plasma immersion chamber
US20080206987A1 (en) * 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
US7871828B2 (en) * 2007-02-06 2011-01-18 Applied Materials, Inc. In-situ dose monitoring using optical emission spectroscopy
WO2008096981A1 (en) * 2007-02-06 2008-08-14 Sosul Co., Ltd. Apparatus for forming a layer
US8142606B2 (en) * 2007-06-07 2012-03-27 Applied Materials, Inc. Apparatus for depositing a uniform silicon film and methods for manufacturing the same
US20080317973A1 (en) * 2007-06-22 2008-12-25 White John M Diffuser support
KR100870567B1 (en) 2007-06-27 2008-11-27 삼성전자주식회사 A method of plasma ion doping process and an apparatus thereof
KR101437522B1 (en) * 2007-09-05 2014-09-03 어플라이드 머티어리얼스, 인코포레이티드 Cathode liner with wafer edge gas injection in a plasma reactor chamber
JP5568212B2 (en) * 2007-09-19 2014-08-06 株式会社日立国際電気 Substrate processing apparatus, coating method therefor, substrate processing method, and semiconductor device manufacturing method
US20100199914A1 (en) * 2007-10-10 2010-08-12 Michael Iza Chemical vapor deposition reactor chamber
US7713757B2 (en) * 2008-03-14 2010-05-11 Applied Materials, Inc. Method for measuring dopant concentration during plasma ion implantation
KR101606736B1 (en) 2008-07-07 2016-03-28 램 리써치 코포레이션 Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
CN102084475B (en) * 2008-07-07 2013-01-30 朗姆研究公司 Plasma-facing probe arrangement including vacuum gap for use in a plasma processing chamber
US8465802B2 (en) * 2008-07-17 2013-06-18 Gang Li Chemical vapor deposition reactor and method
AU2009202611B2 (en) * 2008-07-31 2012-01-19 Li, Gang Dr Chemical Vapor Deposition Reactor and Method
EP2151509A1 (en) * 2008-08-04 2010-02-10 Applied Materials, Inc. Reactive gas distributor, reactive gas treatment system, and reactive gas treatment method
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
TW201112886A (en) * 2009-01-09 2011-04-01 Ulvac Inc Plasma treatment apparatus
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US9186742B2 (en) * 2009-01-30 2015-11-17 General Electric Company Microwave brazing process and assemblies and materials therefor
AU2010213360B2 (en) * 2009-02-13 2013-11-28 Gallium Enterprises Pty Ltd Plasma deposition
WO2010095901A2 (en) * 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US20100304155A1 (en) * 2009-05-29 2010-12-02 Fujifilm Corporation Film deposition method, film deposition apparatus, and gas barrier film
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
KR200457817Y1 (en) * 2009-12-28 2012-01-05 주식회사 케이씨텍 Showerhead unit for atomic layer deposition apparatus
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US20120021252A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating Surface of Substrate Using Inert Gas Plasma in Atomic Layer Deposition
JP5823399B2 (en) * 2010-09-09 2015-11-25 東京エレクトロン株式会社 Microwave introduction mechanism, microwave plasma source, and microwave plasma processing apparatus
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877300B2 (en) 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
EP2740122B1 (en) * 2011-06-27 2016-08-31 Thin Film Electronics ASA Short circuit reduction in an electronic component comprising a stack of layers arranged on a flexible substrate
WO2013032406A1 (en) * 2011-09-01 2013-03-07 National University Of Singapore A system and a method for depositing a layer on a substrate
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
CN106933063B (en) * 2012-03-20 2019-01-18 迈普尔平版印刷Ip有限公司 electron beam lithography system
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US20140099794A1 (en) * 2012-09-21 2014-04-10 Applied Materials, Inc. Radical chemistry modulation and control using multiple flow pathways
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US20150000597A1 (en) * 2013-07-01 2015-01-01 Applied Materials, Inc. Reduced zinc showerhead
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
JP6087236B2 (en) * 2013-07-24 2017-03-01 東京エレクトロン株式会社 Deposition method
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
JP2015056632A (en) * 2013-09-13 2015-03-23 東京エレクトロン株式会社 Method for manufacturing silicone oxide film
US9371579B2 (en) * 2013-10-24 2016-06-21 Lam Research Corporation Ground state hydrogen radical sources for chemical vapor deposition of silicon-carbon-containing films
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US20150129131A1 (en) * 2013-11-14 2015-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor processing apparatus and pre-clean system
US8841574B1 (en) * 2013-11-18 2014-09-23 Georges J. Gorin Plasma extension and concentration apparatus and method
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
CN105088334B (en) * 2014-04-28 2018-01-09 北京北方华创微电子装备有限公司 Cover device and process equipment
CN103953947B (en) * 2014-04-28 2015-11-25 北京七星华创电子股份有限公司 The detent mechanism of horizontal outer ignition device
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9490116B2 (en) * 2015-01-09 2016-11-08 Applied Materials, Inc. Gate stack materials for semiconductor applications for lithographic overlay improvement
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
JP6054471B2 (en) 2015-05-26 2016-12-27 株式会社日本製鋼所 Atomic layer growth apparatus and exhaust layer of atomic layer growth apparatus
JP5990626B1 (en) * 2015-05-26 2016-09-14 株式会社日本製鋼所 Atomic layer growth equipment
JP6054470B2 (en) 2015-05-26 2016-12-27 株式会社日本製鋼所 Atomic layer growth equipment
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
KR20180094109A (en) * 2016-01-07 2018-08-22 어플라이드 머티어리얼스, 인코포레이티드 An atomic layer etch system with a remote plasma source and a DC electrode
US20170211185A1 (en) * 2016-01-22 2017-07-27 Applied Materials, Inc. Ceramic showerhead with embedded conductive layers
US20170241019A1 (en) * 2016-02-22 2017-08-24 Ultratech, Inc. Pe-ald methods with reduced quartz-based contamination
CN107369602B (en) * 2016-05-12 2019-02-19 北京北方华创微电子装备有限公司 Reaction chamber and semiconductor processing equipment
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
BR112019008332B1 (en) * 2016-10-27 2023-10-10 Coating Plasma Innovation INSTALLATION OF SURFACE TREATMENT OF A MOBILE SUBSTRATE IN A CONTROLLED ATMOSPHERE AND METHOD FOR DEFINING THE SAME SIZE
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
EP3602610A4 (en) 2017-03-30 2020-11-11 INTEL Corporation Metal chemical vapor deposition approaches for fabricating wrap-around contacts and resulting structures
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US20180358204A1 (en) * 2017-06-09 2018-12-13 Mattson Technology, Inc. Plasma Strip Tool With Multiple Gas Injection Zones
US11201036B2 (en) 2017-06-09 2021-12-14 Beijing E-Town Semiconductor Technology Co., Ltd Plasma strip tool with uniformity control
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
JP2019054189A (en) * 2017-09-19 2019-04-04 東芝メモリ株式会社 Deposition device and deposition method
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (en) 2018-02-28 2022-06-01 美商應用材料股份有限公司 Systems and methods to form airgaps
JP7180984B2 (en) * 2018-03-01 2022-11-30 株式会社ニューフレアテクノロジー Vapor growth method
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11848199B2 (en) 2018-10-19 2023-12-19 Lam Research Corporation Doped or undoped silicon carbide deposition and remote hydrogen plasma exposure for gapfill
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR102249404B1 (en) 2019-06-19 2021-05-07 선문대학교 산학협력단 Apparatus and Method For Separating Oxygen Using Electromagnetic field
US20230005765A1 (en) * 2021-07-02 2023-01-05 Applied Materials, Inc. Semiconductor processing chamber adapter
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source

Family Cites Families (149)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4006073A (en) * 1975-04-03 1977-02-01 The United States Of America As Represented By The United States Energy Research And Development Administration Thin film deposition by electric and magnetic crossed-field diode sputtering
US4138306A (en) * 1976-08-31 1979-02-06 Tokyo Shibaura Electric Co., Ltd. Apparatus for the treatment of semiconductors
JPS5391664A (en) * 1977-01-24 1978-08-11 Hitachi Ltd Plasma cvd device
JPS53112066A (en) * 1977-03-11 1978-09-30 Fujitsu Ltd Plasma treatment apparatus
US4264393A (en) * 1977-10-31 1981-04-28 Motorola, Inc. Reactor apparatus for plasma etching or deposition
JPS5518403A (en) * 1978-07-25 1980-02-08 Toshiba Corp Formation of organic thin film
US4410758A (en) * 1979-03-29 1983-10-18 Solar Voltaic, Inc. Photovoltaic products and processes
US4282267A (en) * 1979-09-20 1981-08-04 Western Electric Co., Inc. Methods and apparatus for generating plasmas
JPS5660447A (en) * 1979-10-23 1981-05-25 Toshiba Corp Forming method of organic photoconductive film
JPS5691437A (en) * 1979-12-26 1981-07-24 Nippon Hoso Kyokai <Nhk> Preparation of metallized element
US4718976A (en) * 1982-03-31 1988-01-12 Fujitsu Limited Process and apparatus for plasma treatment
US4504518A (en) * 1982-09-24 1985-03-12 Energy Conversion Devices, Inc. Method of making amorphous semiconductor alloys and devices using microwave energy
US4524718A (en) * 1982-11-22 1985-06-25 Gordon Roy G Reactor for continuous coating of glass
JPS59159167A (en) * 1983-03-01 1984-09-08 Zenko Hirose Manufacture of amorphous silicon film
DE3429899A1 (en) * 1983-08-16 1985-03-07 Canon K.K., Tokio/Tokyo METHOD FOR FORMING A DEPOSITION FILM
US4557943A (en) * 1983-10-31 1985-12-10 Advanced Semiconductor Materials America, Inc. Metal-silicide deposition using plasma-enhanced chemical vapor deposition
US4618542A (en) * 1983-11-21 1986-10-21 Tdk Corporation Magnetic thin film
JPS60204880A (en) * 1984-03-27 1985-10-16 Matsushita Electric Ind Co Ltd Production of insulating film
JPS60221566A (en) * 1984-04-18 1985-11-06 Agency Of Ind Science & Technol Thin film forming device
JPS6141763A (en) * 1984-04-24 1986-02-28 Anelva Corp Thin film manufacturing apparatus
US4678679A (en) * 1984-06-25 1987-07-07 Energy Conversion Devices, Inc. Continuous deposition of activated process gases
JPS6126774A (en) * 1984-07-16 1986-02-06 Canon Inc Apparatus for forming amorphous silicon film
US4759947A (en) * 1984-10-08 1988-07-26 Canon Kabushiki Kaisha Method for forming deposition film using Si compound and active species from carbon and halogen compound
DE3437120A1 (en) * 1984-10-10 1986-04-10 Licentia Patent-Verwaltungs-Gmbh, 6000 Frankfurt METHOD FOR THE PRODUCTION OF SEMICONDUCTOR LAYERS ON SEMICONDUCTOR BODIES OR FOR THE DIFFUSION OF INTERFERENCE POINTS IN THE SEMICONDUCTOR BODY
US4717584A (en) * 1985-02-07 1988-01-05 Matsushita Electric Industrial Co., Ltd. Method of manufacturing a magnetic thin film
US4717585A (en) * 1985-02-09 1988-01-05 Canon Kabushiki Kaisha Process for forming deposited film
US4716048A (en) * 1985-02-12 1987-12-29 Canon Kabushiki Kaisha Process for forming deposited film
US5178904A (en) * 1985-02-16 1993-01-12 Canon Kabushiki Kaisha Process for forming deposited film from a group II through group VI metal hydrocarbon compound
US4772486A (en) * 1985-02-18 1988-09-20 Canon Kabushiki Kaisha Process for forming a deposited film
JPS61189626A (en) * 1985-02-18 1986-08-23 Canon Inc Formation of deposited film
US4728528A (en) * 1985-02-18 1988-03-01 Canon Kabushiki Kaisha Process for forming deposited film
US4726963A (en) * 1985-02-19 1988-02-23 Canon Kabushiki Kaisha Process for forming deposited film
US4778692A (en) * 1985-02-20 1988-10-18 Canon Kabushiki Kaisha Process for forming deposited film
US4784874A (en) * 1985-02-20 1988-11-15 Canon Kabushiki Kaisha Process for forming deposited film
US4818563A (en) * 1985-02-21 1989-04-04 Canon Kabushiki Kaisha Process for forming deposited film
JPS61223756A (en) * 1985-03-28 1986-10-04 Canon Inc Copying machine
US4853251A (en) * 1985-02-22 1989-08-01 Canon Kabushiki Kaisha Process for forming deposited film including carbon as a constituent element
US4801468A (en) * 1985-02-25 1989-01-31 Canon Kabushiki Kaisha Process for forming deposited film
JP2537175B2 (en) * 1985-03-27 1996-09-25 キヤノン株式会社 Functional deposition film manufacturing equipment
JPH07101751B2 (en) * 1985-03-28 1995-11-01 キヤノン株式会社 Photovoltaic device manufacturing method
GB8523071D0 (en) 1985-09-18 1985-10-23 Crutch S A Detector/indicator of visible information
US4798165A (en) * 1985-10-07 1989-01-17 Epsilon Apparatus for chemical vapor deposition using an axially symmetric gas flow
NL8602356A (en) 1985-10-07 1987-05-04 Epsilon Ltd Partnership APPARATUS AND METHOD FOR AN AXIAL SYMMETRICAL REACTOR FOR THE CHEMICAL VAPORIZATION.
JPH0645890B2 (en) * 1985-12-18 1994-06-15 キヤノン株式会社 Deposited film formation method
JPH084071B2 (en) * 1985-12-28 1996-01-17 キヤノン株式会社 Deposited film formation method
CH671407A5 (en) 1986-06-13 1989-08-31 Balzers Hochvakuum
US4886683A (en) * 1986-06-20 1989-12-12 Raytheon Company Low temperature metalorganic chemical vapor depostion growth of group II-VI semiconductor materials
US4800105A (en) 1986-07-22 1989-01-24 Nihon Shinku Gijutsu Kabushiki Kaisha Method of forming a thin film by chemical vapor deposition
US5126169A (en) * 1986-08-28 1992-06-30 Canon Kabushiki Kaisha Process for forming a deposited film from two mutually reactive active species
US4954397A (en) * 1986-10-27 1990-09-04 Canon Kabushiki Kaisha Light receiving member having a divided-functionally structured light receiving layer having CGL and CTL for use in electrophotography
DE3742110C2 (en) * 1986-12-12 1996-02-22 Canon Kk Process for forming functional evaporated films by a chemical microwave plasma evaporation process
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
KR960015609B1 (en) * 1987-01-19 1996-11-18 미쓰다 가쓰시게 Plasma operation apparatus
KR900008505B1 (en) * 1987-02-24 1990-11-24 세미콘덕터 에너지 라보라터리 캄파니 리미티드 Microwave enhanced cvd method for depositing carbon
US4992839A (en) * 1987-03-23 1991-02-12 Canon Kabushiki Kaisha Field effect thin film transistor having a semiconductor layer formed from a polycrystal silicon film containing hydrogen atom and halogen atom and process for the preparation of the same
JPS63233564A (en) * 1987-03-23 1988-09-29 Canon Inc Manufacture of junction transistor
US4946514A (en) * 1987-03-27 1990-08-07 Canon Kabushiki Kaisha Thin film photoelectromotive force element having multi-thin films stacked semiconductor layer
US4913929A (en) * 1987-04-21 1990-04-03 The Board Of Trustees Of The Leland Stanford Junior University Thermal/microwave remote plasma multiprocessing reactor and method of use
JPS6424468A (en) * 1987-07-21 1989-01-26 Canon Kk Functional deposited film
CA1303194C (en) * 1987-07-21 1992-06-09 Katsumi Nakagawa Photovoltaic element with a semiconductor layer comprising non-single crystal material containing at least zn, se and h in an amount of 1 to40 atomic %
JPS6436086A (en) * 1987-07-31 1989-02-07 Canon Kk Functional deposition film
US4854263B1 (en) * 1987-08-14 1997-06-17 Applied Materials Inc Inlet manifold and methods for increasing gas dissociation and for PECVD of dielectric films
US4885067A (en) * 1987-08-31 1989-12-05 Santa Barbara Research Center In-situ generation of volatile compounds for chemical vapor deposition
US4888062A (en) * 1987-08-31 1989-12-19 Canon Kabushiki Kaisha Pin junction photovoltaic element having I-type semiconductor layer comprising non-single crystal material containing at least Zn, Se and H in an amount of 1 to 4 atomic %
JPS6461396A (en) * 1987-09-01 1989-03-08 Idemitsu Petrochemical Co Synthesis of diamond and installation therefor
US5180435A (en) 1987-09-24 1993-01-19 Research Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semiconductor layer
US5018479A (en) * 1987-09-24 1991-05-28 Reserach Triangle Institute, Inc. Remote plasma enhanced CVD method and apparatus for growing an epitaxial semconductor layer
US4870030A (en) * 1987-09-24 1989-09-26 Research Triangle Institute, Inc. Remote plasma enhanced CVD method for growing an epitaxial semiconductor layer
JPH0192375A (en) * 1987-10-05 1989-04-11 Canon Inc Device for forming functional deposited film by microwave plasma cvd
EP0317343B1 (en) * 1987-11-20 1995-07-05 Canon Kabushiki Kaisha Pin junction photovoltaic element with P or N-type semiconductor layer comprising non-single crystal material containing Zn, Se, Te, H in an amount of 1 to 4 atomic % and a dopant and I-type semiconductor layer comprising non-single crystal Si(H,F) material
US4926229A (en) * 1987-11-20 1990-05-15 Canon Kabushiki Kaisha Pin junction photovoltaic element with P or N-type semiconductor layer comprising non-single crystal material containing Zn, Se, H in an amount of 1 to 4 atomic % and a dopant and I-type semiconductor layer comprising non-single crystal Si(H,F) material
US4792378A (en) * 1987-12-15 1988-12-20 Texas Instruments Incorporated Gas dispersion disk for use in plasma enhanced chemical vapor deposition reactor
JPH01198482A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
US4908330A (en) * 1988-02-01 1990-03-13 Canon Kabushiki Kaisha Process for the formation of a functional deposited film containing group IV atoms or silicon atoms and group IV atoms by microwave plasma chemical vapor deposition process
JPH01198481A (en) * 1988-02-01 1989-08-10 Canon Inc Formation of deposited film by microwave plasma cvd
US4971832A (en) * 1988-03-02 1990-11-20 Canon Kabushiki Kaisha HR-CVD process for the formation of a functional deposited film on a substrate with application of a voltage in the range of -5 to -100 V
JP2776826B2 (en) * 1988-04-15 1998-07-16 株式会社日立製作所 Semiconductor device and manufacturing method thereof
JPH01296611A (en) * 1988-05-25 1989-11-30 Canon Inc Semiconductor thin film deposition
JPH01298164A (en) * 1988-05-25 1989-12-01 Canon Inc Formation of functional deposit film
US4937094A (en) * 1988-05-26 1990-06-26 Energy Conversion Devices, Inc. Method of creating a high flux of activated species for reaction with a remotely located substrate
US5093149A (en) * 1988-05-26 1992-03-03 Energy Conversion Devices, Inc. Method of depositing directly activated species onto a remotely located substrate
JPH01306565A (en) * 1988-06-02 1989-12-11 Canon Inc Formation of deposited film
US4992305A (en) * 1988-06-22 1991-02-12 Georgia Tech Research Corporation Chemical vapor deposition of transistion metals
JPH0215174A (en) 1988-07-01 1990-01-18 Canon Inc Microwave plasma cvd device
DE68927726T2 (en) * 1988-07-20 1997-07-17 Hashimoto Chemical Ind Co Device for dry etching with a generator for generating anhydrous hydrofluoric acid gas
US5306699A (en) * 1988-08-31 1994-04-26 Superconductor Technologies, Inc. Reactor vessel for manufacture of superconducting films
DE3926023A1 (en) * 1988-09-06 1990-03-15 Schott Glaswerke CVD COATING METHOD FOR PRODUCING LAYERS AND DEVICE FOR CARRYING OUT THE METHOD
JPH02217475A (en) * 1989-02-16 1990-08-30 Fujitsu Ltd Thin film forming device
JP2730693B2 (en) * 1988-09-14 1998-03-25 住友電気工業株式会社 Thin film formation method
US5122431A (en) * 1988-09-14 1992-06-16 Fujitsu Limited Thin film formation apparatus
JP2657531B2 (en) * 1988-09-20 1997-09-24 富士通株式会社 Method of forming amorphous silicon film
JPH02114530A (en) * 1988-10-25 1990-04-26 Mitsubishi Electric Corp Thin film formation device
JP2717583B2 (en) * 1988-11-04 1998-02-18 キヤノン株式会社 Stacked photovoltaic element
US5178905A (en) * 1988-11-24 1993-01-12 Canon Kabushiki Kaisha Process for the formation of a functional deposited film by hydrogen radical-assisted cvd method utilizing hydrogen gas plasma in sheet-like state
JPH02148715A (en) * 1988-11-29 1990-06-07 Canon Inc Apparatus for forming semiconductor device continuously
US5087542A (en) * 1988-12-27 1992-02-11 Canon Kabushiki Kaisha Electrophotographic image-forming method wherein an amorphous silicon light receiving member with a latent image support layer and a developed image support layer and fine particle insulating toner are used
US5002618A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BAs(H,F) semiconductor film
JP2829653B2 (en) * 1989-01-21 1998-11-25 キヤノン株式会社 Photovoltaic element
US5002617A (en) * 1989-01-21 1991-03-26 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal AlAs(H,F) semiconductor film
JPH02192771A (en) * 1989-01-21 1990-07-30 Canon Inc Photovoltaic element
US5007971A (en) * 1989-01-21 1991-04-16 Canon Kabushiki Kaisha Pin heterojunction photovoltaic elements with polycrystal BP(H,F) semiconductor film
US4888088A (en) * 1989-03-06 1989-12-19 Tegal Corporation Ignitor for a microwave sustained plasma
JPH02258689A (en) 1989-03-31 1990-10-19 Canon Inc Method for forming crystalline thin film
WO1990012126A1 (en) * 1989-03-31 1990-10-18 Canon Kabushiki Kaisha Method of forming polycrystalline film by chemical vapor deposition
US5017403A (en) * 1989-04-13 1991-05-21 Massachusetts Institute Of Technology Process for forming planarized films
US5093150A (en) * 1989-04-20 1992-03-03 Alps Electric Co., Ltd. Synthesis method by plasma chemical vapor deposition
DE3914065A1 (en) * 1989-04-28 1990-10-31 Leybold Ag DEVICE FOR CARRYING OUT PLASMA ETCHING PROCESSES
US4987856A (en) * 1989-05-22 1991-01-29 Advanced Semiconductor Materials America, Inc. High throughput multi station processor for multiple single wafers
US5130170A (en) * 1989-06-28 1992-07-14 Canon Kabushiki Kaisha Microwave pcvd method for continuously forming a large area functional deposited film using a curved moving substrate web with microwave energy with a directivity in one direction perpendicular to the direction of microwave propagation
JPH03193880A (en) * 1989-08-03 1991-08-23 Mikakutou Seimitsu Kogaku Kenkyusho:Kk Method and device for forming film at high rate by microwave plasma cvd under high pressure
JPH0394069A (en) 1989-09-05 1991-04-18 Mitsubishi Electric Corp Thin film forming device
US5139825A (en) * 1989-11-30 1992-08-18 President And Fellows Of Harvard College Process for chemical vapor deposition of transition metal nitrides
US5220181A (en) 1989-12-11 1993-06-15 Canon Kabushiki Kaisha Photovoltaic element of junction type with an organic semiconductor layer formed of a polysilane compound
JPH088243B2 (en) 1989-12-13 1996-01-29 三菱電機株式会社 Surface cleaning device and method thereof
GB2241250A (en) * 1990-01-26 1991-08-28 Fuji Electric Co Ltd RF plasma CVD employing an electrode with a shower supply surface
KR0184279B1 (en) * 1990-01-29 1999-04-15 미다 가쓰시게 Metal or metal silicide film making method
US4977106A (en) * 1990-05-01 1990-12-11 Texas Instruments Incorporated Tin chemical vapor deposition using TiCl4 and SiH4
DE4016765A1 (en) 1990-05-25 1991-11-28 Philips Patentverwaltung Chemical vapour coating method - where process plasma is conc. in an area and passed through a small diaphragm opening into a substrate chamber
FR2664294B1 (en) 1990-07-06 1992-10-23 Plasmametal METHOD FOR METALLIZING A SURFACE.
US5085885A (en) * 1990-09-10 1992-02-04 University Of Delaware Plasma-induced, in-situ generation, transport and use or collection of reactive precursors
US5052339A (en) * 1990-10-16 1991-10-01 Air Products And Chemicals, Inc. Radio frequency plasma enhanced chemical vapor deposition process and reactor
KR100228259B1 (en) 1990-10-24 1999-11-01 고지마 마따오 Method for forming a thin film and semiconductor devices
JP3013455B2 (en) 1991-02-07 2000-02-28 日本電気株式会社 Plasma chemical vapor deposition of tantalum oxide films
JP2939355B2 (en) 1991-04-22 1999-08-25 東京エレクトロン株式会社 Plasma processing equipment
US5252134A (en) * 1991-05-31 1993-10-12 Stauffer Craig M Integrated delivery system for chemical vapor from non-gaseous sources for semiconductor processing
US5260236A (en) 1991-06-07 1993-11-09 Intel Corporation UV transparent oxynitride deposition in single wafer PECVD system
US5173327A (en) * 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
US5268034A (en) 1991-06-25 1993-12-07 Lsi Logic Corporation Fluid dispersion head for CVD appratus
US5308655A (en) 1991-08-16 1994-05-03 Materials Research Corporation Processing for forming low resistivity titanium nitride films
US5279857A (en) 1991-08-16 1994-01-18 Materials Research Corporation Process for forming low resistivity titanium nitride films
JP2989063B2 (en) 1991-12-12 1999-12-13 キヤノン株式会社 Thin film forming apparatus and thin film forming method
US5356476A (en) 1992-06-15 1994-10-18 Materials Research Corporation Semiconductor wafer processing method and apparatus with heat and gas flow control
US5370739A (en) 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
US5273588A (en) 1992-06-15 1993-12-28 Materials Research Corporation Semiconductor wafer processing CVD reactor apparatus comprising contoured electrode gas directing means
US5342652A (en) 1992-06-15 1994-08-30 Materials Research Corporation Method of nucleating tungsten on titanium nitride by CVD without silane
US5434110A (en) * 1992-06-15 1995-07-18 Materials Research Corporation Methods of chemical vapor deposition (CVD) of tungsten films on patterned wafer substrates
JPH06158320A (en) * 1992-11-25 1994-06-07 Kawasaki Steel Corp Method and device for forming metallic wiring
US5453124A (en) 1992-12-30 1995-09-26 Texas Instruments Incorporated Programmable multizone gas injector for single-wafer semiconductor processing equipment
US5416045A (en) 1993-02-18 1995-05-16 Micron Technology, Inc. Method for chemical vapor depositing a titanium nitride layer on a semiconductor wafer and method of annealing tin films
US5246881A (en) 1993-04-14 1993-09-21 Micron Semiconductor, Inc. Low-pressure chemical vapor deposition process for depositing high-density, highly-conformal, titanium nitride films of low bulk resistivity
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
US5396404A (en) 1993-09-20 1995-03-07 Delco Electronics Corp. Heat sinking assembly for electrical components
US5378501A (en) 1993-10-05 1995-01-03 Foster; Robert F. Method for chemical vapor deposition of titanium nitride films at low temperatures
US5647911A (en) * 1993-12-14 1997-07-15 Sony Corporation Gas diffuser plate assembly and RF electrode
US5628829A (en) 1994-06-03 1997-05-13 Materials Research Corporation Method and apparatus for low temperature deposition of CVD and PECVD films
US5665640A (en) 1994-06-03 1997-09-09 Sony Corporation Method for producing titanium-containing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
JP3080537B2 (en) 1994-06-08 2000-08-28 シャープ株式会社 Communication device
US5610106A (en) 1995-03-10 1997-03-11 Sony Corporation Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
JP3360098B2 (en) 1995-04-20 2002-12-24 東京エレクトロン株式会社 Shower head structure of processing equipment

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112216586A (en) * 2019-07-12 2021-01-12 中微半导体设备(上海)股份有限公司 Double-station processor for realizing uniform exhaust and plasma processing equipment
CN112216586B (en) * 2019-07-12 2023-03-10 中微半导体设备(上海)股份有限公司 Double-station processor for realizing uniform exhaust and plasma processing equipment

Also Published As

Publication number Publication date
EP0763147B1 (en) 2000-03-08
JP2004263306A (en) 2004-09-24
WO1995033867A1 (en) 1995-12-14
JPH10504604A (en) 1998-05-06
AU1261195A (en) 1996-01-04
DE69435288D1 (en) 2010-05-27
KR970703445A (en) 1997-07-03
US6220202B1 (en) 2001-04-24
EP0936284A2 (en) 1999-08-18
DE69423371D1 (en) 2000-04-13
TW261689B (en) 1995-11-01
US5716870A (en) 1998-02-10
EP0936284A3 (en) 2002-08-07
US5567243A (en) 1996-10-22
EP0936284B1 (en) 2010-04-14
KR100355913B1 (en) 2003-01-06
US5866213A (en) 1999-02-02
US5665640A (en) 1997-09-09
DE69423371T2 (en) 2000-10-19
EP0763147A1 (en) 1997-03-19

Similar Documents

Publication Publication Date Title
CA2191457A1 (en) Method and apparatus for producing thin films
US5975912A (en) Low temperature plasma-enhanced formation of integrated circuits
EP0832311B1 (en) Process for plasma enhanced anneal of titanium nitride
US6140215A (en) Method and apparatus for low temperature deposition of CVD and PECVD films
KR100356264B1 (en) Plasma enhanced chemical vapor deposition of titanium nitride using ammonia
US5926737A (en) Use of TiCl4 etchback process during integrated CVD-Ti/TiN wafer processing
US6037263A (en) Plasma enhanced CVD deposition of tungsten and tungsten compounds
US5593511A (en) Method of nitridization of titanium thin films
EP0157052A1 (en) Low resistivity tungsten silicon composite film
KR20010053027A (en) Method for single chamber processing of PECVD-Ti and CVD-TiN films in IC manufacturing
NO168879B (en) CLEANING VEHICLE
WO1995033866A1 (en) Method and apparatus for producing thin films by low temperature plasma-enhanced chemical vapor deposition using a rotating susceptor reactor
KR19990022508A (en) How to Form Silicide
KR20010030989A (en) Method of chemical vapor deposition of metal films
KR100226763B1 (en) Thin film forming method using chemical vapor deposition system
JPH10261597A (en) Semiconductor device and its manufacture
Sandhu et al. Pulsed plasma enhanced CVD of metal silicide conductive films such as TiSi 2
JPH05190495A (en) Manufacture of semiconductor device

Legal Events

Date Code Title Description
FZDE Discontinued