CA2259973A1 - Plasma etch reactor and method for emerging films - Google Patents

Plasma etch reactor and method for emerging films Download PDF

Info

Publication number
CA2259973A1
CA2259973A1 CA002259973A CA2259973A CA2259973A1 CA 2259973 A1 CA2259973 A1 CA 2259973A1 CA 002259973 A CA002259973 A CA 002259973A CA 2259973 A CA2259973 A CA 2259973A CA 2259973 A1 CA2259973 A1 CA 2259973A1
Authority
CA
Canada
Prior art keywords
electrode
reactor
plasma etch
power source
power
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002259973A
Other languages
French (fr)
Inventor
Alferd Cofer
Robert C. Vail
Stephen P. Deornellas
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CollabRx Inc
Original Assignee
Individual
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Individual filed Critical Individual
Publication of CA2259973A1 publication Critical patent/CA2259973A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • H05H1/16Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields
    • H05H1/18Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields wherein the fields oscillate at very high frequency, e.g. in the microwave range, e.g. using cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes

Abstract

A plasma etch reactor (20) includes a reactor chamber (22) with a grounded upper electrode (24), a lower electrode (28) which is attached to a high frequency power supply (30) and a low frequency power supply (32), and a peripheral electrode (26) which is located between the upper and lower electrode, and which is allowed to have a floating potential. Rare earth magnets (46, 47) are used to establish the magnetic field which confines the plasma developed within the reactor chamber (22). The plasma etch reactor (20) is capable of etching emerging films used with high density semiconductor devices.

Description

CA 022~9973 1998-12-31 PLASMA ETCH REACTOR AND METHOD FOR EMERGING FILMS

~ Field of the Invention:
The present invention relates to an improved plasma etch 5 reactor apparatus and method.

Background of tlle Inventi~n:
A new set of emerging films are being beneficially employed in the development of high density semiconductor chips such as for 0 example high density DRAM. These materials provide for higher capacity devices by allowing a reduction in the size of the individual features on the memory device. Accordingly, enhanced selectivity and profile control are required.
In the past, ion mills, which are a slow physical process, have 15 been used to establish desired profiles on semiconductor wafers. Such ion mills have disadvantages in that the profile formed on the semiconductor wafer is sensitive to the angle of the ion mill beam and thus, the ion mill beam has to be accurately positioned to obtain the required profile. When profiles are obtained, however, large veils or 20 ribs sticking up from the edges of the desired profiles have been experienced. Accordingly, ion mills are not well suited to emerging films.
Plasma etch processes for use in emerging films are faster, however such processes can in some cases provide unacceptable 25 feature profiles. Accordingly, there is a need to provide an etching process which quickly and accurately process the emerging films that are used in the latest semiconductor products.

CA 022~9973 1998-12-31 W O 98/01012 PCTnUS97/01020 Summary of the Inventinn:
The present invention is directed toward a plasma etch reactor which can successfully process emerging films used in high density semiconductor devices.
The present invention provides for a plasma etch reactor which has a reactor chamber and an upper electrode which is grounded, a lower electrode which is connected to high frequency power supply, and a low frequency power supply, and a peripheral or ring electrode which is positioned between the upper and lower electrodes. The 0 potential for said peripheral or ring electrode is allowed to float.
Alternatively, the ring electrode can be grounded. Such a reactor can successfully process the newest emerging films used in high density semiconductor products.
It is a further object of the present invention to provide the reactor chamber with magnets in order to produce a high magnetic field, and thus a sufficiently dense plasma in order to successfully etch the newest emerging films.
It is a further object of the present invention to have the density and etch characteristics of the plasma controlled by one or more of the 2 0 power sources.
Other objects and advantages of the invention will be obtained from a review of the descriptions, claims and figures.

Brief Descr~"l;nn of tlle Fi~--res:
Fig. 1 is a side cross-sectional view of an embodiment of the plasma etch reactor of the invention.
Fig. 2 is a view similar to Fig. 1 with the addition of an enhanced process gas inlet nozzle.
Figs. 3a and 3b depict end and side cross-sectional views of a preferred embodiment of a nozzle of the invention.

CA 022~9973 1998-12-31 Figs. 4a, 4b, 4c, and 4d depict isometric, side cross-sectional, enlarged partial side cross-sectional, and end views of another preferred embodiment of a nozzle of the invention.
Figs. 5a, 5b, and 5c depict side cross-sectional, enlarged partial 5 cross-sectional, and end views of yet another preferred embodiment of a nozzle of the invention.
Figs. 6a, 6b, and 6c depict side cross-sectional, enlarged partial cross-sectional, and end views of still a further embodiment of a nozzle of the invention.
Fig. 7 depicts a perspective view of the arrangement of the magnets associated with a peripheral electrode of an embodiment of the invention.
Fig. 8 depicts a perspective view of the arrangement of the magnets associated with the upper electrode of an embodiment of the 15 invention shown in association with the magnets of Fig. 7.

Det~ Descri~tion of tlle Preferred F~nbodiment:
Referring to the figures and in particular to Fig. 1, a side cross-sectional view of an embodiment of the plasma etch reactor 20 of the 20 invention is depicted. This reactor 20 enhances and improves upon the reactor depicted and described in U.S. Patent No. 4,464,223, which patent is incorporated herein by reference.
Reactor 20 includes a reactor chamber 22 which is bounded by a grounded upward electrode 24, a side peripheral electrode 26, and 25 a bottom electrode 28. The side peripheral electrode 26 is grounded ~ or has a floating potential and in operation can be charged up by the plasma. In a preferred embodiment, the bottom electrode 28 is connected to a power supply 30 which provides power to the bottom electrode 26 preferably at 13.56 MHz (or multiples thereof) at a power level of preferably 900 watts and at a voltage of preferably 1,200 CA 022~9973 1998-12-31 W O98/01012 PCTnUS97/01020 volts. The high frequency power supply can operate from 10 watts up to 2000 watts in a preferled embodiment. It is to be understood that this is a high frequency power supply (preferably in the radio frequency range) and that the frequency preferably can range from 2 5 MHz to 40 MHz and upwards to about 900 MHz. The power can also preferably be supplied in the range of 100 watts to 3,000 watts with a voltage of between 200 volts to 5,000 volts.
A second power supply 32 is additionally connected to the bottom electrode 28. The second power supply 32 is preferably operated at 450 KHz with the power being preferably supplied at 100 watts, and at a voltage of 300 volts. This is the low frequency power supply. It is to be understood that this power supply (preferably in the radio frequency range) can be operated in the range of about 100 KHz to about 950 KHz (preferably 1 MHz or less) with a power range of 10 watts to 2,000 watts, and a voltage range of 10 volts to 5,000 volts.
Also connected to the bottom electrode 28 is a DC power supply 34.
The high-frequency power supply controls ion flux, while low-frequency power supply independently controls ion energy.
It is the control of the power supplies and principally the high frequency power supply which advantageously controls the density of etch plasma in order to provide superior etch characteristics. Further, it is the design of reactor 20 which provides the enhanced plasma density range from which the optimal plasma density can be selected by the control of the power supply.
Associated with the grounded upward electrode 24 is a central nozzle 36 which directs a jet of process gas into the reactor chamber 22 directed at the semiconductor wafer 48. As will be discussed below in greater detail, the jets of process gas from the nozzle 36 are able to effectively reach the surface of the semiconductor wafer 48 CA 022~9973 l998-l2-3l W 0 98/01012 PCTnUS97101020 and provide a fresh, uniform distribution of process gas over the entire surface of the semiconductor wafer 48.
Immediately above the grounded upper electrode 24 and the ~ nozzle 36 is an exhaust stack 38, which is used to exhaust spent gas species from the reactor chamber 22. It is to be understood that a pump (not shown) is secured to the exhaust stack 38 in order to evacuate the gas species from the reactor chamber 22.
As can be seen in Fig.1, immediately below the upper electrode 24 and nozzle 36 is a protruding, peripheral baffle 40. Baffle 40 is 0 comprised of insulating material, and as will be discussed below, protrudes into the exhaust path 42 between the nozzle 36 and the housing 44 of the plasma etch reactor 20. Protruding baffle 40 ensures that there is a good mixture of the various gas species from the nozzle 36 and the solid source 50 in the reactor chamber 22.
Immediately below the protruding baffle 40 and in this embodiment incorporated into the side peripheral electrode 26 is a magnet or plurality of magnets 46. Also preferably incorporated in upper electrode 24 is a magnet or plurality of magnets 47. As will be discussed below, either one or both of these magnets 46 and 47 define a magnetic confinement chamber about and coincident with the reactor chamber 22. This magnetic confinement chamber ensure that the charged ion species in the reactor chamber do not leak therefrom, and that the charge ion species are concentrated about the se-":~olnductor wafer 48. This magnetic confinement chamber inhibits the charged ion species from collecting on the walls of the reactor ~ chamber 22.
Covering the side peripheral electrode 26 and the magnets 46 is a side peripheral solid source 50. Such a solid source is not required in the preferred embodiment as there is no power provided to the ring 30 electrode 26. If, however, in addition to the above power source, a CA 022~9973 1998-12-31 high frequency power source were provided to the solid source 50, then this solid source 50 would provide for an innovative source of a gaseous species which can be sputtered through the bombardment of, for example, radio frequency excited ions which knock or erode atoms 5 of the gas species from the solid source 50 into the reaction chamber 22. The erosion of gaseous species from the surface of the solid source can be affected by the pulsing of power supplies. As a further advantage, as portions of the surfaces of the solid source erode, no particles can be formed on the eroding surfaces by the combination of 10 gaseous species. Thus, contamination from such particles formed on eroding portions of the solid suRaces are eliminated. Variations of the solid source 50 are discussed hereinbelow.
Immediately below the solid source 50 is the wafer chuck 52 which positions the semiconductor wafer 48 relative to the reactor chamber 22. Wafer clamp 53 holds the wafer 48 on the wafer chuck 52. In this embodiment, the wafer chuck 52 as well as the bottom electrode 28 can be moved vertically downward in order to insert and remove the wafer 48.
In this embodiment, if desired, the side peripheral electrode 26 20 and the magnets 46 can be cooled using a cooling water manifold 54.
It is further to be understood that the solid source 50 can be heated if desired using a hot water manifold 56. Other methods of heating the solid source 50, and particularly the front exposed surface thereof, include resistive and inductive heating, and radiant heat provided by 25 lamps and other sources of photons.
The protruding baffle 40 as well as the configuration of the magnets and the process gas jets from the nozzle, and the gas species eroded from the solid source (if a power supply is connected to the peripheral ring electrode 26), provide for a high density plasma 30 a~acent to the surface of the semiconductor wafer. This configuration CA 022~9973 1998-12-31 WO98/01012 PCT~S97101020 greatly increases the range of densities that can be achieved within the reactor chamber 22.
The above range of operation is not possible with prior devices.
It is to be understood that one or more of the above features can be 5 used to enlarge the plasma density range and thus improve the etch process and fall within the spirit and scope of the invention.
An alternative embodiment of the reactor 20 is shown in Fig. 2.
Similar components are numbered with similar numbers as discussed hereinabove. In Fig. 2, the nozzle 36 has been modified in order to 10 improve the uniformity of the mixture of the gaseous species in reactor chamber 22. As can be seen in Fig. 2, the nozzle 36 includes a manifold 70 which can channel the process gases in a number of directions. From manifold 70 there are horizontal ports 72, 74 which direct jets of the process gases horizontally and parallel to the upper electrode 24. Port 76 directs jets of the gas vertically downward directly onto the wafer 48. Ports 78 and 80 channel jets of the process gases in a direction skewed to the horizontal, and principally toward the periphery of the wafer 48 in order to assure a uniform distribution of process gases and/or a good mixture of the gas species.
In this embodiment, it is also the combination of the ports of the manifold 70 and the protruding baffle 40 which ensures that a good mixture of (1) the gas species sputtered or eroded from the solid source 50 (if a source of power is connected to peripheral ring electrode 26), and (2) the process gases from the ports of the nozzle 36, are presented to the surface of the semiconductor wafer 48.
~ Etching in prior art devices is usually performed in the 300 to 500 millitorr range, which range is one to two orders of magnitude higher than the low pressures contemplated by the reactor of the present invention. For etching of submicron features required by state-3 o of-the-art semiconductor devices, low pressure operations are CA 022~9973 l998-l2-3l desirable. However, at low pressures, it is more difficult to maintain a high density plasma.
For the embodiments of Figs. 1 and 2, the present invention contemplates a magnetic field which contains the plasma at a low 5 pressure (3-5 millitorrs), with a high plasma density ~10l~cm3 at the wafer), and with low ion energy (less than 15 to 300 electron volts).
Generally, low pressure operation would be at about 150 millitorr or about 100 millitorr or less and pr~r~r~bly about 20 millitorr or about 10 millitorr or less. For submicron ~sub 0.5 microns) devices, the plasma 0 source must operate at a low pressure with a high density of activated gases at the wafer and a low ion energy in order to deliver superior etching results. A low pressure plasma improves the overall quality of the etch by minimizing the undercutting of the wafer features as well as the effect of microloading ~etching concentrated features more rapidly than less concentrated features), both of which can adversely affect overall yield. Low pressure, however, requires a high density plasma at the wafer to increase the number of plasma particles reacting with a film on the semiconductor wafer being etched in order to maintain a fast etch rate. A fast etch rate is one factor leading to 2 0 a higher average throughput. Further, low ion energy leads to improved etch selectivity and minimizes wafer damage. Both of which improve overall yield. It is contemplated that the present embodiment can operate at about 150 millitorr or less.
The reactor 20 of the present invention can be used to etch a variety of different substrates or films which require different etch chemistry or recipe. Principally, the embodiments of the invention are used to etch the new emerging films. Generally, this chemistry incl~cles two or more of the following gases: halogen gases, halogen containing gases, noble gases, and diatomic gases.

CA 022~9973 l998-l2-3l Variations of the above features describe above will now be explained in greater detail.

~ Solid So~:
Again, it is to be remembered that the solid source only comes into operation if a power supply is connected to the peripheral ring electrode 26. However, if a power supply, preferably a high frequency power supply such as power supply 30, is connected to peripheral electrode 26 in an all~r,-alive embodiment, then the following applies.
0 It has been determined that the gaseous species eroded or sputtered from the solid source 50 or the lack of species eroded or sputtered therefrom can have a profound effect on the success of the etching process carried out in the plasma etch reactor 20. By way of example only, the solid source 50 can be comprised of a dielectric material such as for example silicon dioxide ~SiO2) or quartz which upon bombardment by radio frequency excited ions provide gaseous ions of silicon and oxygen from the solid source into the reaction chamber. Another type of dielectric solid source can include a ceramic such as alumina (AL2O3). This ceramic has a low sputtering or erosion 2 0 rate when impacted by excited gaseous ions and is useful for situations where no additional contribution from a solid source is required or desired. Particularly, with respect to alumina, with a power supply under approximately 600 volts peak to peak, little or no sputtering is observed. Over that threshold, there is sputtering from an alumina solid source.
Generally, the solid source can be comprised of a se,-licol,ductor material, a dielectric l"al~;rial, or a conductor. In fact, the solid source could be embodied in the materials which comprise the electrode, and those materials can be eroded to provide appropriate gas species for the plasma in the reactor chamber. Appropriate dielectric materials CA 022~9973 1998-12-31 also include silicon nitride (Si3N4), in addition to other metal oxides besides alumina (Al203). Semiconductor materials can include silicon carbide ~SiC).
The surface temperature of the solid source 50 is preferably 5 above 80~ C in order to provide for adequate sputtering. At this temperature and with the appropriate energized ions eroding the surface of these solid source, the solid source does not become a cold sink for the formation of particles, as discussed herein, from gaseous species, which particles can break away and col~Ld.-,i"ate the reaction 10 chamber 22. As discussed above, the rate of erosion or sputtering of the gaseous species from the solid source 50 can be controlled by the high frequency power supply (not shown but similar to supply 30). By increasing the power supply (not shown but similar to supply 30), higher energy ions can be used to bombard the solid 15 source 50 in order to increase the rate of erosion of gaseous species from the solid source for purposes of the etching process. By way of example, should a solid source of silicon dioxide be used, increased bombardment would enhance anisotropic etching as the gaseous species sputter from the silicon dioxide would passivate vertical surfaces on the semiconductor wafer so that such surface would not be undercut by the gaseous etchant species.

Gaseous Source:
In addition to the above benefits described with respect to the gaseous -~pecies eroded from the solid source, such benefits can also be acquired by introducing in the process gases, gases which have the effect derived from the gaseo~s species eroded from the surface of the solid source. By way of example only, a gaseous form of tetraethoxysilane (TEOS) can be introduced with the process gas.
30 TEOS is a source of silicon and oxygen for the etching process. TEOS

CA 022~9973 1998-12-31 in the process chamber provides the same gaseous species as does a solid source of silicon dioxide (SiO2) with the advantages to the etching process described herein. Also it is to be noted that a combination of both solid source and a gaseo~s source of such species 5 would be within the spirit and scope of the invention.

Noz71es:
Figs. 3a, 3b, 4a, 4b, 4c, 4d, 5a, 5b, 5c, 6a, 6b, and 6c depict alternative preferred embodiments of nozzle arrangements which can 10 be used with the above invention. Conventional nozzle arrangements are generally configured in a "shower head" configuration with as many as 200 ports from which process gases to be ejected. The intent of such an arrangement was to ensure that there was a uniform distribution of the process gases in the chamber, and in particular, at 15 the surface of the semiconductor wafer that was being processed.
Prior art devices have been found to create a layer of stagnate, used gases which have already reacted with the wafer surface and thus dilute the uniformity of new process gases directed toward the surface. The present invention improves upon such prior art nozzles.
2 o The present invention includes nozzles which generate discrete collimated jets of process gases which merged together adjacent the wafer surface to create a uniform distribution at the surface of the wafer. The velocity of the gases and the volume in the jets assure that fresh process gas reaches the surface of the semiconductor 25 wafer. Thus, fresh process gases are uniformally distributed at the - surface of the semiconductor wafer. These process gas jets stir upthe gases at the surface of the wafer making a uniform distribution of process gas and gaseous species eroded from the surface of the solid source.

CA 022~9973 1998-12-31 W 098tO1012 PCTnUS97101020 Figs. 3a and 3b depict a one-port nozzle 90 with the port identified as 92. The nozzle is preferably comprised of alumina. With this arrangement, a single iet of gas is projected toward the semiconductor wafer.
Figs. 4a, 4b, 4c, and 4d depict another preferred embodiment of a nozzle 94 of the invention which is also comprised of alumina. In this embodiment, the nozzle 94 includes twelve ports which define jets of process gas that are directed toward the semiconductor wafer.
Preferably, the jets are directed at an angle which is skew to vertical and the centerline of each jet is directed toward the peripheral edge of the wafer. This arrangement is again beneficial in ensuring that there is a uniform distribution of new process gases at the surface of the wafer. As can be seen in Fig. 4d, the ports are distributed around the periphery of the face of the nozzle.
Figs. 5a, 5b, and 5c depict a further embodiment of a nozzle 98 of the invention. In this arrangement, the ports 99 are depicted in a star formation with some of the ports being provided on the periphery of the face (Fig. 5c) of the nozzle 98 while other of the ports are ce,.l~ally located with one port on the centerline of the nozzle. As with the gases from the nozzle of Fig. 4a, the jets of the nozzle of Fig. 5a are angled with respect to the vertical and thus are directed both at the body of the semiconductor wafer and at the edge of the semiconductor wafer in order to provide a uniform distribution of process gas.
Figs. 6a, 6b, and 6c depict yet another preferred embodiment of the nozzle 100 of the invention. In this embodiment, ports 102 are directed essentially normal to a vertical line between the nozzle and the se.":co ~dlJctor wafer. In this embodi",e,lt, the nozzles are directed toward the solid source on the side wall in order to ensure greater mixing of the gas species from the solid source and the process gas.

CA 022~9973 1998-12-31 r-~,erQ;---" Films:
It is noted that the above reactors are particularly useful in etching a new class of emerging films used in new chip designs. By way of example only, these reactor configurations are useful in the 5 etching of platinum (Pt), currently being used in the development of high density DRAM devices. Further, these reactors are useful in etching of lead zirconium titinate (PZT), currently being used in the development of non-volatile, ferro-electric random access memory (FRAM) devices. Additionally, this reactor is useful in the etching of 0 Iridium (Ir). Yet, another emerging film which can be successfully etched using this apparatus and method is comprised of bismuth strontium titinate (BST). While these new films contribute to improved, circuit performance, their unique properties make them particularly difficult to etch, and therefore, require the more advanced 15 etch process techniques of the present invention. Other emerging films that can be processed with the preferred embodiment include barium slrGrLium titinate (Y-1), iridium oxide ~IrO2), ruthenium (Ru), and ruthenium oxide (Ru04).
It is to be understood that these new emerging films have significant advantages in the latest semiconductor devices. By way of example, dielectrics used in older semiconductor devices have a dielectric constant of between 2 and 4. With PZT the dielectric constant is 1400. Thus, the new memory devices made with such films can be significantly smaller (with smaller features) and have more memory capability. Further, such films can be used to fashion capacitors for DRAMs and non-volatile memories which can thus replace devices such as EPROMs, SRAMs, etc..
It has been observed that the dual frequencies on the bottom electrode 28 are beneficial in the successful etching of the emerging 30 films for the latest semiconductor products. This arrangement allows CA 022~9973 1998-12-31 W O 98/01012 PCTnUS97tO1020 for etching device features which appropriate anisotropic side wall profiles in order to accommodate the reduced critical dimensions, which are in the submicron range of about 0.25 microns and less.

M:~netic Confinement:
The above identified magnets 46, 47 provide a magnetic confinement around reactor chamber 22 which ensures that a high density plasma can be formed at low pressure. It is to be remembered that the plasma is created through a collision of gas atoms and electrons, generating ions to create a high density plasma at low pressure. The present invention achieves this by increasing the total path length of the electrons traveling through the plasma while minimizing ion loss to the reactor wall. The electrons traveling toward the plasma are reflected by the magnetic field back into the plasma thus increasing the path length of the electrons.
With the present invention, the magnets can either be electromagnets or permanent magnets and be within the spirit and scope of the invention. These magnets, surrounding the etched chamber, create a static magnetic field container. The magnetic field effect exists only near the reactor walls, is virtually non-existent at the wafer, creating an inherently uniform plasma. The magnets provide the function of protecting the electrodes as with a stronger magnetic confinement, there is less erosion on the electrodes. A weaker confinement provides for more erosion of the electrode and the solid source.
The magnetic confinement caused by the magnets 46, 47, thus is designed to concentrate the plasma and can have the effect of protecting the process chamber parts, including the electrodes from the corrosive l,las",a. As a result, there are considerable cost savings, as the cost for replacing the electrodes is reduced.

CA 022~9973 1998-12-31 Figs. 7 and 8 depict an arrangement of the magnet 46, 47, in association with the side electrode 26 and the upper electrode 24 respectively. As can be seen in Fig. 7 there are a plurality of slots 60 found relative to the electrode 26. In a preferred embodiment, every 5 other of the slots 60 are filled with the magnet 46. These magnets located behind the solid source 50 affect the rate of erosion of gas species from the solid source. As indicated above, without the magnets, it is possible that too many gaseous species can be eroded from the solid surface and thus affect the etch process.
It is to be noted that these magnets are pole face magnets. The north and south poles are on the faces 62 and the opposing faces 6~
of the magnets. The magnets are arranged alternatively so that first a north pole face of one magnet 46 and then a south pole face of a second magnet 46 are directed toward the center of the chamber.
5 This is repeated about the outer periphery of the electrode 26.
Fig. 8 depicts the arrangement of the magnets 47 associated with the upper electrode 24. Again, these magnets are pole faced magnets, with the north and south poles projecting from the side faces of the magnets. For the configuration of Fig. 8, the magnets alternate with the north and then the south poles facing towards the chamber.
For this embodiment, the magnetic confinement chamber of the present invention preferably uses powerful rare earth magnets in order to provide an optimal confinement for the plasma in the reactor chamber. Rare earth magnets minimize the effect of electrons and gaseous ions leaking from the reactor chamber 22. This aids in increasing the density of the plasma and thus the efficiency of the etching process. In a preferred embodiment, the rare earth magnets are comprised of samarium cobalt. Preferably, these magnets have a magnetic strength at the surface of between 2,000 GAUSS and 2,200 CA 022~9973 1998-12-31 GAUSS. Generally, however, these rare earth magnets can have a strength at the surface of between 1,500 and 2,500 Gauss.
In a particular embodiment, the peripheral electrode 26 retains such eighteen ~Figs. 7, 8) rare earth magnets placed side by side on 5 the periphery of the reactor 20. The grounded upper electrode 24 has associate therewith twenty-four (Fig. 8) such rare earth magnets in a preferred embodiment. These magnets are arranged to provide a symmetric magnetic field in the reactor chamber 22. With respect to the rare earth magnets 47 associated with the grounded upper 10 electrode 24, these magnets are provided in a spoke arrangement around a central point. The arrangement is comprised of magnets which extend from the central point to the periphery and shorter magnets which extend from the periphery to a position short of the central point. As indicated above, such rare earth magnets give 15 maximum repulsion of charged particles and electrons at the walls of the reactor chamber 22. With such a configuration, there is not much sputtering or erosion of gaseous species from the solid source ~especially when the peripheral electrode 26 is grounded or floating) and thus, silicon dioxide (SiO2) can be used as the solid source rather 20 than the more expensive alumina (AL203).

RP~tor Cham~er:
The reactor chamber in the present invention has been specifically designed, as discussed above and below, in order to 25 enhance the uniformity of the plasma. With respect to the physical characteristics of the reactor chamber 22, as noted above, both the placement of the baffle 40 and the nozzle 36, 70 contribute to the unirum~ily of the process gases in the reactor chamber 22. The baffle 40 ensures that the gas species eroded from the surface of the solid 30 source 50 (particularly if a power supply is connected to the peripheral CA 022~9973 1998-12-31 electrode 26) are not immediately drawn up by the pump through the exhaust shaft 38, but are allowed to mix with the gases in the reactor chamber adjacent to the semiconductor wafer 48. Additionally, the nozzle 38 having ports which channel jets of gases vertically, 5 horizontally, and at skewed angles ensure that any gas species from the solid source are thoroughly mixed with the process gases from the nozzle and that this uniform mixture is provided to the semiconductor wafer 48.
The height of the reactor chamber from the nozzle to the surface 10 of the semiconductor wafer can be optimized. Prior art devices have a height of 51/41'. It has been found that with the above described height and also the nozzle arrangements can be optimized in order to have the gas jets from the nozzle provide a uniform distribution of process gas at the surface of the semiconductor wafer. Thus, also for 15 varying reactor heights, nozzle pattern compared to chamber pressure can be opLi"~i~ed for the etch process including the etch process using a solid source. This height is irrespective of the diameter of the reactor chamber, although in a preferred embodiment, the reactor chamber is approximately 141/2" in diameter. By way of example only, 20 for preferred operation at two to three millitorr of pressure in the reactor chamber 22, the height of the reactor chamber would be preferably about 4". For a height of less than 4", the jets would still be collimated and thus not uniformally spread at the surface of the wafer. For a height greater than 4", the jets could merge together 25 above the surface of the semiconductor wafer so as not to provide a uniform distribution of process gases at the surface of the wafer.
Optimally, for a given nozzle configuration, it has been found that the product of the height of the reactor chamber 22 with the pressure in the chamber, should be constant in order to provide for 30 optimal performance. Thus, as indicated above, optimal performance CA 022~9973 1998-12-31 can be achieved with a height of 4" and a pressure of two to three millitorr. The range of values for pressure and height include a height range of 1/10 of an inch corresponding to 100 millitorr to a height of 10" corresponding to one millitorr for optimal performance. That is to 5 say that as the pressure increases in the reactor chamber, that the height of the reactor chamber can be less and that as the pressure decreases, the height would increase in order to provide for optimal mixing of (1) the gases eroded from the solid source, (2) injected process gases, and ~3) reaction products from the wafer surface.
The effect of the above invention is to (1 ) increase the selectivity (i.e., for example protect the oxide substrate), (2) enhance the profile co"l-ol of the etch process, and (3) enhance the line width control (i.e., protecting the photoresist from the etching process so that the correct line width is transferred from the photoresist to the 15 wafer).

Inr~ustri~ pli~hility:
From the above, it can be seen that the present invention afford an apparatus and method which can successfully etch emerging films 20 used to fabricate high density semiconductor devices such as high density semiconductor memories.
Other features, aspects and objects of the invention can be obtained from a review of the figures and the claims.
It is to be understood that other embodiments of the invention 25 can be developed and fall within the spirit and scope of the invention and claims.

Claims (60)

We claim:
1. A plasma etch reactor comprising:
a reactor chamber;
a first electrode;
a second electrode;
said first electrode is one of electrically floating or at ground;
a first power source connected to said second electrode which generates power at a first frequency; and a second power source connected to said second electrode which generates power at a second frequency.
2. The plasma etch reactor of claim 1 wherein:
said first power source generates power at a low frequency; and said second power source generates power at a high frequency.
3. The plasma etch reactor of claim 1 wherein:
said first power source generates power at about 1 MHz or less;
and said second power source generates power at about 2 MHz or greater.
4. The plasma etch reactor of claim 1 wherein:
said first power source generates power at about 13.56 MHz;
and said second power source generates power at about 450 KHz.
5. The plasma etch reactor of claim 1 including:
a third electrode which is grounded.
6. The plasma etch reactor of claim 1 including:
a magnetic confinement associated with said reactor chamber.
7. The plasma etch reactor of claim 6 wherein;
said magnetic confinement is comprised of rare earth magnets.
8. The plasma etch reactor of claim 7 wherein:
said rare earth magnets are samarium cobalt magnets.
9. The plasma etch reactor of claim 1 including;
a solid source of gas species.
10. The plasma etch reactor of claim 1 including:
a solid source of gaseous species covering said first floating electrode.
11. The plasma etch reactor of claim 1 including;
a chuck adapted to hold a wafer; and said second electrode with said first power source and said second power source connected thereto positioned behind the wafer held in said chuck.
12. The plasma etch reactor of claim 1 including:
rare earth magnets used to increase the plasma density in and decrease the loss of ions from the reactor chamber.
13. The plasma etch reactor of claim 1 including;
one or more magnets positioned about the reactor chamber, each said magnet having a strength of about 2,000 Gauss to about 2,200 Gauss at the surface.
14. The plasma etch reactor of claim 1 including:
one or more magnets positioned about the reactor chamber; and each said magnet having a strength of about 1,500 Gauss to about 2,500 Gauss at the surface.
15. The plasma etch reactor of claim 14 wherein:
a magnetic field established by said rare earth magnets is symmetrical.
16. The plasma etch reactor of claim 1 wherein:
said reactor is capable of etching high conductivity materials.
17. The plasma etch reactor of claim 1 wherein:
said reactor is capable of etching high conductivity materials to submicron dimensions.
18. The plasma etch reactor of claim 1 wherein:
said reactor is capable of etching films of at least one of lead zirconium titinate (PZT), platinum (Pt), iridium (Ir), bismuth strontium titinate (BST), barium strontium titinate (Y-1), iridium oxide (IrO2), ruthenium (Ru), and ruthenium oxide (RuO4) to submicron dimensions.
19. The plasma etch reactor of claim 1 wherein:
said reactor is capable of etching wafers for ferro-electric random access memories (FRAMs).
20. The plasma etch reactor of claim 1 wherein:
said first power source generates power at a high frequency of about 2 MHz to about 950 MHz; and said second power source generates power at a low frequency of about 10 KHz to about 1 MHz.
21. The plasma etch reactor of claim 22 wherein:
said first power source generates about 10 watts up to about 2,000 watts; and said second power source generates about 100 watts up to about 3000 watts.
22. The plasma etch reactor of claim 1 wherein:
said reactor chamber is capable of operating at about 150 millitorr or less and preferably 50 millitorr or less.
23. A plasma etch reactor comprising:
a reactor chamber;
a first electrode;
a second electrode;
a first AC power source connected to said first electrode which generates power at a first frequency; and a second AC power source connected to said first electrode which generates power at a second frequency.
24. The plasma etch reactor of claim 23 including:
a third DC power source connected to said second electrode.
25. A plasma etch reactor comprising:
a reactor chamber;
a first electrode;
a second electrode;
said first electrode is grounded;

a first power source connected to said second electrode which generates power at a first frequency; and a second power source connected to said second electrode which generates power at a second frequency.
26. The plasma etch reactor of claim 25 wherein:
said first power source generates power at a low frequency; and said second power source generates power at a high frequency.
27. The plasma etch reactor of claim 25 wherein:
said first power source generates power at about 1 MHz or less;
and said second power source generates power at about 2 MHz or greater.
28. The plasma etch reactor of claim 25 wherein:
said first power source generates power at about 13.56 MHz;
and said second power source generates power at about 450 MHz.
29. The plasma etch reactor of claim 25 wherein:
said first electrode is an upper electrode;
said second electrode is a lower electrode that is located under the first electrode; and a wafer chuck which is associated with said second electrode and under the first electrode.
30. The plasma etch reactor of claim 25 including:
a third electrode formed in the shape of a cylinder and located between the first electrode and the second electrode.
31. The plasma etch reactor of claim 25 including:
a third electrode which is located between the first electrode and the second electrode.
32. The plasma etch reactor of claim 25 including:
a third electrode which is one of electrically floating or grounded.
33. The plasma etch reactor of claim 25 including:
a magnetic confinement associated with said reactor chamber.
34. The plasma etch reactor of claim 33 wherein:
said magnetic confinement is comprised of rare earth magnets.
35. The plasma etch reactor of claim 34 wherein:
said rare earth magnets are samarium cobalt magnets.
36. The plasma etch reactor of claim 25 including:
a third electrode which is positioned between the first electrode and the second electrode, which third electrode is one of electrically floating, or grounded.
37. The plasma etch reactor of claim 25 including:
one or more magnets positioned about said reactor chamber;
and each of said magnets having a strength of about 2000 Gauss to about 2200 Gauss at the surface.
38. The plasma etch reactor of claim 25 including:
one or more magnets positioned about the reactor chamber; and each said magnet having a strength of about 1,500 Gauss to about 2,500 Gauss.
39. The plasma etch reactor of claim 25 including:
said reactor is capable of etching films of at least one of lead zirconium titinate (PZT), platinum (Pt), iridium (Ir), bismuth strontium titinate (BST), barium strontium titinate (Y-1), iridium oxide (IrO2), ruthenium (Ru), and ruthenium oxide (RuO4), to submicron dimensions.
40. The plasma etch reactor of claim 25 wherein:
said reactor is capable of etching wafers for ferro-electric random access memories (FRAMs).
41. The plasma etch reactor of claim 25 wherein:
said first power source generates power at a high frequency of about 2 MHz to about 950 MHz; and said second power source generates power at a low frequency of about 10 KHz to about 1 MHz.
42. The plasma etch reactor of claim 25 wherein:
said reactor chamber is capable of operating at about 150 millitorr or less and preferably 50 millitorr or less.
43. The plasma etch reactor of claim 25 including:
said first power source and said second power source being AC
power sources; and a third power source connected to said second electrode, said second power source being a DC power source.
44. A method for etching a wafer comprising the steps of:
placing the wafer in a reactor chamber on the first electrode;
applying first power from a first power source to said first electrode of about 10 MHz to about 950 MHz to said first electrode;

applying second power from a second power source to said first electrode of about 10 KHZ to about 1 MHz;
introducing process gasses into the reactor chamber in association with the applying steps;
developing a pressure in the reactor chamber of less than 150 millitorr in the reactor chamber in association with the applying steps and the introducing step.
45. The method of claim 44 including:
said placing step includes placing a wafer comprising at least one of a film of lead zirconium titinate (PZT), platinum (Pt), iridium (Ir), bismuth strontium titinate (BST) , barium strontium titinate (Y-1), iridium oxide (IrO8), ruthenium (Ru), and ruthenium oxide (RuO4).
46. The method of claim 45 including:
operating the reactor chamber with at least one of said films in order to etch submicron features.
47. The method of claim 44 including:
applying first power at about 13.56 MHz to the first electrode;
and applying second power at about 450 KHZ to the first electrode.
48. The method of claim 44 including:
operating said reactor chamber to etch ferro-electric random access memories (FRAMs).
49. The method of claim 44 including:
applying the first power at between 10 watts up to about 2,000 watts; and applying the second power at between 100 watts up to about 3,000 watts.
50. The method of claim 44 including:
said developing step includes developing a pressure in the reactor chamber of less than 50 millitorr in the reactor chamber in association with the applying steps and the introducing step.
51. The method of claim 44 including:
allowing a second electrode to have one of a floating or a ground potential.
52. The method of claim 50 including:
grounding a third electrode.
53. The method of claim 44 including:
using a solid source in combination with at least the power from the first power source to generate a plasma in association with the process gasses introduced into the reactor chamber.
54. The method of claim 44 including:
controlling a plasma in the reactor chamber with rare earth magnets.
55. The method of claim 44 including:
controlling a plasma in the reactor chamber with pole face magnets.
56. A method of etching a wafer comprising:

placing a wafer in a reactor chamber on a wafer chuck, said wafer including a substrate upon which is deposited a film of at least one of lead zirconium titinate (PZT), platinum (Pt), iridium (Ir), bismuth strontium titinate (BST), barium strontium titinate (Y-1), iridium oxide (IrO8), ruthenium (Ru), and ruthenium oxide (RuO4);
providing an electrode in association with said wafer chuck;
introducing process gasses into the reactor chamber;
in association with the introducing step, applying power from a first power source to the electrode at a frequency in the range of about 2 MHz to about 950 MHz; and operating said reactor chamber at a pressure of about 150 millitorr or less.
57. The method of claim 56 including:
applying power to the electrode at between a range of about 10 watts to about 3,000 watts.
58. The method of claim 56 including:
in association with the introducing step, apply power from a second power source to the electrode at a frequency in the range of about 10 KHz to about 1 MHz.
59. The method of claim 55 including:
allowing a second electrode associated with said reactor chamber to have a floating or a ground potential.
60. The method of claim 56 including:
allowing a third electrode associated with said reactor chamber to be grounded.
CA002259973A 1996-07-03 1997-01-23 Plasma etch reactor and method for emerging films Abandoned CA2259973A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/675,093 US6048435A (en) 1996-07-03 1996-07-03 Plasma etch reactor and method for emerging films
US08/675,093 1996-07-03

Publications (1)

Publication Number Publication Date
CA2259973A1 true CA2259973A1 (en) 1998-01-08

Family

ID=24709027

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002259973A Abandoned CA2259973A1 (en) 1996-07-03 1997-01-23 Plasma etch reactor and method for emerging films

Country Status (9)

Country Link
US (3) US6048435A (en)
EP (1) EP0913074B1 (en)
JP (1) JP2000516033A (en)
KR (1) KR100528733B1 (en)
CN (4) CN1549309A (en)
AT (1) ATE499825T1 (en)
CA (1) CA2259973A1 (en)
DE (1) DE69740130D1 (en)
WO (1) WO1998001012A1 (en)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP2001107272A (en) * 1999-10-08 2001-04-17 Hitachi Ltd Method and device for treating sample and method for fabricating magnetic head
US6322661B1 (en) * 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US7838850B2 (en) * 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
JP4820038B2 (en) * 1999-12-13 2011-11-24 セメクイップ, インコーポレイテッド Ion implanted ion source, system, and method
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
ATE496388T1 (en) 2001-04-20 2011-02-15 Gen Plasma Inc PENNINE DISCHARGE PLASMA SOURCE
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
KR100422594B1 (en) 2001-09-12 2004-03-16 주식회사 하이닉스반도체 Capacitor in semiconductor device and method for fabricating the same
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
JP2003234331A (en) * 2001-12-05 2003-08-22 Tokyo Electron Ltd Plasma etching method and apparatus
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
GB0219872D0 (en) * 2002-08-27 2002-10-02 Univ Belfast Charged particle manipulation
US6946511B2 (en) * 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
JP3846881B2 (en) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 Plasma processing apparatus and method for forming silicon oxide film
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
WO2005028697A1 (en) 2003-09-12 2005-03-31 Applied Process Technologies, Inc. Magnetic mirror plasma source and method using same
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP4584572B2 (en) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ Plasma processing apparatus and processing method
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
JP2006073790A (en) * 2004-09-02 2006-03-16 Tokyo Institute Of Technology Plasma etching device
JP4628874B2 (en) * 2005-06-03 2011-02-09 東京エレクトロン株式会社 Plasma processing apparatus and potential control apparatus
CN100452945C (en) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 Decoupling reactive ion etching chamber containing multiple processing platforms
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
KR100776616B1 (en) * 2006-05-04 2007-11-15 한국기계연구원 Flat type Plasma Reactor
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
JP2009038209A (en) * 2007-08-01 2009-02-19 Mitsubishi Materials Corp Silicon electrode plate providing uniform etching
US8395250B2 (en) * 2007-09-04 2013-03-12 Kabushiki Kaisha Sharp Plasma processing apparatus with an exhaust port above the substrate
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US20100085129A1 (en) * 2008-10-06 2010-04-08 Asm Japan K.K. Impedance matching apparatus for plasma-enhanced reaction reactor
KR100943013B1 (en) * 2009-06-01 2010-02-18 (주)펨토사이언스 Multi generator plasma system
CN101989525A (en) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 Plasma processing cavity and switchable matching network with switchable offset frequency
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR101632321B1 (en) * 2009-12-24 2016-06-22 재단법인 포항산업과학연구원 APPARATUS AND METHOD OF MAKING Sm2Co17 NANO POWDER
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
JP5697389B2 (en) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 Electrode plate for plasma etching and plasma etching processing apparatus
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
JP5848140B2 (en) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 Plasma processing equipment
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9105583B2 (en) 2013-01-07 2015-08-11 International Business Machines Corporation Catalytic etch with magnetic direction control
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9377285B2 (en) 2013-02-13 2016-06-28 Allegro Microsystems, Llc Magnetic field sensor and related techniques that provide varying current spinning phase sequences of a magnetic field sensing element
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP2023043720A (en) * 2021-09-16 2023-03-29 キオクシア株式会社 Substrate processing device, and method of manufacturing semiconductor device
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2468174A (en) * 1943-05-06 1949-04-26 Koppers Co Inc Apparatus for electriclaly transforming materials
DE1089112B (en) 1958-02-13 1960-09-15 Thomson Houston Comp Francaise Vacuum pump
US3458817A (en) * 1967-02-13 1969-07-29 Westinghouse Electric Corp Microwave high power short pulse shaper
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS52127168A (en) * 1976-04-19 1977-10-25 Fujitsu Ltd Etching unit
US4167370A (en) 1976-11-01 1979-09-11 Massachusetts Institute Of Technology Method of an apparatus for self-sustaining high vacuum in a high voltage environment
US4230515A (en) 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4243476A (en) * 1979-06-29 1981-01-06 International Business Machines Corporation Modification of etch rates by solid masking materials
JPS5930130B2 (en) * 1979-09-20 1984-07-25 富士通株式会社 Vapor phase growth method
JPS5687672A (en) * 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
EP0040081B1 (en) * 1980-05-12 1984-09-12 Fujitsu Limited Method and apparatus for plasma etching
US4340461A (en) * 1980-09-10 1982-07-20 International Business Machines Corp. Modified RIE chamber for uniform silicon etching
JPS57149734A (en) * 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS58122731A (en) * 1982-01-14 1983-07-21 Toshiba Corp Dry etching method
JPS5916334A (en) 1982-07-19 1984-01-27 Matsushita Electronics Corp Dry etching method
US4483737A (en) * 1983-01-31 1984-11-20 University Of Cincinnati Method and apparatus for plasma etching a substrate
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS61116841A (en) * 1984-11-12 1986-06-04 Fujitsu Ltd Dry etching apparatus
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4774437A (en) * 1986-02-28 1988-09-27 Varian Associates, Inc. Inverted re-entrant magnetron ion source
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
EP0284436B1 (en) * 1987-03-27 1993-07-21 Canon Kabushiki Kaisha Substrate-treating apparatus
JP2618951B2 (en) 1988-02-16 1997-06-11 株式会社東芝 3D graphics processor
EP0343500B1 (en) * 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
JP2947818B2 (en) 1988-07-27 1999-09-13 株式会社日立製作所 Method of filling in fine hole with metal and cvd device for executing same
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
JPH02119124A (en) * 1988-10-28 1990-05-07 Seiko Epson Corp Plasma processing equipment
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
JPH02298024A (en) * 1989-05-12 1990-12-10 Tadahiro Omi Reactive ion etching apparatus
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
JP2981749B2 (en) * 1989-05-30 1999-11-22 日本真空技術株式会社 Plasma processing equipment
JPH0796665B2 (en) 1989-06-20 1995-10-18 日本ペイント株式会社 Aqueous resin dispersion
JPH0329101A (en) * 1989-06-26 1991-02-07 Sanyo Electric Co Ltd After-recording method for rotary head type tape recorder
JPH03241740A (en) * 1990-02-19 1991-10-28 Fujitsu Ltd Manufacture of semiconductor device
JPH03254047A (en) 1990-03-02 1991-11-13 Nippon Telegr & Teleph Corp <Ntt> Microwave ion gun
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
DE69226253T2 (en) * 1992-01-24 1998-12-17 Applied Materials Inc Plasma etching process and reactor for plasma processing
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP2972477B2 (en) * 1993-01-27 1999-11-08 日本電気株式会社 RF / ECR plasma etching equipment
KR100302167B1 (en) * 1993-11-05 2001-11-22 히가시 데쓰로 Plasma Treatment Equipment and Plasma Treatment Methods
KR0171060B1 (en) 1993-12-28 1999-03-30 스기야마 카즈히코 Manufacturing method of semiconductor device
JP3152829B2 (en) * 1994-01-18 2001-04-03 株式会社東芝 Method for manufacturing semiconductor device
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JPH07245296A (en) * 1994-03-07 1995-09-19 Tokyo Electron Ltd Plasma etching system
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JPH07320671A (en) * 1994-05-25 1995-12-08 Nec Kansai Ltd Ion source for ion implanting device and method for heating solid source
JP3309581B2 (en) * 1994-08-31 2002-07-29 ソニー株式会社 Dry etching method for perovskite oxide film
KR100416733B1 (en) * 1995-03-20 2004-07-05 삼성전자주식회사 FERROELECTRIC CAPACITOR WITH UPPER AND LOWER ELECTRODE MADE OF Rh
KR100322695B1 (en) * 1995-03-20 2002-05-13 윤종용 Method for manufacturing ferroelectric capacitor
US5633781A (en) * 1995-12-22 1997-05-27 International Business Machines Corporation Isolated sidewall capacitor having a compound plate electrode

Also Published As

Publication number Publication date
EP0913074A4 (en) 2003-12-03
CN1232601A (en) 1999-10-20
CN1210999C (en) 2005-07-13
CN101106074A (en) 2008-01-16
CN1549308A (en) 2004-11-24
DE69740130D1 (en) 2011-04-07
EP0913074B1 (en) 2011-02-23
US6048435A (en) 2000-04-11
WO1998001012A1 (en) 1998-01-08
KR20000023603A (en) 2000-04-25
US6410448B1 (en) 2002-06-25
JP2000516033A (en) 2000-11-28
EP0913074A1 (en) 1999-05-06
CN1549309A (en) 2004-11-24
CN100378924C (en) 2008-04-02
ATE499825T1 (en) 2011-03-15
US6190496B1 (en) 2001-02-20
KR100528733B1 (en) 2005-11-16

Similar Documents

Publication Publication Date Title
US6048435A (en) Plasma etch reactor and method for emerging films
US6905969B2 (en) Plasma etch reactor and method
US5607542A (en) Inductively enhanced reactive ion etching
US6514378B1 (en) Method for improving uniformity and reducing etch rate variation of etching polysilicon
EP0954877B1 (en) Method for reducing plasma-induced charging damage
US6486069B1 (en) Cobalt silicide etch process and apparatus
KR100564169B1 (en) Method and apparatus for etching si
US4424102A (en) Reactor for reactive ion etching and etching method
KR20010021139A (en) Method for etching polysilicon to have a smooth surface
KR100453538B1 (en) Plasma etch reactor and method
JP2002511642A (en) Apparatus and method for improving etching uniformity
US5904862A (en) Methods for etching borophosphosilicate glass
JPH05234951A (en) Plasma etching system

Legal Events

Date Code Title Description
EEER Examination request
FZDE Discontinued