CA2608065A1 - Non-volatile shadow latch using a nanotube switch - Google Patents

Non-volatile shadow latch using a nanotube switch Download PDF

Info

Publication number
CA2608065A1
CA2608065A1 CA002608065A CA2608065A CA2608065A1 CA 2608065 A1 CA2608065 A1 CA 2608065A1 CA 002608065 A CA002608065 A CA 002608065A CA 2608065 A CA2608065 A CA 2608065A CA 2608065 A1 CA2608065 A1 CA 2608065A1
Authority
CA
Canada
Prior art keywords
volatile
state
voltage
power
nonvolatile
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002608065A
Other languages
French (fr)
Inventor
Claude L. Bertin
Frank Guo
Thomas Rueckes
Steven L. Konsek
Mitchell Meinhold
Max Strasburg
Ramesh Sivarajan
X. M. Henry Huang
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Nantero Inc
Original Assignee
Nantero, Inc.
Claude L. Bertin
Frank Guo
Thomas Rueckes
Steven L. Konsek
Mitchell Meinhold
Max Strasburg
Ramesh Sivarajan
X. M. Henry Huang
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/280,599 external-priority patent/US7394687B2/en
Application filed by Nantero, Inc., Claude L. Bertin, Frank Guo, Thomas Rueckes, Steven L. Konsek, Mitchell Meinhold, Max Strasburg, Ramesh Sivarajan, X. M. Henry Huang filed Critical Nantero, Inc.
Publication of CA2608065A1 publication Critical patent/CA2608065A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C16/00Erasable programmable read-only memories
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/02Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using elements whose operation depends upon chemical change
    • G11C13/025Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using elements whose operation depends upon chemical change using fullerenes, e.g. C60, or nanotubes, e.g. carbon or silicon nanotubes
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C13/00Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00
    • G11C13/0002Digital stores characterised by the use of storage elements not covered by groups G11C11/00, G11C23/00, or G11C25/00 using resistive RAM [RRAM] elements
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C14/00Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down
    • G11C14/0054Digital stores characterised by arrangements of cells having volatile and non-volatile storage properties for back-up when the power is down in which the volatile element is a SRAM cell
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/10Resistive cells; Technology aspects
    • G11C2213/19Memory cell comprising at least a nanowire and only two terminals
    • GPHYSICS
    • G11INFORMATION STORAGE
    • G11CSTATIC STORES
    • G11C2213/00Indexing scheme relating to G11C13/00 for features not covered by this group
    • G11C2213/30Resistive cell, memory material aspects
    • G11C2213/35Material including carbon, e.g. graphite, grapheme
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S977/00Nanotechnology
    • Y10S977/902Specified use of nanostructure
    • Y10S977/932Specified use of nanostructure for electronic or optoelectronic application
    • Y10S977/943Information storage or retrieval using nanostructure

Abstract

A non- volatile memory cell includes a volatile storage device that stores a corresponding logic state in response to electrical stimulus; and a shadow memory device coupled to the volatile storage device. The shadow memory device receives and stores the corresponding logic state in response to electrical stimulus. The shadow memory device includes a non- volatile nanotube switch that stores the corresponding state of the shadow device.

Description

Non-Volatile Shadow Latch Using A Nanotube Switch Cross-Reference To Related Applications [0001] This application claims priority under 35 U.S.C. 119(e) to the following applications, the contents of which are incorporated herein in their entirety by reference:

U.S. Provisional Patent Application No. 60/679,029, filed on May 9, 2005, entitled Reversible Nanoswitch;

U.S. Provisional Patent Application No. 60/692,891, filed on June 22, 2005, entitled Reversible Nanoswitch;

U.S. Provisional Patent Application No. 60/692,918, filed on June 22, 2005, entitled NRAM Nonsuspended Reversible Nanoswitch Nanotube Array; and U.S. Provisional Patent Application No. 60/692,765, filed on June 22, 2005, entitled Embedded CNT Switch Applications For Logic.

[0002] This application is related to the following applications, the contents of which are incorporated herein in their entirety by reference:

U.S. Patent Application No. (TBA), filed on an even date herewith, entitled Two-Terminal Nanotube Devices And Systems And Methods Of Making Same; and U.S. Patent Application No. (TBA), filed on an even date herewith, entitled Memory Arrays Using Nanotube Articles With Reprogranamable Resistance.

Background Technical Field [0003] The present application is generally related to the field of latches for storing logic states and, more specifically, to nonvolatile shadow latches that use two-terininal nanotube switches.

Discussion of Related Art [0004] Volatile circuits have been and continue to be the norm in digital circuits.
In the initial development phase, bipolar circuits were universally used for analog and digital circuits. Denser and more easily integrated but slower FET-based circuits soon followed, and were introduced for low cost and low power applications such as calculators, for example, while bipolar circuits were used for high speed applications.
In order to eliminate static power dissipation present with bipolar, NMOS-only, or PMOS only chips, circuits based on complementary CMOS (combined NMOS and PMOS) devices were introduced and static power dissipation was virtually eliminated because power dissipation occurred only when circuits were switching. FET
device scaling was introduced and used successfully to approximately double the number of circuits every two years, while increasing device and circuit performance, all at lower on-chip voltages to contain power dissipation to acceptable levels.
[0005] As the number of circuits grew into the millions, bipolar power dissipation became so high that CMOS was used to replace bipolar circuits, and CMOS became the technology of choice for the semiconductor industry for logic, memory, and analog products. Because of a common CMOS technology platform for a wide variety of electronic functions (memory, digital and analog circuits), system-on-chip (SoC) integrating hundreds of millions of circuits and billions of bits became possible.
Migration to new denser technology generations enables more function per chip and is done for economic as well as performance reasons. New generations of technology (new technology nodes) result in transistor density improvements with increased current drive of device width and denser interconnect wiring. However, for sub-nm technologies, device threshold voltage scaling is increasingly difficult, resulting in high FET device OFF-state leakage currents and correspondingly high static power dissipation. Using conventional dimensional and voltage scaling is no longer sufficient for fast dense chips, SoCs for example, so that power dissipation is setting limits on the combination of speed and function per chip. At the 90 nm technology node, 25 to 50% of the total power (dynamic and static power) is due to leakage current-induced static pcjwer dissipation. Projections show that for products at the 65 nm technology node, static power dissipation will exceed dynamic (operating) power dissipation. New generations of technology are limited by power dissipation, especially static power dissipation due to poor scaling and associated high device OFF-state leakage currents. Because many applications such as PCs, cell phones, games, and others are portable and require battery operation, controlling power dissipation while enabling high speed operation is a requirement. Since power dissipation is setting limits on the combination of logic circuit size and operating speed, new chip architecture and circuit design solutions are needed in order to enable continued increases in high performance function.
[0006] One approach to power reduction by architecture and design described in U.S. Pat. No. 6,097,243, to Bertin et al., suggests an adjusting mechanism for reducing clock speeds when circuits have been inactive for a predetermined period of time to reduce dynamic power. Static power is also reduced by adjusting source-to-body voltage to increase threshold voltage and reduce associated leakage current.
While this approach can reduce power dissipation for some circuits, both dynamic and static power dissipation still remains relatively high. Actually, threshold voltage modulation to reduce power dissipation may only be used in bulk CMOS
technologies where body-regions can be modulated. SOI CMOS technology with isolated individual device body-regions cannot be modulated as described in U.S. Pat.
No.
6,097,243.
[0007] In a related approach to power reduction by architecture and design described in Bertin et al. U.S. Pat. No. 6,097,241, where activity detection circuits monitor input circuit activity at the first logic stage and increase the speed of circuits in subsequent stages in order to enable high speed operation. Modulating device threshold voltage is required as well, with the associated limitations described further above with respect to U.S. Pat. No. 6,097,243.
[0008] In still another related approach to power reduction by architecture and design is described in U.S. Pat. No. 6,345,362, to Bertin et al., where plural on-chip functional units at different power levels are matched to instructions requiring various speeds using an on-chip control processor unit and on-chip power management unit to optimize chip power performance. Operating power and associated speed of each functional unit is adjusted by threshold voltage variation with the associated limitations as described further above with respect to U.S. Pat. No.
6,097,243.
[0009] A different approach to power reduction by architecture and design is described in U.S. Pat. No. 6,625,740, to Datar et al., where instructions are examined and code is rearranged such that circuits not required for a group of instructions are turned OFF. Circuit groups are turned ON as needed to process various instructions.
In the example given, circuits are assumed to require 10 clock cycles to be in the OFF
state, and 10 cycles to be restored to the full power state. Both dynamic and static power are reduced in those circuits where power is turned off, however, data is not retained in registers during power OFF and will be lost unless transferred to memory at power-off and transferred back at power-on.
[0010] A still different approach to power reduction by architecture and design is described in U.S. Pat. No. 6,658,634, to Goodnow et al., where logic is designed to ensure critical logic nets contain associated registers, and logic synthesis software is used to ensure that the clock can be selectively stopped and last data retained in registers in logic stages that are not required for particular sequences of instructions.
While this method reduces dynamic power dissipation, static power dissipation remains high due to leakage currents.
[0011] In U.S. Pat. No. 5,986,962, to Bertin et al., power reduction is achieved by architecture and design such that each register (latch) has a corresponding shadow register (latch) designed (optimized) for low power retention (low leakage current CMOS devices). The state of the system is transferred to the shadow latches upon a transition to a low power mode, and power is removed from logic circuits in portions of the chip, or the entire chip. The logic state is restored to each register when power is restored. While this method significantly reduces both dynamic and static power, and in fact eliminates all power dissipation except for the low power shadow registers if the entire chip is turned OFF, the shadow registers introduce significant problems of their own. First, low power dissipation registers (latches) are sensitive to alpha parti: les and data integrity is an issue. Radiation hardening techniques could be applied to the latches, but some technology changes may be required. Second, static power is still dissipated in the low power shadow latches. Also, adding a low power shadow latch for each high performance latch significantly increases chip area which impacts chip design and reduces the number of chips per wafer, which in turn increases chip cost.
[0012] Highly integrated products with a wide variety of circuit functions such as high logic and memory content, system-on-chip (SoC) architecture for example, are an important part of current semiconductor industry design practices. Highly integrated product designs using bulk or SOI CMOS technologies are especially important for portable battery-operated systems that require a high level of integration and the mixed data and signal processing that SoC devices offer. Product requirements, especially in consumer applications, are subject to change as the design progresses. As a result, designs often utilize a combination of disparate elements including embedded, programmable logic functions such as general purpose (usually RISC architecture) embedded microprocessor cores, embedded DSPs, embedded ASIC designs (eASIC), embedded FPGAs, embedded memory, and other functions.
Time-to-market with the desired product functions is vital to product success, so that typically there is insufficient time to optimize function for maximum performance at minimum total power dissipation using a more customized approach such as an optimized ASIC design, for example. Instead, designs must include programmable logic functions that dissipate more power than optimized designs in order to allow for flexibility in modifying product function near the end of the design cycle, and servicing multiple applications for economic reasons.
[0013] Migration to new denser technology generations enables more function per chip and is done for economic as well as performance reasons. New generations of technology (new technology nodes) result in transistor density improvements with increased current drive of device width and denser interconnect wiring.
However, for sub-150 nm technologies, device threshold voltage scaling is increasingly difficult, resulting in high FET device OFF-state leakage currents and correspondingly high static power dissipation.
[0014] Figure 1 shows normalized power dissipation as a function of technology node (and corresponding year). The source of figure 1 is the IEEE Computer Society, December 2003. Technology nodes are presented in terms of minimum feature size and associated gate length. Static power grows exponentially as dimensions shrink, while dynamic (switching) power grows at a modest rate. At the 90 nm technology node, 25 to 50% of the total power (dynamic and static power) is due to leakage current-induced static power dissipation. Projections show that for products at the 65 nm technology node, static power dissipation may exceed dynamic (operating) power dissipation. New generations of technology are limited by power dissipation, especially static power dissipation due to poor scaling and resulting high device OFF-state leakage currents. Using conventional dimensional and voltage scaling is no longer sufficient for fast dense chips, SoCs for example, so that power dissipation is setting limits on the combination of speed and function per chip. Because many applications such as PCs, cell phones, games, and others are portable and require battery operation, controlling power dissipation through chip architecture and circuit design is a requirement. However, even in non-portable applications such as workstations and servers, power dissipation limitations caused by poor CMOS
technology scaling is limiting operating speeds and requiring power management architectures.
[0015] In order to successfully incorporate power management in highly integrated product designs, it is important to understand circuit design efficiency with respect to power dissipation. Figure 2 shows the energy (pico-Joules) per operation required to implement a 32-bit operation for various logic design approaches.
Programmable logic, the most flexible and versatile, is the least power efficient, requiring 2,000 pJ for a PC/Workstation, and 200 pJ for a RISC architecture microprocessor. By contrast, ASIC, the least flexible design approach is the most power effective dissipating only 2 pJ for the same logic function. DSPs are also quite efficient at 60 pJ because they are typically used as an accelerating digital signal processing function to perform specific digital signal processing tasks. The source of figure 2 is from a presentation by Bill Dally entitled, "Low-Power Architecture."
[0016] The energy required for various operations is dominated by bandwidth.
Figure 3 illustrates the energy required for register, ALU, and OCD 32-bit operations as well as reading from memory and transferring 32 bits across a chip (100 pJ). The relatively high energy (100 pJ) associated with driving long distance (10 nun) on chip interconnections is a consequence of wiring non-scalability and increasing chip size.
The source of figure 3 is Bill Dally, International Symposium on High-Performance Computer Architecture, 2002.
[0017] If present single processor chip architectures and design methodologies were left unchanged, then power dissipation and latency associated with on-chip interconnection of logic and memory functions would become a dominant factor resulting in power-limited chip performance. Actually, chip architecture has responded and multiple, simple processors, distributed register files, explicit managed local memory, enhanced floor planning for more optimum placement, and other innovations have prevented on-chip interconnections to become a dominant power/performance limiting factor.
[0018] With these new evolving chip architectures and design methodologies, limitations to chip performance are primarily due to embedded logic and memory functions as has always been the case. However, these embedded circuits are increasingly difficult to scale as described further above, and static power dissipation is beginning to set performance on chip operation.
[0019] Static power in CMOS circuits is present even when no switching takes place. It is due to leakage current that flows because of poorly scaled device threshold voltages and operating voltages. Static power is reduced only by reducing voltages, preferably reducing the voltages in temporarily unused circuits to zero (selectively removing applied voltages from these circuits).
[0020] High speed chip design often uses logic design techniques referred to as concurrent operation. These techniques are pipelining and parallelism, in which logic function is divided into smaller pieces (sub blocks), called stages, such that the rate at which instructions are executed improves because many operations are executed at the same time. Concurrent logic design techniques are described in more detail in the following references: H.B. Bakoglu, "Circuits, Interconnections, and Packaging for VLSI", Addison-Wesley Publishing Company, Inc, 1990, pp. 412-416; and David T.
Wang, "Revisiting the F04 Metric."
[0021] An important aspect of concurrent logic operations is that the start of an instruction does not wait for previous ones to be completed. In this way, all portions of the hardware are utilized every cycle, making best use of available logic and increasing machine throughput. Dependencies between instructions prevent logic performance from achieving maximum possible performance; however instruction optimization is used to achieve faster performance using, for example, the pipelining technique.
[0022] The pipelining technique, for example, uses random logic blocks divided (separated) by registers (also referred to as register files, register banks, pipeline latches, or latches) that result in a substantially higher speed of operation;
that is, pipelining used to improve the execution rate. Logic is divided into roughly equal smaller pieces, called stages, and a bank of registers (latches) is inserted to hold temporary values (logic states) at the interface of the logic stages. The logic clock frequency may then be iricreased to a level that is proportional to the inverse of the sum of the longest delay of the logic stages plus the latch delay overhead.
Examples of logic stages, registers (single-latch and double-latch designs), and clocking are given in the H. B. Bakoglu reference book described further above, pp. 338-349.
Examples of register (latch) design are given in the H.B. Bakoglu reference book, pp.
349-355. Designs are increasing the number of registers and decreasing the logic stage delay. By way of example, the number of registers (latches) used in the IBM
750 power PC chip is about 10,000 registers. The next generation power PC
design, the IBM 970, uses about 300,000 registers.

Design Using Volatile Registers (Latches) [0023] Power dissipation is an important consideration because it often sets the maximum performance limit of the logic function as discussed further above with respect to figures 1-3. Presently, logic states are temporarily stored in volatile register latches. However, introducing nonvolatile registers having a dedicated nanotube device per register enables logic states to be saved with no applied voltage, that is zero power dissipation in portions (or all) cf the integrated circuit in order to reduce power dissipation, enabling other logic blocks to consume more power and run faster as needed, and other advantages discussed further below.
[0024] In addition to the performance benefits of dividing random logic into smaller blocks, there is a testing benefit as well. Logic testing requires that each logic node be switched to both "ONE" and "ZERO" logic states. Chips with a large number of gates, tens and hundreds of millions, for example, cannot be tested efficiently unless the logic is subdivided into smaller stages (blocks). Smaller logic stages separated by latches enable logic testability to reach 98 to 99%, for example.
The registers described herein may also be interconnected serially for test purposes. Logic test patterns (test vectors) are applied, and logic response is measured in order to identify and eliminate defective chips as is well known in the industry. The following references discuss design for logic testability: H Fujiwara, "Logic Design and Design for Testability", Cambridge, MA, the MIT press, 1985, pp. 238, 256-259; and P.H.
Bardel, W. H. McAnney, and J. Savir, "Built-In Test for VLSI: Pseudorandom Techniques", New York, NY, John Wiley & Sons, 1987, pp.38-43.
[0025] A number of different register file circuit designs are possible (see Bakoglu above). For example, a clocked synchronous register file stage circuit design may use a master latch stage circuit and a slave latch stage circuit with two non-overlapping clocks such as CLK1 and CLK2 illustrated in figure 4A.
Alternatively, a clocked synchronous register file stage circuit design may use a master latch stage circuit and a slave latch stage circuit with a single clock such as CLK (and its complement CLKb) as illustrated in figure 4B and described further below.
[0026] Figure 4A illustrates prior art pipelined synchronous logic function 5 using two nonoverlapping clocks CLKl and CLK2, including logic stages 10 and 14 (and others not shown) separated by registers 7, 12, 18 (and other registers not shown) designed for state-of-the-art high speed operation. Exemplary register 12 is composed of a master (L1) latch 20 and a slave (L2) latch 25. Master (L1) latch 20 is composed of register cells 1-n and slave (L2) latch 25 is composed of cells 1'-n'. A
register stage is composed a corresponding pair of register cells, such as register stage 16 composed of corresponding register cells k and k'. It is important to note that logic stages 10 and 14 may be composed of random logic stages, for : xample, or may be an onboard cache such as a high speed Sync SRAM Ll cache, for example. A master (Ll) latch such as master (Ll) latch 20 accepts data from preceding logic stage 10 when activated by clock CLK1, captures and holds the input data. A slave (L2) latch such as slave (L2) latch 25 accepts information from a corresponding master (L1) latch 20 when activated by clock CLK2, transmits the information to the next logic stage 14, and then latches the information near the end of the CLK2 clock cycle.
[0027] Figure 4B illustrates prior art pipelined synchronous logic function 40 using a single clock CLK, including logic stages 50 and 60 (and others not shown) separated by registers 45, 55, 65 (and other registers not shown) designed for state-of-the-art high speed operation. Exemplary register 55 is composed of a master (L1) latch 70 and a slave (L2) latch 75. Master (Ll) latch 70 is composed of register cells 1-n and slave (L2) latch 75 is composed of cells 1'-n'. A register stage is composed a corresponding pair of register cells, such as register stage 80 composed of corresponding register cells k and k'. It is important to note that logic stages 50 and 60 may be composed of random logic stages, for example, or may be an oinboard cache such as a high speed Sync SRAM L1 cache, for example. A master (L1) latch such as master (Ll) latch 70 accepts data from preceding logic stage 50 during the first half of the clock CLK cycle time, captures and holds the input data, and also transfers the data to the slave (L2) latch at the beginning of the second half of the clock cycle. A slave (L2) latch such as slave (L2) latch 75 accepts information from a corresponding master (L1) latch 70 at the beginning of the second half of the clock CLK cycle time, transmits the data to the next logic stage 60, and then latches the data near the end of the second half of the clock CLK cycle time.
[0028] The electrical characteristics of state of the art PC chips, e.g. the power PC chip used in Apple computers and SONY Playstations, illustrate the relationship between operating speed and dynamic and static power dissipation in high speed synchronized logic chips using two non overlapping clocks design.
The lBM 970 chip operates at 1.3 volts, is designed at the 130 nm technology node using an SOI CMOS technology with copper wiring, and includes an on-board L1 Sync SRAM cache of 1 megabit, an on-board L2 Sync SRAM cache of 4 megabits, and a double-latch design with ;ion-overlapping clocks CLK1 and CLK2 (similar in approach to synchronous logic function 5 of figure 4A) operating at approximately 3 GHz clock frequency.
[0029] In operation, at a clock periodicity of approximately 340 ps, a master latch has approximately 170 ps to accept data from a preceding logic stage, capture (latch) the data, and have the data ready for the slave latch. A slave latch has approximately 170 ps to accept data from a corresponding master latch, transmit the information to the next logic stage, and then latch the information.
[0030] The IBM 970 chip has a dynamic (active) power dissipation of approximately 90 watts and static (standby) power dissipation due to device leakage of 25 watts; static power is approximately 28% of the active power dissipation.
Figure 5 illustrates prior art IBM 970 power PC relative dynamic (active) and static (standby) power plotted at the 130 nm technology node point on prior art figure 1 which illustrates projected relative dynamic and static power based on CMOS
device scaling that includes the increasing impact of device leakage current on static power due to less-than-ideal threshold voltage and corresponding power supply scaling. The state-of-the-art IBM 970 power PC chip relative power dissipation values indicate that the static power problem is at least as significant as indicated in figures 1 and 5, and that as more advanced technology nodes are developed, the static power dissipation may become dominant unless architecture and circuit design means are used to prevent it.
[0031] Figure 6 illustrates prior art register file stage circuit 500 which corresponds to register stage 80 illustrated in figure 4B. A description of register file design and operation may found in the reference H.B. Bakoglu, "Circuits, Interconnections, and Packaging for VLSI", Addison-Wesley Publishing Company, Inc, 1990, pp. 349-356. Prior art register file stage circuit 500 includes a master latch stage circuit 505 and a slave latch stage circuit 510, all operating in synchronous (clocked) mode and all are volatile. That is, stored data is lost if power is lost or removed. Master latch stage circuit 505 has input node 515 and output node 520.
Slave latch stage circuit 510 has input node 520, which is also the output node of master latch stage circuit 505, and output node 525. Node 520 is also a storage node of slave latch stage circuit 510.
[0032] Input node 515 of master latch stage circuit 505 receives input signal VIN
and drives CMOS transfer gate 530, which is connected to node 535, and drives a first storage node 535 formed by cross coupled CMOS inverters 545 and 550. Input signal VIN corresponds to VIN from logic 50 in figure 4B. CMOS transfer gate 530 uses both NMOS and PMOS devices instead of an NMOS-only transfer gate, for example, to ensure that both logic "1" and logic "0" states transition between full power supply and ground voltage levels by eliminating device threshold voltage drops. Clock CLK
540, and complimentary clock CLKb 540' are used to enable or block input signal VIN
on input node 515 from driving node 535 by turning CMOS transfer gate 530 ON
and OFF, thereby determining the logic storage state of cross coupled CMOS
inverters 545 and 550. Note that all inverters are CMOS inverters unless otherwise specified.
CMOS inverters include a PMOS pull-up device connected to a power supply, and a NMOS pull-down device connected to ground and operates as discussed in the reference by H.B. Bakoglu, "Circuits, Interconnections, and Packaging for VLSI", Addison-Wesley Publishing Company, Inc, 1990, pp. 152. Cross coupled inverters 545 and 550 drive a storage node 555 which is connected to CMOS transfer gate 560.
Clock CLK and complimentary clock CLKb are used to enable or block stored logic state node 555 from driving master latch stage circuit 505 output node 520 by turning CMOS transfer gate 560 ON and OFF.
[0033] Input node 520 of slave latch stage circuit 510, which is also the output node of master latch stage circuit 505, drives inverter 570. The output of inverter 570 output VOUT on output node 525, and also drives the input of inverter 575.
Output signal VOUT corresponds to VOUT in figure 4B, which drives an input to logic 60. The output 580 of inverter 575 is connected to CMOS transfer gate 585. Clock CLK, and complimentary clock CLKb are used to enable or block the presence of a feedback loop that cross couples inverters 570 and 575 when enabled. When storing data, CMOS transfer gate 585 is ON and inverters 570 and 575 form a cross coupled storage device with node 520 acting as a storage node. When CMOS transfer gate is OFF, then inverters 570 and 575 are not cross coupled and do not form a storage device.
[0034] In operation, a clocking scheme such as illustrated in figure 4B is used to synchronize the operation of double-latch design 40 illustrated in figure 4B.
Register stage 80 includes cell k, a subset of master (Ll) latch 70 and cell k', a subset of slave (L2) latch 75.
[0035] A master (Ll) latch such as master (Ll) latch 70 accepts data from a preceding logic stage 50 during the first half of the clock cycle time, captures and holds the data, and also transfers the information to a slave (L2) latch such as slave (L2) latch 75 at the beginning of the second half of the clock cycle time. A
slave (L2) latch such as slave (L2) latch 75 accepts information from a corresponding master (L1) latch 70 at the beginning of the second half of the clock cycle time, transmits the information to the next logic stage 60, and latches the information before the end of the second half of the clock cycle time. If the clock is stopped during the first half of the clock cycle, then master (Ll) latch 70 holds (stores) a logic state or data. If the clock is stopped during the second half of the clock cycle, then slave (L2) latch holds (or stores) a logic state or data. If power is removed or lost, the logic state or data are lost.
[0036] Figure 6 illustrates prior art master latch stage circuit 505 corresponding to cell k of register file stage 80 of master (Li) latch 70 illustrated in figure 4B, and slave latch stage circuit 510 corresponding to cell k' of register file stage 80 of slave (L2) latch 75 illustrated in figure 4B.
[0037] In operation, at the beginning of a clock cycle, clock CLK 540 transitions from high to low voltage and remains at low voltage for the first half the clock cycle, and complimentary clock CLKb 540' transitions from low to high voltage and remains at high voltage for the first half of the clock cycle. CMOS transfer device 530 turns ON coupling input node 515 voltage VIN to storage node 535. CMOS
transfer device 560 turns OFF and isolates the output of master latch stage circuit 505 from the input node 520 of slave latch stage circuit 510. CMOS transfer device 585 also turns OFF breaking the feedback path between the output 580 of inverter 575 and the input 520 of inverter 570 such that node 520 does not act as a storage node.
Voltage VIN may transition to a voltage value corresponding to the correct logic state any time prior to the end of the first l::alf of the clock cycle, providing sufficient time remains for cross coupled inverters 545 and 550 to store the corresponding logic state prior to clock transition at the beginning of the second half of the clock cycle.
[0038] Clock CLK 540 transitions from low to high voltage and remains at high voltage at the beginning of the second half of the clock cycle, and complimentary clock CLKb 540' transitions from high to low voltage and remains at low voltage for the second half of the clock cycle. CMOS transfer device 530 turns OFF
decoupling input node 515 voltage VIN from storage node 535, which remains in a state corresponding to input voltage VIN at the end of the first half of the clock cycle.
CMOS transfer device 560 turns ON and transfers the state of storage node 555 to input 520 of inverter 570 that drives output node 525 to output voltage VOUT, and also drives the input of inverter 575. CMOS transfer device 585 turns ON which enables output 180 of inverter 575 to drive the input of inverter 570 and store the state of slave latch state stage circuit 510 until the end of the second stage of the clock cycle.
[0039] In U.S. Pat. No. 5,986,962, to Bertin et al., volatile low power shadow latches hold register file logic states or data so that volatile high performance register file power may be turned OFF to reduce static power dissipation as described above.
However, volatile low power shadow latches must remain ON and therefore still dissipate power while storing logic states or data in backup mode because the storage is volatile, and information is lost if power is lost. Furthermore, volatile low power dissipating shadow latches use low bias current to minimize static power and are therefore very susceptible to disturb, in which stored logic states or data may be lost or corrupted. This may occur due to power supply noise, on-chip switching noise, alpha particle or other radiation disturb, for example. Also, shadow latches require additional chip area that can substantially increase chip size.
[0040] Figure 7 illustrates prior art subsystem 700 with two modes of operation, a normal run mode and a low power logic state (or data) retention mode. In the normal run mode, volatile high performance and corresponding high active power logic operations are executed using high performance system latches. In the low power logic state (or data) retention mode, logic state or data is stored in low power shadow latches. Volatile means that logic state or data i::formation is lost is power is lost or removed.
[0041] Figure 7 illustrates a plurality of prior art volatile system latches 710, 710', and 710" coupled to related volatile shadow latch circuits 720, 270', and 720"
by dedicated coupling circuits 730, 730', and 730". System latches may also be referred to as a latch circuit or as a register file or register file circuit, for example.
The system or latch circuits are powered from VDD supplied by switch S 1, which comes from power source P. The shadow latch circuits are powered from supply VMs supplied by switch S2, which comes also comes from power source P. However, switches S1 and S2 may get power from different sources instead. A detector D
is used to detect a request for low power, which may come from a low power interrupt pin (not shown), or by monitoring an op code stream ST for a code calling for low power as shown in figure 7. When detector D detects an op code (or interrupt pin) calling for low power or standby mode, detector D energizes its output resulting in two effects. One effect is to enable switch S 1 to provide power from voltage supply VI,,IS. A second effect is to activate switch S2, after a time delay between detector D
transition and switch S2 activation, to disable the VDD power supply to the latch circuits. A time delay is introduced to ensure that shadow latches 720, 720', and 720"
are enabled by the time latch circuits are de-powered. Volatile shadow latches 720, 720', and 720" remain powered at voltage VMS until the reduced power mode has ended, and may be de-powered only after the stored logic state or data is transfer to volatile system latches 710, 710', and 710".

Summary [0042] The present invention provides a non-volatile shadow latch using a nanotube switch.
[0043] Under one aspect, a non-volatile memory cell includes a volatile storage device that stores a corresponding logic state in response to electrical stimulus, and a shadow memory device coupled to the volatile storage device so as to receive and store the corresponding logic state in response to electrical stimulus. The shadow memory device includes a non-volatile nanotube switch, wherein said nanotube switch s': ores the corresponding state of the shadow device. Under anothcr aspect, the non-volatile nanotube switch includes a two terminal nanotube switch.
[0044] Under another aspect, the non-volatile memory cell further includes a coupling circuit capable of transferring the corresponding logic state of the volatile storage device to the shadow memory device in response to electrical stimulus, and also capable of transferring a logic state of the shadow memory device to the volatile storage devicF: in response to electrical stimulus.
[0045] Under another aspect, the non-volatile memory cell further includes a coupling circuit which includes a program circuit providing an electrical pathway between the volatile storage device and the shadow memory device and responsive to a program signal to transfer a corresponding logic state of the volatile storage device to the shadow memory device; and a restore circuit providing an electrical pathway between the shadow memory device and the volatile storage device and responsive to a restore signal to transfer a logic state of the shadow memory device to the volatile storage device.
[0046] Under another aspect, the non-volatile memory cell further includes a coupling circuit which includes an erase circuit in electrical communication with the shadow memory device and responsive to an erase signal to erase a logic state of the shadow memory device.
[0047] Under another aspect, a first terminal of the nanotube switch is in electrical communication with an output node of the volatile storage device, and a second terminal of the nanotube switch is in electrical communication with a program/erase/read line.
[0048] Under another aspect, the non-volatile memory cell includes a controller in electrical communication with the volatile storage device and capable of monitoring a level of power to the volatile storage device. Under another aspect the controller is capable of applying electrical stimulus to the shadow meniory device in response to a loss of power to the volatile storage device. The electrical stimulus transfers the logic state of the volatile storage dc.vice to the shadow memory device.
[0049] Under another aspect, the controller is capable of applying electrical stimulus to the shadow memory device in response to an increase of power to the volatile storage device. The electrical stimulus transfers the logic state of the shadow memory device to the volatile storage device.
[0050] Under another aspect, the state stored by the non-volatile nanoswitch is characterized by the resistance of an electrical pathway in the nanoswitch.
[0051] Under another aspect, the non-volatile memory cell includes a master latch stage capable of receiving a voltage and outputting that voltage to the volatile storage device. The voltage corresponds to a logic state. Under another aspect, a random logic stage produces the voltage corresponding to the logic state. Under another aspect, an onboard cache produces the voltage corresponding to the logic state.

Brief Description of the Drawings [0052] In the Drawing:

Figure 1 is a prior art representation of chip dynamic and static normalized power dissipation as a function of technology node, minimum gate length, and year;
Figure 2 is a prior art representation of the relative energy efficiency of various logic design approaches;

Figure 3 is a prior art representation of the relative energy efficiency of various logic operations;

Figure 4A is a prior art schematic representation of a clocked logic function using two nonoverlapping clocks and volatile master and slave latches;

Figure 4B is a prior art schematic representation of a clocked logic function using one clock and volatile master and slave latches;

Figure 5 is a prior art representation of the normalized power dissipation of the IBM 9701ogic chip designed at the 130 nm technology node superimposed on figure 1;

Figure 6 is a prior art schematic of a register file stage circuit;

Figure 7 is a prior art schematic representation of system latches coupled to low power shadow latches by coupling circuits, and an associated power supply;
Figure 8A is a schematic representation of system latches coupled to nonvolatile nanotube switches by coupling circuits, and an associated power supply according to certain embodiments of the invention;

Figure 8B is a schematic representation of system latches coupled directly to nonvolatile nanotube switches, and an associated power supply according to certain embodiments of the invention;

Figures 9A and 9B are cross-sectional representations of certain embodiments of non-volatile two-terminal nanotube switches.

Figure 10 is a schematic representation of a clocked logic function using one clock, volatile master latches, and nonvolatile slave latches according to certain embodiments of the invention;

Figure 11A is a schematic representation of a nonvolatile register file stage including a coupling circuit and non-volatile nanotube switch according to certain embodiments of the invention;

Figure 11B is a schematic representation of a nonvolatile register file stage including a non-volatile nanotube switch according to certain embodiments of the invention;

Figure 12A is a circuit schematic representation of a nonvolatile register file stage circuit including coupling circuit and nonvolatile nanotube storage element according to certain embodiments of the invention;

Figure 12B is an illustration of operational waveforms for a power-ON to power-OFF transition in which a logic state (or data) on a volatile slave latch state circuit is transferred to a nonvolatile nanotube switch, then followed by power-OFF
according to certain embodiments of the invention;

Figure 12C is an illustration of operation waveforms for a power-OFF to power-ON transition in which a logic state (or data) stored on a nonvolatile nanotube switch is transferred to a volatile slave latch state circuit, then followed by normal clocked operation according to certain embodiments of the invention;

Figure 13A is a circuit schematic representation of a nonvolatile register file stage circuit including coupling circuit and nonvolatile nanotube storage element according to certain embodiments of the invention;

Figure 13B is an illustration of operational waveforms for a power-ON to power-OFF transition in which a logic state (or data) on a volatile slave latch state circuit is transferred to a nonvolatile nanotube switch, then followed by power-OFF
according to certain embodiments of the invention;

Figure 13C is an illustration of operation waveforms for a power-OFF to power-ON transition in which a logic state (or data) stored on a nonvolatile nanotube switch is transferred to a volatile slave latch state circuit, then followed by normal clocked operation according to certain embodiments of the invention;

Figure 14A is a circuit schematic representation of a nonvolatile register file stage circuit including nonvolatile nanotube storage element according to certain embodiments of the invention;

Figure 14B is a circuit schematic representation of an inverter that forms part of a nonvolatile register file stage circuit, where the inverter controls the state of a common node which includes the inverter output and one terminal of a nonvolatile nanotube switch, and the inverter input is at the same voltage as the nonvolatile register file stage circuit output;

Figure 14C is an illustration of operational waveforms for a power-ON to power-OFF transition in which a logic state (or data) on a volatile slave latch state circuit is transferred to a nonvolatile nanotube switch, then followed by power-OFF
according to certain embodiments of the invention;

Figure 14D is an illustration of operation waveforms for a power-OFF to power-ON transition in which a logic state (or data) stored on a nonvolatile nanotube switch is transferred to a volatile slave latch state circuit, then followed by normal clocked operation according to certain embodiments of the invention;

Figure 15 is a prior art ,chematic representation of a high voltage power supply and decode circuit;

Figure 16 is a prior art schematic representation of a high voltage compatible semiconductor technology; and Figure 17 is a schematic representation of a high voltage decode and distribution system for nonvolatile nanotube switches according to certain embodiments of the invention.

Detailed Description [0053] Preferred embodiments of the present invention provide non-volatile shadow elements that include nanotube switches. In general, the non-volatile shadow elements are coupled to corresponding system volatile latches, also referred to as register file latches. In some embodiments, the shadow elements are coupled to corresponding system latches by coupling circuits. In other embodiments, the shadow elements are directly coupled to corresponding system latches. In general, the state of a system latch is transferred to a shadow element when the power is turned off to that latch. Accordingly, power may be turned off for an entire chip, or selectively turned off for one or more portions of a chip, and information in each system latch will be transferred to the corresponding shadow element. Then, when power is restored to the latch, the state stored in the shadow element will be transferred back to the corresponding system latch. This enables power to be turned OFF while saving critical data, and restoring operation of chip sub-functions as power is restored.
[0054] In preferred embodiments, the nonvolatile nanotube switches can be fabricated with processes that integrate well with existing CMOS technologies.
In preferred embodiments, the nanotube switches in the non-volatile shadow elements include a nanotube article, which is in electrical communication with each of two conductive terminals. The nanotube article includes at least one nanotube. By applying appropriate electrical stimuli to at least one of the conductive terminals, the electrical resistance of the nanotube article between the two conductive terminals can be reprogrammably changed between a relatively high resistance, and a relatively low resistance. The relative resistance of the nanotube article characterizes the logical state stored in the non-volatile shadow element. The state is non-volatile, allowing the logical state to be stored (indefinitely) with zero power dissipation.
Although in the described embodiments nanotube switches with two terminals are used, in general other kinds of nanotube switches can also be used.
[0055]

Design Using Non-Volatile Register Files [0056] Non-volatile nanotube switches can be used in embodiments of shadow storage devices that are nonvolatile (holds information when power is turned OFF), and are tolerant of harsh environments such as high temperature and high radiation levels. Further, non-volatile nanotube switches can be integrated easily with any CMOS process such as bulk CMOS or SOI CMOS, and require relatively little additional chip area to implement. The use of nonvolatile nanotube switches in the design of embodiments of nonvolatile register files is described further below.
Nonvolatile register files have two modes of operation, a normal run mode, and a zero power dissipation logic state (or data) retention mode.
[0057] Figure 8A illustrates one embodiment of a nonvolatile shadow latch subsystem 800 with two modes of operation, a normal run mode and a zero power logic state (or data) nonvolatile retention mode in which power is disconnected. In the normal run mode, volatile high performance high active power mode logic operations are executed using high performance latches. In the zero power logic state (or data) nonvolatile ret:ntion mode, logic state or data is stored in nonvolatile nanotube switches that are tolerant of harsh environments such as high temperatures and high levels of radiation, and power is disconnected.
[0058] Figure 8A illustrates a plurality of latches, also referred to as register file latches 810, 810', and 810", coupled to related nonvolatile nanotube switches 820, 820', and 820" by dedicated coupling circuits 830, 830', and 830". The register file latches are powerecl! from power source 870 with VDD supplied by switch 850, whieh comes from power supply 855. The nonvolatile nanotube switches are powered from power source 870 with erase/program/restore pulse VEPR supplied by switch 840, which comes from the same power supply 855. It is not required that switches and 850 receive power from the same power supply 855. Erase/program/restore pulse VEPR may be one, or several pulses applied to nonvolatile nanotube switches 820, 820', and 820" in order to store the state latches 810, 810', and 810" in a nonvolatile mode. Power controller 860 monitors the switching of power switch 840 and 850 to ensure sufficient time for transfer of logic state or data from the register file latches to the nonvolatile nanotube switches. At this point, power supply VDD is de-powered and erase/program/restore pulse VEPR is de-powered such that the logic state or data remains stored by nonvolatile nanotube switches 820, 820', and 820" with zero power dissipation.
[0059] Figure 8B illustrates another embodiment of a nonvolatile shadow latch subsystem 800' with two modes of operation, a normal run mode and a zero power logic state (or data) nonvolatile retention mode in which power is disconnected. In the normal run mode, volatile high performance high active power mode logic operations are executed using high performance latches. In the zero power logic state (or data) nonvolatile retention mode, logic state or data is stored in nonvolatile nanotube switches that are tolerant of harsh environments such as high temperatures and high levels of radiation, and power is disconnected.
[0060] Figure 8B illustrates a plurality of latches, also referred to as register file latches 811, 811', and 811", coupled directly to related nonvolatile nanotube switches 821, 821', and 821". The register file latches are powered from power source with VDD supplied by switch 851, which comes from power supply 856. The nonvolatile nanotube switches are powered from power source 871 with erase/program/restore pulse VEPR supplied by switch 841, which comes from the same power supply 856. It is not required that switches 841 and 851 receive power from the same power supply 856. Erase/program/restore pulse VEPR may be one, or several pulses applied to nonvolatile nanotube switches 821, 821', and 821" in order to store the state latches 811, 811', and 811" ir$ a nonvolatile mode. Power controller monitors the switching of power switch 841 and 851 to ensure sufficient time for transfer of logic state or data from the register file latches to the nonvolatile nanotube switches. At this point, power supply VDD is de-powered and erase/program/restore pulse VEPR is de-powered such that the logic state or data remains stored by nonvolatile nanotube switches 821, 821', and 821" with zero power dissipation.
Non-Volatile Nanotube Switch [0061] Embodiments of non-volatile two-terminal nanotube switches that can be included in the described shadow latches are described in U.S. Patent Application No.
(TBA), entitled "Two-Terminal Nanotube Devices And Systems And Methods Of Making Same," filed on an even date herewith and having a common assignee as the present application, the contents of which are incorporated herein in their entirety by reference. Associated structures using the switches, along with electrical characteristics, methods of fabricating, and methods of integrating the switches with existing semiconductor technology are described.
[0062] Figure 9A illustrates a cross sectional representation of a nonvolatile terminal nanotube switch (2-TNS) 10. Nanotube element 25 is disposed on substrate 35, which includes a layer of insulator 30. Nanotube element 25 at least partially overlaps two terminals, e.g., conductive elements 15 and 20, which are both deposited directly onto nanotube element 25. In this embodiment, nanotube element 25 is patterned within a region that can be defined before or after deposition of conductive elements 15 and/or 20.
[0063] Conductive elements 15 and 20 are in contact with stimulus circuit 50.
Stimulus circuit 50 electrically stimulates at least one of conductive elements 15 and 20, which changes the state of switch 10. More specifically, nanotube element responds to the simulation by changing the resistance of switch 10 between conductive elements 15 and 20; the relative value of the resistance corresponds to the state of the switch. For example, if stimulus circuit 50 applies a first electrical stimulus, which may be for example a relatively high voltage and a current across conductive elements 15 and 20, then nanotube element 25 responds by changing the resistance of the device between conductive elements 15 and 20 to a relatively high resistance. This corresponds to an "erased" or "off' state of the device, where electrical conduction is relatively poor between conductive elements 15 and 20. The impedance between elements 15 and 20 may also be relatively high in this state. For example, if stimulus circuit 50 applies a second electrical stimulus, which may be for example a relatively low voltage and a current across conductive elements 15 and 20, then nanotube element 25 responds by changing the resistance of the switch between conductive elements 15 and 20 to a relatively low resistance. This corresponds to a "programmed" or "on" state of the device, where electrical conduction is relatively good, or even near-ohmic, between conductive elements 15 and 20. The impedance between elements 15 and 20 may also be relatively low in this state. The "erase"
current associated with the relatively high "erase" voltage may be greater than or less than the "program" current associated with the relatively low "program"
voltage.
"Erase" and "program" currents are typically in the in the nano-Ampere or micro-Ampere range, and are determined by geometry and material selection of the nonvolatile two-terminal nanotube switch. In general, the resistance as well as the impedance between the first and second conductive elements of the device is a function of the state of the device, and can be determined by measuring electrical characteristics of the switch.
[0064] Conductive elements 15 and 20 are preferably made of a conductive material, and can be the same or different material depending on the desired performance characteristics of switch 10. Conductive elements 15 and 20 can, for example, be composed of metals such as Ru, Ti, Cr, Al, Au, Pd, Ni, W, Cu, Mo, Ag, In, Ir, Pb, Sn, as well as other suitable metals, and combinations of these.
Metal alloys su.:h as TiAu, TiCu, TiPd, PbIn, and TiW, other suitable conductorr,, including CNTs themselves (single walled, multiwalled, and/or double walled, for example), or conductive nitrides, oxides, or silicides such as RuN, RuO, TiN, TaN, CoSiX
and TiSiX
may be used. Other kinds of conductor, or semiconductor, materials can also be used.
Insulator 30 is preferably a suitable insulative material, for example Si02, SiN, A12O3, BeO, GaAs, polyimide, or other suitable material. Examples of conductive and insulative materials that can be used in 2-TNS 10 are described in greater detail in U.S. Patent Appln. No. (TBA), entitled "Two Terminal Nanotube Devices and Systems and Methods of Making Same," filed on an even date herewith.
[0065] In some embodiments, nanotube element (article) 25 is a fabric of matted carbon nanotubes (also referred to as a nanofabric). Nanotubes in the nanofabric may be randomly oriented, or may have an orientation that is not constrained to an orientation of nanotube element 25. Nanotube elements generally substantially conform to surfaces; in some embodiments, one or more terminals of a two-terminal nanotube switch have vertically oriented surfaces, and the nanotube element substantially conforms to at least a portion of the vertically oriented surface. In some embodiments, the nanotube element or fabric is porous, and material from conductive elements 15 and/or 20 may fill at least some of the pores in nanotube element 25. In some embodiments, nanotube element 25 includes single-walled nanotubes (SWNTs) and/or multiwalled nanotubes (1VIWNTs) and/or double-walled nanotubes (DWNTs).
In some embodiments, nanotube element 25 includes one or more bundles of nanotubes. Generally, nanotube element 25 includes at least one nanotube.
Methods of making nanotube elements and nanofabrics are known and are described in U.S.
Patent Nos. 6,784,028, 6,835,591, 6,574,130, 6,643,165, 6,706,402, 6,919,592, 6,911,682, and 6,924,538; U.S. Patent Publication Nos. 2005-0062035, 2005-0035367, 2005-0036365, and 2004-0181630; and U.S. Patent Application Nos.
10/341005, 10/341055, 10/341054, 10/341130, the contents of which are hereby incorporated by reference in their entireties (hereinafter and hereinbefore the "incorporated patent references"). Some embodiments for nanotube elements that can be used in 2-TNS 10 are described in greater detail in U.S. Patent Appln. No.
(TBA), entitled "Two Terminal Nanotube Devices and Systems and Methods of Malcing Same," filed on an even date herewith.
[0066] Generally it is preferable that the values of the high and low resistances are separated by at least an order of magnitude. In some preferred embodiments, the "off ' state has a resistance that is at least about 10 times higher than a resistance of the "on" state. In some preferred embodiments, the "off' state has an impedance that is at least about 10 times higher than an impedance of the "on" state. In some embodiments, the "programmed" or "on" state is characterized by a resistance (RON) between conductive elements 15 and 20 that is generally in the range of 100 Ohms to 1 M-Ohm. In some embodiments, the "erased" or "off' state is characterized by a resistance (RoFF) between conductive elements 15 and 20 that is generally in the range of 10 M-Ohm to 10 G-Ohm or more. The two states are non-volatile, i.e., they do not change until stimulus circuit 50 applies another appropriate electrical stimulus to at least one of conductive elements 15 and 20, and they retain state even if power is removed from the circuit. Stimulus circuit can also determine the state of 2-with a non-destructive read-out operation (NDRO). For example, stimulus circuit 50 may apply a low measurement voltage across conductive elements 15 and 20, and measure the resistance R between the conductive elements. This resistance can be measured by measuring the current flow between conductive elements 15 and 20 and from that calculating the resistance R. The stimulus is sufficiently weak that it does not change the state of the device. Another example of a method of determining the state of the cell by measuring pre-charged bit line capacitance discharge through (between) conductive elements 15 and 20 is described in U.S. Patent Appln. No.
(TBA), entitled "Memory Arrays Using Nanotube Articles With Reprogrammable Resistance." Example electrical stimuli and resistances for "programmed" and "erased" states for some embodiments of two-terminal nanotube switches, and example "read" stimuli, are described in greater detail in U.S. Patent Appln.
No.
(TBA), entitled "Two Terminal Nanotube Devices and Systems and Methods of Making Same," filed on an even date herewith.
[0067] In some embodiments, thermal and/or electrical engineering, that is, thermal and/or electrical management (design), can be used to enhance the performance of a two-terminal nanotube switch, as described in U.S. Patent Appln.
No. (TBA), entitled "Two Terminal Nanotube Devices and Systems and Methods of Making Same," filed on an even date herewith. Figure 9B illustrates a cross sectional representation of nonvolatile two-terminal nanotube switch (2-TNS) 10', in which thermal and/or electrical engineering or management (design) is accomplished by limiting the overlap between nanotube element 25' and conductive element 20'.
Nanotube element 25' is disposed on substrate 35', which includes a layer of insulator 30'. Nanotube element 25' is arranged to overlap with a specified geometrical relationship, e.g., by a predetermined extent, at least a portion of at least one of the terminals, e.g., conductive elements 15' and 20', which are both deposited directly onto nanotube element 25'.
[0068] Passivation of NRAM devices may be used to facilitate device operation in air, at room teniperature, and as a protecting layer in conjunction with stacked material layers on top on the NRAM device. Operation of unpassivated NRAM
devices are typically performed in an inert ambient, such as argon, nitrogen, or helium, or an elevated (greater than 125C) sample temperature to remove adsorbed water from the exposed nanotubes. Therefore, the requirements of a passivation film are typically twofold. First, the passivation should form an effective moisture barrier, preventing exposure of the nanotubes to water. Second, the passivation film should not interfere with the switching mechanism of the NRAM device.
[0069] One approach to passivation involves cavities, which have been fabricated around the NRAM devices to provide a sealed switching region. Cavities both around individual devices (device-level passivation) and around an entire die of 22 devices (die-level passivation) have been demonstrated. However, the process flow to fabricate is complicated, with at least 2 additional lithography steps, and at least 2 additional etching steps required.
[0070] Another approach to passivation involves depositing a suitable dielectric layer over the NRAM devices. An example of this approach is the use of spin-coated polyvinyledenefluoride (PVDF) in direct contact with the NRAM devices. The PVDF

is patterned into either die-level (over an entire die active region) or device-level patches (individual patches covering individual devices). Then a suitable secondary dielectric passivation film, such an alumina or silicon dioxide is used to seal off the PVDF and provide a passivation robust to NRAM operation. It is thought that NRAM operation thermally decomposes the overlying PVDF, hence a secondary passivatic,y film is required to seal off the devices. Since the die level pass'.vations are typically - 100micron square patches, this local decomposition can lead to ruptures of the secondary passivation, exposure of NRAM devices to air, and their subsequent failure. To avoid such failures of the secondary passivation film, the die-level passivated devices are "burned-in" electrically by pulsing the devices typically with 500ns pulses from 4V to 8V in 0.5V steps. This is thought to controllably decompose the PVDF and prevent a rupture of the overlying secondary passivation film.
After the burn-in procedure the die-level passivated NRAM devices operate normally.
Devices passivated with a device-level PVDF coating and a secondary passivation film do not require such a burn in procedure and may be operated in air at room temperature directly at operating voltages. With device-level passivaton the PVDF is patterned in the exact shape of the CNT fabric, typically 0.5 microns wide and microns long. It is thought that such small patches can decompose without stressing the secondary passivation film to failure. It is possible that for a given defect density in the secondary passivation, there are no defects on average over the smaller footprint of the device-level PVDF patches in comparison to the larger, die-level patches.
[0071] In this embodiment, nanotube element 25' is patterned within a region that can be defined before or after deposition of conductive elements 15' and/or 20'.
Conductive element 15' overlaps one entire end-region of nanotube element 25', forming a near-ohmic contact. At the opposite end of nanotube element 25', at overlap region 45', conductive element 20' overlaps nanotube element 25' by a controlled overlap length 40'. Controlled overlap length may be for example in the range of 1 to 150 nm, or in the range of 15-50 nm. In one preferred embodiment, controlled overlap length 40' is about 45 nm. The materials and methods of making switch 10' may be similar to those described above for switch 10 of figure 8A.
[0072] Switches 10 and 10' illustrated in figures 9A and 9B are intended to be illustrative examples of two-terminal nanotube switches that can be used in non-volatile shadow latches using a nanotube switch. Other embodiments of 2-TNS
that can be used in non-volatile shadows latches are described in U.S. Patent Application No. (TBA), entitled "Two-Terminal Nanotube Devices And Systems And Methods Of Making Same," filed on an evvn date herewith and having a common assignee as the present application, the contents of which are incorporated herein in their entirety by reference.

Systems With Nonvolatile Slzadow Latches Using A Nanotube Switch [0073] Figure 10 illustrates a system using nonvolatile register file latches based on the operating principles described with respect to figures 8A and 8B.
Nonvolatile register file latches and logic architecture 900 include volatile master (Ll) latches corresponding to volatile master (Ll) latches in figure 4B; nonvolatile slave (L2) latches; logic 950 corresponding to logic 50; and logic 960 corresponding to logic 60 in figure 4B.
[0074] Figure 10 illustrates a pipelined synchronous logic architecture 900 including logic stages 950 and 960 (and others not shown) separated by nonvolatile register file latches 945, 955, 965 (and other nonvolatile register file latches not shown) designed for state-of-the-art high speed operation and nonvolatile logic state or data storage in de-powered register file latches with zero active and zero static power dissipation. Exemplary register 955 is composed of a volatile master (Ll) latch 970 and a nonvolatile slave (L2) latch 975. Volatile master (L1) latch 970 is composed of volatile register cells 1-n and nonvolatile slave (L2) latch 975 is composed of nonvolatile cells 1'-n'. A nonvolatile register stage is composed a corresponding pair of register cells, such as nonvolatile register stage 980 composed of corresponding volatile register cell k and nonvolatile register cell k'. It is important to note that logic stages 950 and 960 may be composed of random logic stages, for example, or may be an onboard cache such as a high speed Sync SRAM Ll cache, for example. A volatile master (Ll) latch such as volatile master (Ll) latch 970 accepts data from preceding logic stage 950 during the first half of the clock cycle time, captures and holds the data, and also transfers the information to the nonvolatile slave (L2) latch at the beginning of the second half of the clock cycle time. A
nonvolatile slave (L2) latch such as nonvolatile slave (L2) latch 975 accepts information from a corresponding master (L1) latch 970 at the beginning of the second half of the clock cycle time, transmits the information to the next logic stage 960, and then latches the information near the end of the second half of the clock cycle time.
[0075] Nonvolatile slave (L2) latch operates as a volatile slave (L2) latch during high speed chip operation. If power is to be reduced, then clock CLK is stopped during the second half of the clock cycle, after data has been latched in volatile slave (L2) latch. In one embodiment, the logic state of nonvolatile slave (L2) latch is transferred to a nonvolatile nanotube switch corresponding to switches 820, 820', and 820" by dedicated coupling circuits corresponding to dedicated coupling circuits 830, 830', and 830" as shown in figure 8A, and described further below. In another embodiment, the logic state of nonvolatile slave (L2) latch is transferred directly to a nonvolatile nanotube switch corresponding to switches 821, 821', and 821" as shown in figure 8B, and described further below.
[0076] Figure 11A is a block diagram 1000 of nonvolatile register file stage illustrated in figure 10 and including a dedicated coupling circuit to transfer the logic state of a volatile slave latch stage to a nonvolatile nanotube switch.
Nonvolatile register file stage 1005 corresponds to nonvolatile register file stage 980 shown in figure 10. Volatile cell k of nonvolatile register file stage 980 shown in figure 10 corresponds to volatile master latch stage 1010 with input VIN shown in figure 11A.
Nonvolatile cell k' of nonvolatile register file stage 980 shown in figure 10 includes volatile slave latch stage 1015 with output VOUT, nonvolatile nanotube switch 1025, coupling circuit 1020, and corresponding interconnections shown in figure 11A.
Nonvolatile register file stage 1005 has two modes of operation, a normal run mode and a zero power logic state (or data) nonvolatile retention mode in which power is disconnected.
[0077] In the normal run mode, volatile master latch stage 1010 receives input voltage VIN, drives volatile slave latch stage 1015, is clocked (shown further below), and is powered from VDD supplied by power source 1045.
[0078] Volatile slave latch stage 1015 receives input from the output of volatile master latch 1010, supplies output voltage VOUT, is clocked (shown further below), and is powered from VDD supplied by power source 1045. Volatile slave latch stage 1015 is coupled to nonvolatile nanotube switch 1025 by coupling circuit 1020.
[00791 During transition from normal run mode to zero power nonvolatile retention mode, or from zero power nonvolatile retention mode to normal run node, nonvolatile nanotube switch 1025 is powered from VEPR supplied by power source 1045 through electrical connection 1030. Nonvolatile nanotube switch 1025 is connected to coupling circuit 1020 by electrical connection 1035.

[0080] In addition to electrical connection 1035 to nonvolatile nanotube switch 1025, coupling circuit 1020 is also connected to volatile slave latch stage 1015 by electrical connections 1040. A controller (not shown) supplies erase enable, program enable, restore enable, and set/clear enable pulses to coupling circuit 1020 as shown in figure 1 1A. When transitioning from normal run mode (power ON) to zero power nonvolatile retention mode (power OFF), erase enable and program enable pulses (shown fLirther below) are used to transfer the logic state of volatile slave latch stage 1015 to nonvolatile nanotube switch 1025 prior to reducing power supply voltage from VDD to zero. When transitioning from zero power nonvolatile retention mode (power OFF) to normal run mode (power ON), and after restoring power supply voltage from zero to VDD, set/clear enable and restore enable pulses (shown further below) are used to transfer the logic state stored in nonvolatile nanotube switch 1025 to volatile slave latch stage 1015. Normal run mode may then begin. Voltage pulse (or pulses) VEPR are applied only during transitions between normal run mode and zero power nonvolatile retention mode using erase enable, program enable, set/clear enable, and restore enable pulses as described further below, otherwise VEPR
voltage is zero.

[0081] Figure 11B is a block diagram 1000' of nonvolatile register file stage illustrated in figure 10 wherein the logic state of a volatile slave latch stage is directly transferred to a nonvolatile nanotube switch. Nonvolatile register file stage 1005' corresponds to nonvolatile register file stage 980 shown in figure 10.
Volatile cell k of nonvolatile register file stage 980 shown in figure 10 corresponds to volatile master latch stage 1010' with input VIN shown in figure 11B. Nonvolatile cell k' of nonvolatile register file stage 980 shown in figure 10 includes volatile slave latch stage 1015' with output VOUT, nonvolatile nanotube switch 1025' and corresponding interconnections shown in figure 11B. Nonvolatile register file stage 1005' has two modes of operation, a normal run mode and a zero power logic state (or data) nonvolatile retention mc::1e in which power is disconnected.

[0082] In the normal run mode, volatile master latch stage 1010' receives input voltage VIN, drives volatile slave latch stage 1015', is clocked (shown further below), and is powered from VDD supplied by power source 1045'.

[0083] Volatile slave latch stage 1015' receives input from the output of volatile master latch 1010', supplies output voltage VOUT, is clocked (shown further below), and is powered from VDD supplied by power source 1045'. Volatile slave latch stage 1015' is coupled to nonvolatile nanotube switch 1025' by electrical connection 1040'.
[0084] During transition from normal run mode to zero power nonvolatile retention mode, or from zero power nonvolatile retention mode to normal run node, nonvolatile nanotube switch 1025' is powered from VEPR supplied by power source 1045' through electrical connection 1030'.

[0085] A controller (not shown) supplies erase enable, program enable, restore enable, and set/clear enable pulses to nonvolatile nanotube switch 1025' via VEPR
connected to switch 1025' by electrical connection 1030' as shown in figure 11B.
When transitioning from normal run mode (power ON) to zero power nonvolatile retention mode (power OFF), erase enable and program enable pulses (shown further below) are used to transfer the logic state of volatile slave latch stage 1015' to nonvolatile nanotube switch 1025' prior to reducing power supply voltage from VDD
to zero. When transitioning from zero power nonvolatile retention mode (power OFF) to normal run mode (power ON), and after restoring power supply voltage from zero to VDD, set/clear enable and restore enable pulses (shown further below) are used to transfer the logic state stored in nonvolatile nanotube switch 1025' to volatile slave latch stage 1015'. Normal run mode may then begin. Voltage pulse (or pulses) VEPR
are applied only during transitions between normal run mode and zero power nonvolatile retention mode using erase enable, program enable, set/clear enable, and restore enable pulses as described further below, otherwise VEPR voltage is zero.

[0086] Figure 12A illustrates one embodiment of a nonvolatile register file stage circuit 1100 that corresponds to nonvolatile register file stage 1005 in figure 11A.
Nonvolatile register file stage 1100 has two modes of operation, a normal run mode and a zero power logic state (or data) nonvolatile retention mode in which power is disconnected. Volatile master latch stage circuit 1104 corresponds to volatile master latch stage 1010, volatile slave latch stage circuit 1106 corresponds to volatile slave latch stage 1015, coupling circuit 1108 corresponds to coupling circuit 1020, and nonvolatile nanotube switch 1110 corresponds to nonvolatile nanotube switch 1025 in figure 11A. Electrical connection 1112 between nonvolatile nanotube switch and supply voltage VEPR corresponds to electrical connection 1030, electrical connections 1118 and 1119 between coupling circuit 1108 and volatile slave latch stage circuit 1106 corresponds to electrical connection 1040 in figure 11A.
Power supply voltage VDD connections to the inverters in volatile master latch stage circuit 1104 (not shown) and volatile slave latch stage circuit 1106 (not shown) correspond to power supply connections VDD in figure 11A.

[0087] As illustrated in figure 12A, input node 1115 of volatile master latch stage circuit 1104 receives input signal VIN and drives CMOS transfer gate 1130, which is connected to and drives storage node 1135 formed by cross coupled CMOS
inverters 1145 and 1150. Input signal VIN corresponds to VIN from logic 950 in figure 10.
CMOS transfer gate 1130 uses both NMOS and PMOS devices instead of an NMOS-only transfer gate, for example, to ensure that both logic "1" and logic "0"
states transition between full power supply and ground voltage levels by eliminating device threshold voltage drops. Clock CLK 1140, and complimentary clock CLKb 1140' are used to enable or block input signal VIN on input node 1115 from driving storage node 1135 by turning CMOS transfer gate 1130 ON and OFF, thereby determining the logic storage state of cross coupled CMOS inverters 1145 and 1150. Note that all inverters are CMOS inverters unless otherwise specified. CMOS inverters include a PMOS pull-up device connected to a power supply, and a NMOS pull-down device connected to ground and operates as discussed in the reference by H.B.
Bakoglu, "Circuits, Interconnections, and Packaging for VLSI", Addison-Wesley Publishing Company, Inc, 1990, pp. 152. Cross coupled inverters 1145 and 1150 drive storage node 1155 which is connected to CMOS transfer gate 1160. Clock CLK and complimentary clock CLKb are used to enable or block stored logic state node from driving master latch stage node 1120, by turning CMOS transfer gate 1160 ON
and. OFF.

[0088] As illustrated in figure 12A, input node 1120 of volatile slave latch stage circuit 1106, which is also the output node of master latch stage circuit 1104, drives inverter 1170. The output of inverter 1170 is output voltage VOUT on output node 1125, and also drives the input of inverter 1175. Output signal VOUT
corresponds to VOUT in figure 10, which drives an input to logic 960. The output 1180 of inverter 117') is connected to CMOS transfer gate 1185. Clock CLK, and cornplimentary clock CLKb are used to enable or block the presence of a feedback loop that cross couples inverters 1170 and 1175 when enabled. During normal high speed operation, clock CLK switches at high speed, 3 GHz clock rate, for example, for the 130 nm CMOS
technology node. Inverter 1190 produces the complement CLKb or clock CLK. When storing data, CMOS transfer gate 1185 is ON and inverters 1170 and 1175 form a cross coupled storage device with node 1120 acting as a storage node. When CMOS
transfer gate 1185 is OFF, then inverters 1170 and 1175 are not cross coupled and do not form a storage device. Slave latch stage circuit 1106 is coupled to nonvolatile nanotube switch 1110 by coupling circuit 1108.

[0089] As illustrated in figure 12A, nonvolatile nanotube switch 1110 is connected to power supply voltage VEPR, which supplies erase, program, or restore voltage pulse (or pulses) as required by the corresponding operating mode selected using coupling circuit 1108. Nonvolatile nanotube switch 1110 is also connected to node 1116 of coupling circuit 1108 using electrical connection 1114. Coupling circuit 1108 is connected to volatile slave latch stage circuit 1106, where electrical connection 1119 connected to node 1180 is used in program mode, and electrical connection 1118 is used in restore mode.

[0090] As illustrated in figure 12A, coupling circuit 1108 includes an erase function. The erase circuit includes NMOS transistor 1220 with drain connected to common node 1116, source connected to ground, and input gate connected to an erase enabling pulse.

[0091] As illustrated in figure 12A, coupling circuit 1108 also includes a programming function including NMOS transistor 1230 with drain connected to common node 1116, source connected to the drain of series NMOS transistor 1225, and gate connected to a program enable input. Series NMOS transistor 1225 also has source connected to ground, and gate connected to node 1180 of volatile slave latch stage circuit 1106. Transistor 1225 is used to reflect the logic state of volatile slave latch stage circuit 1106. If node 1180 is at a high voltage, VDD for example, then transistor 1225 is in the ON state and can conduct programming current.
However, if node 1180 is at a low voltage, zero for example, then transistor 1225 is in the OFF
state and cannot conduct programrrung current.

[0092] As illustrated in figure 12A, coupling circuit 1108 also includes a restore function including PMOS transistor 1240 with source connected to common node 1116, drain connected to drain of NMOS transistor 1235 at common node 1237, and gate connected to a restore enable input. The source of transistor 1235 is connected to ground and the gate is connected to a set/clear enable input. Common node 1237 is connected to storage node 1120 of volatile slave latch stage circuit 1106.

[0093] While in normal run mode, coupling circuit 1108 is inactive, and nonvolatile nanotube switch 1110 is not powered by VEPR and is also decoupled from volatile slave latch stage circuit 1106. Hence, volatile master latch stage circuit 1104 and volatile slave latch stage circuit 1106 operate in a normal (conventional) synchronized logic master/slave register run mode of operation at high speed clock rates, typically 3 GHz, with VDD = 1.3 volts, for logic products fabricated using the 130 nm technology node.

[0094] In normal run mode, at the beginning of a clock cycle, clock CLK 1140 transitions from high to low voltage and remains at low voltage for the first half the clock cycle, and complimentary clock CLKb 1140' transitions from low to high voltage and remains at high voltage for the first half of the clock cycle.
CMOS
transfer device 1130 turns ON coupling input node 1115 voltage VIN to storage node 1135. CMOS transfer device 1160 turns OFF and isolates the output of volatile master latch stage circuit 1104 from the input node 1120 of volatile slave latch stage circuit 1106. In normal run mode, clock CLK is connected to mode input 1192 of volatile slave latch stage circuit 1106, clock CLK is connected to CMOS transfer device 1185, and complimentary clock CLKb output of inverter 1190 is also connected to CMOS
transfer device 1185, such that CMOS transfer device also turns OFF breaking the feedback path between the output 1180 of inverter 1175 and the input 1120 of inverter 1170 such that node 1120 does not act as a storage node. Voltage VIN may transition to a voltage value corresponding to the correct logic state any time prior to the end of the first half of the clock cycle, providing sufficient time remains for cross coupled inverters 1145 and 1150 to store the corresponding logic state on storage node prior to clock transition at the beginning of the second half of the clock cycle.

[0095] In normal run mode, clock CLK 1140 transitions from low to high voltage and remains at high voltage at the beginning of the second half of the clock cycle, and complimentary clock CLKb 1140' transitions from high to low voltage and remains at low voltage for the second half of the clock cycle. CMOS transfer device 1130 turns OFF decoupling input node 1115 voltage VIN from storage node 1135, which remains in a state corresponding to input voltage VIN at the end of the first half of the clock cycle, and storage node 1155 remains in a complimentary state to storage node 1135.
CMOS transfer device 1160 turns ON and transfers the state of storage node 1155 to input 1120 of inverter 1170 that drives output node 1125 to output voltage VOUT, and also drives the input of inverter 1175. In normal run mode, clock CLK is connected to mode input 1192 of volatile slave latch stage circuit 1106, clock CLK is connected to CMOS transfer device 1185, and complimentary clock CLKb output of inverter is also connected to CMOS transfer device 1185, such that CMOS transfer device also turns ON forming the feedback path between the output 1180 of inverter 1175 and the input 1120 of inverter 1170 such that node 1120 acts as a storage node. With CMOS
transfer device 1185 turned ON, output 1180 of inverter 1175 drives the input of inverter 1170 and stores the state of slave latch state stage circuit 1110 until the end of the second stage of the clock cycle.

[0096] While in zero power logic state (or data) nonvolatile retention mode, coupling circuit 1108 is inactive, nonvolatile nanotube switch 1110 is not powered by VgpR, and is also decoupled from volatile slave latch stage circuit 1106.
Volatile master latch stage circuit 1104 and volatile slave latch stage circuit 1106 power supplies are at zero volts.

[0097] In operation, when transitioning from normal run mode to zero power nonvolatile retention mode, coupling circuit 1108 must transfer the logic state from volatile slave latch stage circuit 1106 to nonvolatile nanotube switch 1110 before power is turned OFF. As illustrated in waveforms 1250 in figure 12B, while power remains ON, clock CLK is stopped in a low voltage state, with complimentary clock CLKb in a high voltage state, where a high voltage state is at VDD (1.3 to 2.5 volts, for example) and :51ow voltage state is at zero volts. If nonvolatile nanotube 1110 b. as not been erased, and is therefore storing a previous logic state, then coupling circuit 1108 is directed to perform an erase operation, followed by a program operation. If nonvolatile nanotube 1110 is in an erased state, then program mode is initiated using coupling circuit 1108.

[0098] During the erase operation, an erase enable pulse transitions from zero volts to VDD (1.3 to 2.5 volts, for example) turning transistor 1220 ON and providing a conducting path between node 1116 and ground as illustrated in figure 12A.
Program enable voltage is at zero volts, transistor 1230 is OFF, and there is no conducting path between node 1116 and ground. Restore enable voltage is at VDD
(1.3 to 2.5 volts, for example), transistor 1240 is OFF, and there is no conducting path from node 1116 through transistor 1240. Also, set/clear enable voltage is also at zero volts, transistor 1235 is OFF. There is no conducting path between common node 1237 and node 1116 or ground, so that the state of volatile slave latch stage circuit 1106 at node 1120 is not disturbed. A VEpR erase voltage pulse of amplitude VE
is applied to nonvolatile nanotube switch 1110 terminal. The resistance of transistor 1220 is much less than the resistance of nonvolatile nanotube switch 1110, even if switch 1110 is in the ON state. If switch 1110 is in the ON state, then current flows between node 1112, through switch 1110 and electrical connection 1114 and the channel of ON transistor 1220 to ground, and nonvolatile nanotube switch 1110 is switched to the OFF (erased) state. If switch 1110 is in the OFF state, it remains in the OFF (erased) state. Note that nonvolatile nanotube switch 1110 may be erased at any time prior to programming. If switch 1110 is known to be in the erased state, then programming can begin immediately. Erase stimuli according to certain embodiments of the invention are described in greater detail in U.S. Patent Appln. No.
(TBA), filed on an even date hereNvith, entitled "Two-Terminal Nanotube Devices And Systems And Methods Of Making Same."

[0099] Note that during the erase operation, transistors 1240, 1235, and 1230 are all OFF, isolating nonvolatile nanotube switch 1110 from volatile slave latch stage circuit 1106. Therefore, the erase operation may be performed any time during the normal run mode without impacting the performance of volatile slave latch stage circuit 1106, and can therefore be made transparent to the logic operation of the device.

[0100] As illustrated in figure 12B, during the program operation, a program enable pulse transitions from zero volts to VDD turning transistor 1230 ON, connecting node 1116 to the drain of transistor 1225. Transistor 1225 is OFF
if node 1180 of volatile slave latch stage circuit 1106 is at a low voltage, zero for example.
Transistor 1225 is ON if node 1180 of volatile slave latch stage circuit 1106 is at a high voltage, VDD for example. After the program enable pulse transitions from zero to VDD, then a VEPR pulse of amplitude Vp (5 volts, for example) is applied to node 1112 of switch 1110. If transistor 1225 is OFF, then no current flows, no programming takes place, and nonvolatile nanotube switch 1110 remains in the OFF
(OPEN) erased state. However, if transistor 1225 is ON, then current flows, progranuning takes place, and nonvolatile nanotube switch 1110 transitions from an OFF (OPEN) state to an ON (CLOSED) state. Programming stimuli according to certain embodiments of the invention are described in greater detail in U.S.
Patent Appln. No. (TBA), filed on an even date herewith, entitled "Two-Terminal Nanotube Devices And Systems And Methods Of Making Same."

[0101] During the program operation, the erase enable voltage is held at zero volts and transistor 1220 is OFF. Also, the restore enable voltage is held at VDD so that transistor 1240 is OFF. Also, set/clear restore voltage is held at zero so transistor 1235 is OFF, such that only the program operation is enabled.

[0102] In operation, when transitioning from zero power nonvolatile retention mode to normal run mode, coupling circuit 1108 must transfer the logic state from nonvolatile nanotube switch 1110 to volatile slave latch stage circuit 1106 after power supply VDD is restored, but before clock operation begins. As illustrated in figure 12C, even after VDD is restored, clock CLK remains stopped in a low voltage state, with complimentary clock CLKb in a high voltage state, where a high voltage state is at VDD (1.3 to 2.5 volts, for example) and a low voltage state is at zero volts.

[0103] As illustrated by waveforms 1300 in figure 12C, during the restore operation, a VEPR pulse of amplitude VDD (1.3-2.5 volts, for example) is applied to terminal 1112 of nonvolatile nanotube switch 1110 illustrated in figure 12A.
With clock pulse CLK at zero volts, CMOS transfer gate 1160 of volatile master latch stage circuit 1104 is OFF, isolating volatile slave latch stage circuit 1106. At the beginning of the restore operation, the restore enable level applied to input 1192 of inverter 1190 and CMOS transfer gate 1185 is at VDD, and its compliment at the output of inverter 1190 applied to CMOS transfer gate 1185, turn CMOS transfer gate 1185 ON. With transfer gate 1185 ON, output 1180 of inverter 1175 is electrically connected to input 1120 of inverter 1170; a storage device is formed with 1120 as a storage node.
With restore enable voltage at VDD, transistor 1240 is OFF. With set/clear enable at zero volts, transistor 1235 is OFF; therefore the common node 1237 voltage is determined by node 1120 of volatile slave latch stage circuit 1106. After the restoration of power supply connection to VDD to volatile slave latch stage circuit 1106, node 1120 may be at a voltage of zero or VDD. After the transition of VEPR to restore pulse voltage VDD, a set/clear enable pulse turns transistor 1235 ON, and node 1120 is forced to ground (zero volts). Set/clear enable pulse is then turned OFF, leaving storage node 1120 at zero volts. Next, restore enable pulse transitions from VDD to ground. CMOS
transfer gate 1185 is turned OFF, breaking the feedback path between inverters 1175 and such that node 1120 is no longer behaves as a storage node. At the same time, transistor 1240 turns ON and connects nonvolatile nanotube switch 1110 to node 1120. If nonvolatile nanotube switch 1110 is ON (CLOSED), then voltage VEPR on node 1112 is applied through transistor 1240 to node 1120, the input of inverter 1170.
If nonvolatile nanotube switch 1110 is OFF (OPEN) then node 1120 remains at ground. By having CMOS transfer gate 1185 OFF facilitates the restore operation because voltage supplied through nonvolatile nanotube switch 1110 only has the small input load of inverter 1170 input, and does not have to overcome a latched storage state. Next, when the restore/enable pulse transitions from zero volts to VJ;i;, CMOS transfer gate 1185 turns on and the logic state (or data) is stored on node 1120, with the compliment stored on the output node 1125. Transistor 1240 turns OFF
and decouples nonvolatile nanotube switch 1120 from volatile slave latch stage circuit 1106. The restore operation is estimated to take just a few nanoseconds.
Normal run mode then begins.

[0104] During the.~ ,,-store operation, the erase enable voltage is held at zero volts and transistor 1220 is OFF. Also, the program enable voltage is held at zero volts, and transistor 1230 is OFF such that only the restore operation is enabled.

[0105] Figure 13A illustrates a second embodiment of a nonvolatile register file stage circuit 1100' that corresponds to nonvolatile register file stage 1005 in figure 1 lA. Nonvolatile register file stage 1100' has two modes of operation, a normal run mode and a zero power logic state (or data) nonvolatile retention mode in which power is disconnected. Volatile master latch stage circuit 1104' corresponds to volatile master latch stage 1010, volatile slave latch stage circuit 1106' corresponds to volatile slave latch stage 1015, coupling circuit 1108' corresponds to coupling circuit 1020, and nonvolatile nanotube switch 1110' corresponds to nonvolatile nanotube switch 1025 in figure 11A. Electrical connection 1112' between nonvolatile nanotube switch 1110' and supply voltage VEPR corresponds to electrical connection 1030, electrical connections 1118', 1119', and 1329 between coupling circuit 1108' and volatile slave latch stage circuit 1106' corresponds to electrical connection 1040 in figure 1 1A. Power supply voltage VDD connections to the inverters in volatile master latch stage circuit 1104 (not shown) and volatile slave latch stage circuit 1106 (not shown) correspond to power supply connections VDD in figure 1 1A.

[0106] As illustrated in figure 13A, input node 1115' of volatile master latch stage circuit 1104' receives input signal VIN and drives CMOS transfer gate 1130', which is connected to and drives storage node 1135' formed by cross coupled CMOS
inverters 1145' and 1150'. Input signal VIN corresponds to VIN from logic 950 in figure 10.
CMOS transfer gate 1130' uses both NMOS and PMOS devices instead of an NMOS-only transfer gate, for example, to ensure that both logic "1" and logic "0"
states transition between full power supply and ground voltage levels by eliminating device threshold voltage drops. Clock CLK 1140, and complimentary clock CLKb 1140' are used to enable or block input signal VIN on input node 1115' from driving storage node 1135; by turning CMOS transfer gate 1130' ON and OFF, thereby determining the logic storage state of cross coupled CMOS inverters 1145' and 1150'. Note that all inverters are CMOS inverters unless otherwise specified. CMOS inverters include a PMOS pull-up device connected to a power supply, and a NMOS pull-down device connected to ground and operates as discussed in the reference by H.B.
Bakoglu, "Circuits, Interconnections, and Packaging for VLSI", Addison-Wesley Publishing Company, Inc, 1990, pp. 152. Cross coupled inverters 1145' and 1150' drive storage node 1155' which is connected to CMOS transfer gate 1160'. Clock CLK and complimentary clock CLKb are used to enable or block stored logic state node 1155' from driving master latch stage circuit 1106' input node 1120' by turning CMOS
transfer gate 1160' ON and OFF.

[0107] As illustrated in figure 13A, input node 1120' of volatile slave latch stage circuit 1106', which is also the output node of master latch stage circuit 1104', drives inverter 1170'. The output of inverter 1170' is output voltage VOUT on output node 1125', and also drives the input of inverter 1175'. Output signal VOUT
corresponds to VOUT in figure 10, which drives an input to logic 960. The output 1180' of inverter 1175' is connected to CMOS transfer gate 1185'. Clock CLK, and complimentary clock CLKb are used to enable or block the presence of a feedback loop that cross couples inverters 1170' and 1175' when enabled. During normal high speed operation, clock CLK switches at high speed, 3 GHz clock rate, for example, for the 130 nm CMOS technology node. Inverter 1190' produces the complement CLKb or clock CLK. When storing data, CMOS transfer gate 1185' is ON and inverters 1170' and 1175' form a cross coupled storage device with node 1120' acting as a storage node. When CMOS transfer gate 1185' is OFF, then inverters 1170' and 1175' are not cross coupled and do not form a storage device. Slave latch stage circuit 1106' is coupled to nonvolatile nanotube switch 1110' by coupling circuit 1108'.

[0108] As illustrated in figure 13A, nonvolatile nanotube switch 1110' is connected to power supply voltage VEPR, which supplies erase voltage pulse (or pulses) as required by the corresponding operating mode selected using coupling circuit 1108'. Nonvolatile nanotube switch 1110' is also connected to node 1116' of coupling circuit 1108' using electrical connection 1114'. Coupling circuit 1108' is connected to volatile slave latch stage circuit 1106', where electrical connections 1119' and 1329 connected to node 1180' are used in program mode, and electrical connection 1118' is used in restore mode.

[0109] As illustrated in figure 13A, coupling circuit 1108' includes an erase function. The erase circuit includes NMOS transistor 1220' with drain connected to common node 1317, source connected to ground, and input gate connected to an erase enabling pulse. During an erase operation, transistor 1343 is activated by a program enable pulse at zero volts, and common node 1317 is connected to common node 1116', which is connected to nonvolatile nanotube switch 1110 in order to enable an erase operation [0110] As illustrated in figure 13A, coupling circuit 1108' also includes a programming function including PMOS transistor 1343 with drain connected to common node 1116', source connected to common node 1350, and gate connected to output of inverter 1330, with the input of inverter 1330 connected to a program enable input. Common node 1350 is connected to cross coupled NMOS transistors 1325 and 1325' and PMOS transistors 1327 and 1327' forming high voltage translation circuit 1360. The sources of NMOS transistors 1325 and 1325' are connected to ground, and the sources of PMOS transistors 1327 and 1327' are connected to program voltage VPROG Complementary inputs 1119' and 1329 are connected to high voltage translator circuit 1360 input NMOS transistor 1325 and NMOS 1325', respectively, such that the logic state of high voltage translator circuit 1360 corresponds to the state of volatile slave latch stage 1106'. VPROG voltage may be much higher than volatile slave latch stage voltage circuit 1106'. Programming voltage is applied to common node 1350 through PMOS transistor 1327, which is in turn applied to common node 1116' and nonvolatile nanotube switch 1110' through PMOS transistor 1343. If common node 1350 is held at ground by NMOS transistor 1325, then no programming voltage is applied to common node 1350, and nonvolatile nanotube switch 1110' is not programmed.

[0111] As illustrated in figure 13A, coupling circuit 1108' also includes a restore function including PMOS transistor 1365 with source connected to VDD, and drain connected to volatile slave latch stage circuit 1106' input 1120' by connector 1118'.
During a restore operation, PMOS transistor 1365 is used to pre-charge input node 1120' to VDD, and then is turned OFF. NMOS transistor 1370 has source connected to input 1120' by connector 1118', drain connected to common node 1317, and gate connected to a restore enable input. NMOS transistor 1342 is in the ON state during a restore operation, and provides a di;,charge path between input node common node 1317 and VEPR, through nonvolatile nanotube switch 1110'. VEPR is at zero volts during a restore operation. When transistor 1370 is activated by a restore enable input, if nonvolatile nanotube switch 1110' is ON, then input node 1120' is discharged; if nonvolatile switch 1110' is OFF, then input node remains at VDD. The state of volatile slave latch stage circuit 1106' is restored to a state corresponding to the nonvolatile state of nonvolatile nanotube switch 1110'.

[0112] While in normal run mode, coupling circuit 1108' is inactive, and nonvolatile nanotube switch 1110' is not powered by VEPR and is also decoupled from volatile slave latch stage circuit 1106'. Hence, volatile master latch stage circuit 1104' and volatile slave latch stage circuit 1106' operate in a normal (conventional) synchronized logic master/slave register run mode of operation at high speed clock rates, typically 3 GHz, with VDD = 1.3 volts, for logic products fabricated using the 130 nm technology node.

[0113] In normal run mode, at the beginning of a clock cycle, clock CLK 1140 transitions from high to low voltage and remains at low voltage for the first half the clock cycle, and complimentary clock CLKb 1140' transitions from low to high voltage and remains at high voltage for the first half of the clock cycle.
CMOS
transfer device 1130' turns ON coupling input node 1115' voltage VIN to storage node 1135'. CMOS transfer device 1160' turns OFF and isolates the output of volatile master latch stage circuit 1104' from the input node 1120' of volatile slave latch stage circuit 1106'. In normal run mode, clock CLK is connected to mode input 1192' of volatile slave latch stage circuit 1106', clock CLK is connected to CMOS
transfer device 1185', and complimentary clock CLKb output of inverter 1190' is also connected to CMOS transfer device 1185', such that CMOS transfer device also turns OFF breaking the feedback path between the output 1180' of inverter 1175' and the input 1120' of inverter 1170' such that node 1120' does not act as a storage node.
Voltage VIN may transition to a voltage value corresponding to the correct logic state any time prior to the end of the first half of the clock cycle, providing sufficient time remains for cross coupled inverters 1145' and 1150' to store the corresponding logic state on storage node 1155' prior to clock transition at the beginning of the second half of the clock cycle.

[0114] In normal run mode, clock CLK 1140 transitions from low to high voltage and remains at high voltage at the beginning of the second half of the clock cycle, and complimentary clock CLKb 1140' transitions from high to low voltage and remains at low voltage for the second half of the clock cycle. CMOS transfer device 1130' turns OFF decoupling input node 1115' voltage VIN from storage node 1135', which remains in a state corresponding to input voltage VIN at the end of the first half of the clock cycle, and storage node 1155' remains in a complimentary state to storage node 1135'. CMOS transfer device 1160' turns ON and transfers the state of storage node 1155' to input 1120' of inverter 1170' that drives output node 1125' to output voltage VOUT, and also drives the input of inverter 1175'. In normal run mode, clock CLK is connected to mode input 1192' of volatile slave latch stage circuit 1106', clock CLK
is connected to CMOS transfer device 1185', and complimentary clock CLKb output of inverter 1190' is also connected to CMOS transfer device 1185', such that CMOS
transfer device also turns ON forming the feedback path between the output 1180' of inverter 1175' and the input 1120' of inverter 1170' such that node 1120' acts as a storage node. With CMOS transfer device 1185' turned ON, output 1180' of inverter 1175' drives the input of inverter 1170' and stores the state of slave latch state stage circuit 1110' until the end of the second stage of the clock cycle.

[0115] While in zero power logic state (or data) nonvolatile retention mode, coupling circuit 1108' is inactive, nonvolatile nanotube switch 1110' is not powered by VEPR, and is also decoupled from volatile slave latch stage circuit 1106'.
Volatile master latch stage circuit 1104' and volatile slave latch stage circuit 1106' power supplies are at zero volts.

[0116] In operation, when transitioning from normal run mode to zero power nonvolatile retention mode, coupling circuit 1108' transfers -the logic state from volatile slave latch stage circuit 1106' to nonvolatile nanotube switch 1110' before power is turned OFF. As illustrated in waveforms 1250' in figure 13B, while power remains ON, clock CLK is stopped in a low voltage state, with complimentary clock CLKb in a high voltage state, where a high voltage state is at VDD (1.3 to 2.5 volts, for example) and a low voltage state is at zero volts. If nonvolatile nanotube 1110' has not been erased, and is therefore storing a previous logic state, then coupling circuit 1108' is directed to perform an erase operation, followed by a program operation. If nonvolatile nanotube 1110 is in an erased state, then program mode is initiated using coupling circuit 1108'.

[0117] During an erase operation, program enable input voltage is at zero volts, and transistor 1342 is held in an ON state by the output of inverter 1330. An erase enable pulse transitions from zero volts to VDD (1.3 to 2.5 volts, for example) turning transistor 1320 ON and providing a conducting path between node 1116' and ground, through ON transistors 1342 and 1320 as illustrated in figure 13A. With program enable voltage at zero volts, transistor 1343 is held in the OFF state by the output of inverter 1330. Restore enable voltage is at zero volts and transistor 1370 is OFF, and restore pre-charge voltage is at VDD and transistor 1365 is OFF input 1120' is isolated so that the state of volatile slave latch stage circuit 1106' at node 1120 is not disturbed. A VEPR erase voltage pulse of amplitude VE is applied to nonvolatile nanotube switch 1110' terminal. The resistance of transistors 1342 and 1320 in series is much less than the resistance of nonvolatile nanotube switch 1110', even if switch 1110' is in the ON state. If switch 1110' is in the ON state, then current flows between node 1112', through switch 1110' and electrical connection 1114' and the channels of ON transistors 1342 and 1320 to ground, and nonvolatile nanotube switch 1110' is switched to the OFF (erased) state. If switch 1110' is in the OFF
state, it remains in the OFF (erased) state. Note that nonvolatile nanotube switch 1110' may be erased at any time prior to programming. If switch 1110' is known to be in the erased state, then programming can begin immediately. Erase stimuli according to certain embodiments of the invention are described in greater detail in U.S.
Patent Appln. No. (TBA), filed on an even date herewith, entitled "Two-Terminal Nanotube Devices And Systems And Methods Of Making Same."

[0118] Note that during the erase operation, transistors 1370, 1365, and 1343 are all OFF, isolating nonvolatile nanotube switch 1110' from volatile slave latch stage circuit 1106'. Therefore, the erase operation may be performed any time during the normal run mode without impacting the performance of volatile slave latch stage circuit 1106', and can therefore be made transparent to the logic operation of the device.

[0119] As illustrated in figure 13B, during the program operation, VEPR is at zero volts, and a program enable pulse transitions from zero volts to VDD turning transistor 1343 ON connecting node 1116' to common node 1350, which is also the output of high voltage translator circuit 1360. Common node 1350 is at high voltage VPROG if PMOS transistor 1350 is ON and NMOS transistor 1325 is OFF; common node 1350 is at zero volts if NMOS transistor 1325 is ON and PMOS transistor 1327 is OFF. If common node 1350 is at high voltage VPROG, then current flows and nonvolatile nanotube switch 1110' transitions from an OFF to an ON state. However, common node 1350 is at ground, then nonvolatile nanotube switch 1110' remains in the OFF
state. Programming stimuli according to certain embodiments of the invention are described in greater detail in U.S. Patent Appln. No. (TBA), filed on an even date herewith, entitled "Two-Terminal Nanotube Devices And Systems And Methods Of Making Same."

[0120] During the program operation, the erase enable voltage is held at zero volts and transistor 1320 is OFF. Transistor 1342 is held in the OFF position by the output of inverter 1330. Also, the restore enable voltage is held at zero volts so that transistor 1370 is OFF. Also, restore precharge voltage is held at zero so transistor 1365 is OFF, such that only the program operation is enabled.

[0121] In operation, when transitioning from zero power nonvolatile retention mode to normal run mode, coupling circuit 1108' transfers the logic state from nonvolatile nanotube switch 1110' to volatile slave latch stage circuit 1106' after power supply VDD is restored, but before clock operation begins. As illustrated in figure 13C, even after VDD is restored, clock CLK remains stopped in a low voltage state, with complimentary clock CLKb in a high voltage state, where a high voltage state is at VDD (1.3 to 1.8 volts, for example) and a low voltage state is at zero volts.
[0122] As illustrated by waveforms 1300 in figure 13C, during the restore operation, a VEPR is held at ground (zero volts) and zero volts is applied to terminal 1112' of nonvolatile nanotube switch 1110' illustrated in figure 13A. With clock pulse CLK at zero volts, CMOS transfer gate 1160' of volatile master latch stage circuit 1104' is OFF, isolating volatile slave latch stage circuit 1106'. At the beginning of the restore operation, the restore enable level applied to input 1192' of inverter 1190' and CMOS transfer gate 1185' is at VDD, and its compliment at the output of inverter 1190' applied to CMOS transfer gate 1185', turn CMOS
transfer gate 1185' ON. With transfer gate 1185' ON, output 1180' of inverter 1175' is electrically connected to input 1120' of inverter 1170'; a storage device is formed with 1120' as a storage node. Restore precharge voltage pulse transitions from VDD to ground and back to VDD, briefly turning transistor 1365 ON and precharging node 1120' to a positive voltage. Next, restore enable voltage transistor 1370 ON, connecting node 1120' to common node 1317. Program enable input voltage is at zero volts during a restore operation, and the output of inverter 1330 holds transistor 1342 in the ON state connecting common node 1370 to common node 1116', and to one terminal of nonvolatile nanotube switch 1110' through connector 1114'. With transistors 1370 and 1342 in the ON state, volatile slave latch stage circuit 1106' is connected to VEPR which is held at ground (zero volts). With the restoration of power supply connection to VDD to volatile slave latch stage circuit 1106' prior to the start of the restore operation, and the precharging of node 1120' to VDD prior to the start of the restore enable operation, volatile slave latch stage circuit 1106' is in a state with node 1120' at VDD. If nonvolatile nanotube switch 1110 is ON (CLOSED), then voltage VDD on node 1120' is discharged, and the input of inverter 1170' transitions to ground. If nonvolatile nanotube switch 1110 is OFF (OPEN) then node 1120', the input of inverter 1170', remains at VDD. By having CMOS transfer gate 1185' OFF
facilitates the restore operation because voltage supplied through nonvolatile nanotube switch 1110' only has the small input load of inverter 1170' input, and does not have to overcome a latched storage state. Next, when the restore enable pulse transitions from VDD to zero volts, CMOS transfer gate 1185' turns on and the logic state (or data) is stored on node 1120', with the compliment stored on the output node 1125'. Transistor 1370 turns OFF and decouples nonvolatile nanotube switch 1120' from volatile slave latch stage circuit 1106'. The restore operation is estimated to take just a few nanoseconds. Normal run mode then begins.

[0123] During the restore operation, the erase enable voltage is held at zero volts and transistor 1320 is OFF. Also, the program enable voltage is held at zero volts, and transistor 1343 is OFF and transistor 1342 is ON such that only the restore operation is enabled.

[0124] Figure 14A illustrates a third embodiment of a nonvolatile register file stage circuit 1100" that corresponds to nonvolatile register file stage 1005' in figure 11B. Nonvolatile register file stage 1100" has two modes of operation, a normal run mode and a zero power logic state (or data) nonvolatile retention mode in which power is disconnected. Volatile master latch stage circuit 1104" corresponds to volatile master latch stage 1010', volatile slave latch stage circuit 1106"
corresponds to volatile slave latch stage 1015', and nonvolatile nanotube switch 1110"
corresponds to nonvolatile nanotube switch 1025' in figure 1 iB. Electrical connection 1112" between nonvolatile nanotube switch 1110" and supply voltage VEPR
corresponds to electrical connection 1030', electrical connection 1114"
between nonvolatile nanotube switch 1110" and volatile slave latch stage circuit 1106' corresponds to electrical connection 1040' in figure 11B. Power supply voltage VDD
connections to the inverters in volatile master latch stage circuit 1104" (not shown) and volatile slave latch stage circuit 1106" (not shown) correspond to power supply connections VDD in figure 11B. Note that third embodiment nonvolatile register file stage circuit 1100" has no coupling circuit between nonvolatile register file stage circuit 1102" and nonvolatile nanotube switch 1110".

[0125] As illustrated in figure 14A, input node 1115" of volatile master latch stage circuit 1104" receives input signal VIN and drives CMOS transfer gate 1130", which is connected to and drives storage node 1135" formed by cross coupled CMOS
inverters 1145" and 1150". Input signal VIN corresponds to VIN from logic 950 in figure 10. CMOS transfer gate 1130" uses both NMOS and PMOS devices instead of an NMOS-only transfer gate, for example, to ensure that both logic "1" and logic "0"
states transition between full power supply and ground voltage levels by eliminating device threshold voltage drops. Clock CLK 1140, and complimentary clock CLKb 1140' are used to enable or block input signal VIN on input node 1115" from driving storage node 1135"; by turning CMOS transfer gate 1130" ON and OFF, thereby determining the logic storage state of cross coupled CMOS inverters 1145" and 1150". Note that all inverters are CMOS inverters unless otherwise specified.
CMOS
inverters include a PMOS pull-up device connected to a power supply, and a NMOS
pull-down device connected to ground and operates as discussed in the reference by H.B. Bakoglu, "Circuits, Interconnections, and Packaging for VLSI", Addison-Wesley Publishing Company, Inc, 1990, pp. 152. Cross coupled inverters 1145"
and 1150" drive storage node 1155" which is connected to CMOS transfer gate 1160".
Clock CLK and complimentary clock CLKb are used to enable or block stored logic state node 1155" from driving master latch stage circuit 1106" input node 1120" by turning CMOS transfer gate 1160" ON and OFF.

[0126] As illustrated in figure 14A, input node 1120" of volatile slave latch stage circuit 1106", which is also the output node of master latch stage circuit 1104", drives inverter 1170". The output of inverter 1170" is output voltage VoUT on output node 1125", and also drives the input of inverter 1175". Output signal VouT
corresponds to Vour in figure 10, which drives an input to logic 960. The output 1180" of inverter 1175" is connected to CMOS transfer gate 1185". Clock CLK, and complimentary clock CLKb are used to enable or block the presence of a feedback loop that cross couples inverters 1170" and 1175" when enabled. During normal high speed operation, clock CLK switches at high speed, 3 GHz clock rate, for example, for the 130 nm CMOS technology node. Inverter 1190" produces the complement CLKb or clock CLK. When storing data, CMOS transfer gate 1185" is ON and inverters 1170" and 1175" form a cross coupled storage device with node 1120" acting as a storage node. When CMOS transfer gate 1185" is OFF, then inverters 1170" and 1175" are not cross coupled and do not form a storage device.
Slave latch stage circuit 1106" is directly coupled to nonvolatile nanotube switch 1110' by connector 1114".

[0127] As illustrated in figure 14A, nonvolatile nanotube switch 1110" is connected to power supply voltage VEPR, which supplies erase, program, and restore pulse (or pulses) as required. Nonvolatile nanotube switch 1110" is also directly connected to volatile slave latch stage circuit 1106" by connector 1114".

[0128] Figure 14B illustrates nonvolatile nanotube switch 1110" direct connection to common node 1180" of volatile slave latch stage circuit 1106" by connector 1114" in more detail. Inverter 1175" is formed using pull-up PFET
transistor 1177" with source connected to voltage source Vps and drain connected to common node 1180", and pull-down NFET transistor 1178" with source connected to ground and drain connected to common node 1180". The gate of PFET
transistor 1177"' and the gate of NFET transistor 1178" are both connected to node 1125"
as illustrated in figure 14A.

[0129] While in a normal run mode, all directly coupled nonvolatile nanotube switches 1110" are in an OFF (high resistance) state and VEPR may be at or near zero volts. Hence, volatile master latch stage circuit 1104" and volatile slave latch stage circuit 1106" operate in a normal (conventional) synchronized logic master/slave =
register run mode of operation at high speed clock rates, typically 3 GHz with VDD
1.3 volts, for logic products fabricated using the 130 nm technology node.

[0130] In normal run mode, at the beginning of a clock cycle, clock CLK 1140"
transitions from high to low voltage and remains at low voltage for the first half the clock cycle, and complimentary clock CLKb 1140"' transitions from low to high voltage and remains at high voltage for the first half of the clock cycle.
CMOS
transfer device 1130" turns ON coupling input node 1115" voltage VIN to storage node 1135". CMOS transfer device 1160 ' turns OFF and isolates the output of volatile master latch stage circuit 1104" from the input node 1120" of volatile slave latch stage circuit 1106". In normal run mode, clock CLK is connected to mode input 1192" of volatile slave latch stage circuit 1106", clock CLK is connected to CMOS
transfer device 1185", and complimentary clock CLKb output of inverter 1190"
is also connected to CMOS transfer device 1185", such that CMOS transfer device also turns OFF breaking the feedback path between the output 1180" of inverter 1175" and the input 1120" of inverter 1170" such that node 1120" does not act as a storage node.
Voltage VIN may transition to a voltage value corresponding to the correct logic state any time prior to the end of the first half of the clock cycle, providing sufficient time remains for cross coupled inverters 1145" and 1150" to store the corresponding logic state on storage node 1155" prior to clock transition at the beginning of the second half of the clock cycle.

[0131] Referring to figure 14A, in normal run mode, clock CLK 1140"
transitions from low to high voltage and remains at high voltage at the beginning of the second half of the clock cycle, and complimentary clock CLKb 1140"' transitions from high to low voltage and remains at low voltage for the second half of the clock cycle.
CMOS transfer device 1130" turns OFF decoupling input node 1115" voltage VIN
from storage node 1135", which remains in a state corresponding to input voltage VIN
at the end of the first half of the clock cycle, and storage node 1155"
remains in a complimentary state to storage node 1135". CMOS transfer device 1160" turns ON
and transfers the state of storage node 1155" to input 1120" of inverter 1170"
that drives output node 1125" to output voltage VouT, and also drives the input of inverter 1175" . In normal run mode, clock CLK is connected to mode input 1192" of volatile slave latch stage circuit 1106", clock CLK is connected to CMOS transfer device 1185", and complimentary clock CLKb output of inverter 1190" is also connected to CMOS transfer device 1185", such that CMOS transfer device also turns ON forn-ung the feedback path between the output 1180" of inverter 1175" and the input 1120"
of inverter 1170" such that node 1120" acts as a storage node. With CMOS
transfer device 1185" turned ON, output 1180" of inverter 1175" drives the input of inverter 1170" and stores the state of slave latch state stage circuit 1110" until the end of the second stage of the clock cycle.

[0132] In operation, nonvolatile nanotube switch 1110" is erased (turned OFF) prior to normal operation of nonvolatile register file stage circuit 1102".
During an erase operation, input VIN illustrated in figure 14A is chosen such that node 1180" of volatile slave latch stage circuit 1106" is held at zero volts. Node 1180" is at zero volts when inverter 1175" input 1125", correspond to VoUT, is at a positive voltage, 1.8 to 3 volts, for example. When input voltage 1125" is at a positive voltage, NFET
1178" is ON and PFET 1177" is OFF, such common node 1180" is at or near zero volts.

[0133] With NFET 1178" ON, a VEPR erase pulse transitions to 10 volts as illustrated in waveforms 1250" of figure 14C. If nonvolatile nanotube switch 1110"
is an ON state with resistance of I MSZ, for example, and NFET 1178" is in an ON
state with channel resistance of 200 KS2, for example, then a voltage of 8.3 volts is applied across nanotube switch 1110" and a current of 8.3 uA flows througll nanotube switch 1110" and NFET 1178" channel to ground. If nonvolatile nanotube switch 1110" erase conditions are 8 volts and 1-5 uA of current, for example, then nanotube switch 1110" transitions from and ON to an OFF state with a high resistance state of 10MSZ to 1GSZ or higher. VEPR erase pulse then transitions back to zero volts and the erase operation has ended. If nonvolatile nanotube switch 1110" is in OFF state at the start of an erase operation, it remains in the OFF state.
After nonvolatile nanotube switches 1110", normal operation may begin.

[0134] In operation, when transitioning from normal run mode to zero power nonvolatile retention mode, the logic state of volatile slave latch stage circuit 1106" is transferred directly to nonvolatile nanotube switch 1110" before power is turned OFF. As illustrated in waveforms 1250" in figure 13B, while power remains ON, clock CLK is stopped in a low voltage state, with complimentary clock CLKb in a high voltage state, where a high voltage state is at VDD (1.3 to 1.8 volts, for example).
[0135] As illustrated in figure 14C, erase mode precedes a programming operation so that nonvolatile nanotube switch 1110" is in the OFF state.
During the program operation, a VEPR program pulse transitions from zero to a high voltage of 5 volts. If the logic state of volatile slave latch stage circuit 1106" is such that VouT is at a positive voltage in the range of 1.8 to 3.0 volts, for example, then common node 1125" is at a positive voltage, NFET 1178" is ON and PFET 1177" is OFF, then common node 1180" is at or near zero volts. Program voltage Vp of 5 volts is connected to one terminal of switch 1110", and the other terminal of nonvolatile nanotube switch 1110" is connected by connector 1114" to common terminal 1180", which is connected to the drain of ON transistor NFET 1178" and through ON
NFET
1178" transistor to ground. Initially, nonvolatile nanotube switch 1110" is OFF at high resistance, and the entire 5 volts appears across switch 1110'. Then, as switch 1110" transitions to the ON state, switch 1110" resistance transitions to approximately 1 MS2, for example. If NFET 1178" has an ON resistance of 200 KS2, for example, then a programming voltage of 4.2 volts is sustained across nonvolatile nanotube switch 1110" during the programming operation, and a current of 4.2 uA
flows from the VEPR source through nonvolatile nanotube switch 1110" and NFET
1178" ON transistor to ground. If nonvolatile nanotube switch 1110"
programming requires a sustained programming voltage of 3.5 to 4 volts across switch 1110", and a programming current of 1-4 uA through switch 1110", for example, then nonvolatile nanotube switch 1110" is programmed to a low resistance ON state of 1MSZ, for example. VEPR program pulse then transitions to zero volts, and nonvolatile nanotube switch 1110" stores volatile slave latch stage circuit 1106" the logic state corresponding to VOUT positive as an ON state, and power may be removed.

[0136] If the logic state of volatile latch stage circuit 1106" is such that VOUT is at zero volts, for example, then common node 1125" is at zero volts, PFET 1177"
is ON and NFET 1178" is OFF, then common node 1180" is at or near positive voltage Vps, 3.0 volts for example. Program pulse transitions from 0 to Vp of 5 volts as illustrated in figure 14C. With common node 1180" at 3 volts, the programming voltage applied across nonvolatile nanotube switch 1110" cannot exceed the required programming voltage 3.5 volts, for example, and nonvolatile nanotube switch 1110"
remains in the erased OFF (high resistance) state. VEPR program pulse then transitions to zero volts, and nonvolatile nanotube switch 1110" stores volatile latch stage circuit 1106" the logic state corresponding to VoUr = 0 as an OFF state, and power may be removed.

[0137] In operation, when transitioning from zero power nonvolatile retention mode to normal run mode, the state of nonvolatile nanotube switch 1110" must be transferred directly to volatile slave latch stage circuit 1106" after power supply VDD

is restored, but before clock operation begins. A control circuit shown in figure 8B is powered before nonvolatile register file stage circuit 1102" and nonvolatile nanotube switch 1110". The control circuit provides/controls clock waveforms, restores enable waveforms, input waveforms, controls power supply transitions, and provides other waveforms required to carry out the nonvolatile retention mode to normal run mode transition, and to run nonvolatile register file stage circuit 1102" in a normal operating mode. As illustrated in figure 14D, restore is accomplished in three timing increments. In the first restore timing increment, common node 1180" that connects volatile slave latch stage circuit 1106" to nonvolatile nanotube switch 1110"
using connector 1114" is set to a positive voltage, independent of the state (ON or OFF) of nonvolatile nanotube switch 1110". In the second restore timing increment, common node 1180" is discharged to a low voltage for nonvolatile nanotube switches 1110"
in the ON state, or left at a high voltage for nonvolatile nanotube switches 1110" in the OFF state. In the third restore timing increment, an erase operation is carried out such that nanotube switches 1110" in the ON state transition to the OFF state;
nanotube switches 1110" in the OFF state remain in the OFF state. At this time, normal nonvolatile register file stage circuit 1102" may begin.

[0138] In the first restore timing increment, VEPR transitions to a positive restore voltage VR, 2.2 volts for example. Restore enable is set at voltage VDD, CLK
transitions high (VDD for example), and CLKb transitions low. VIN is held low, zero volts for example. Volatile master latch stage circuit 1104" drives and holds volatile slave latch stage circuit 1106" VoLTT low, zero volts for example, which turns PFET
1177" ON and NFET 1178" OFF (figure 14B). Power supply voltage VPs = 2.2 V, for example, is applied to node 1180" through PFET 1177" for nonvolatile nanotube switches 1110" in the ON or OFF state. For nonvolatile nanotube switch 1110"
in the OFF state, VEPR has negligible effect on common node 1180", and PFET 1177"
drives common node 1180" to VPs = 2.2 volts; for nonvolatile nanotube switch 1110" in the ON state, both VEPR and PFET 1177" apply 2.2 volts to common node 1180". Then, CLK transitions to ground and CLKb transitions to VDD, CMOS pass gate 1160" turns OFF and input node 1120" of volatile slave latch stage circuit 1106" is decoupled from volatile master latch stage circuit 1104", but remains at 2.2 volts. Restore enable remains at VDD and CMOS transfer gate 1185" remains in the ON state, completing the feedback loop of volatile slave latch stage circuit 1106".
[0139] In the second restore timing increment, VEPR transitions from 2.2 volts to 0 volts. If nonvolatile nanotube switch 1110" is in the OFF state, then common node 1180" remains positive at 2.2 volts and VouT remains at or near zero volts;
however, if nonvolatile nanotube switch 1110" is in the ON state, then common node 1180"
voltage is reduced. If PFET 1177" ON channel resistance is 1.75 MS2 and the ON
resistance of nonvolatile nanotube switch 1110" is 1 MSZ, for example, then common node 1180" voltage drops from 2.2 volts to 0.8 volts, and volatile slave latch stage circuit 1106" switches to the opposite state, with Vou'r positive, at VDD for example.
PFET 1177" turns OFF and NFET 1178" turns ON.

[0140] In the third restore timing increment, an erase operation is carried out to ensure that nonvolatile nanotube switch 1110" is in the OFF state . Erase voltage VEPR is ramped up from zero to VE or approximately 10 volts, for example. If nonvolatile nanotube switch 1110" is in an ON state of 1 MS2, for example, and NFET 1178" is in an ON state of 200 KS2, for example, then current flows through nonvolatile nanotube switch 1110" and NFET 1178" in series, and approximately 8.3 volts is applied across nonvolatile switch 1110" with a current of approximately 8.3 uA. For nonvolatile nanotube switch 1110" erase conditions of at least 8 volts, and current in the lto 8 uA range, nonvolatile nanotube switch 1110" switches to an OFF
state. If nonvolatile nanotube switch.1110" is in the OFF state, 1 GS2, for example, then essentially all of the 10 volts erase pulse appears across the nonvolatile nanotube switch 1110", and switch 1110" remains in the OFF state. At this time, the restore operation is complete, and normal operation of nonvolatile register file stage circuit 1102" may begin.

Meeting Higher Voltage Erase and Programming Requirements For Non- Volatile Nanotube Switches [0141] FET devices used in volatile master latch stage circuit 1104 and volatile slave latch stage circuit 1106, which are part of nonvolatile register file stage circuit 1100 shown in figure 12A, operate at low scaled voltages such as VDD = 1.3 volts, optimized for high speed operation at 3 GHz clock rate for a 130 nm technology node, for example. Coupling circuit 1108 isolates these latch circuits from the relatively high voltage requirement of nonvolatile nanotube switch 1110.

[0142] As discussed further above with respect to nonvolatile nanotube switch 1110 operation described in figure 12B, in certain embodiments, erase and program voltages applied to node 1112 of nonvolatile nanotube switch 1110 are approximately volts during erase operations, and approximately 5 volts during programming operations. Process engineering and circuit design that enables relatively high voltage operation in semiconductor chips are described in U.S. Pat. No. 5,818,748, to Bertin et al. Transistors used in high voltage circuits require special semiconductor structures to accommodate them, typically using well and drain engineering, thicker gate oxides, and greater FET channel lengths to accommodate high voltage circuits. =
[0143] Figure 15 illustrates prior art high voltage circuit 1400 as illustrated in U.S. Pat. No. 5,818,748 capable of supplying a voltage of up to approximately volts. High voltage circuit 1400 includes high voltage source 1410, which may be generated on-chip or may supplied from off-chip. On-chip high voltage sources may be designed and high voltages distributed on-chip as described in U.S. Pat.
No.
6,346,846, to Bertin et al. The electrical characteristics of programmable off-chip power supplies are described in "Basics of Power Supplies - Use of the HP E363 Programmable Power Supply." Adjustable Off-chip power supplies can be operate over a wide range of voltages, 1 volt to 12 volts, for example, and voltages can be adjusted in less than 1 millisecond, for example.

[0144] Mode selection input 1420 determines if outputs 1430 and 1435 supply an erase voltage of approximately 10 volts, a program voltage of approximately 5 volts, or a restore voltage in the range of 1.3 to 2.5 volts, for example. The restore voltage may be supplied from the VDD supply instead of high voltage circuit 1400.

[0145] Output conductor 1440 supplies voltage to multiple nonvolatile nanotube switches 1110, 1110', and 1110" using an output stage including high voltage compatible PMOS 1445 and high voltage compatible NMOS 1450. High voltage compatible PMOS 1445 is connected to high voltage source 1410 by conductor and high voltage compatible NMOS 1450 is connected to ground. VREF voltages are zero volts (ground). A pre-output stage comprising high voltage compatible PMOS
1455 and high voltage compatible NMOS 1460 drives the input of the output stage.
High voltage compatible PMOS 1455 is connected to high voltage source 1410 by conductor 1455 and high voltage compatible NMOS 1460 is connected to ground.
The input of the pre-output stage is controlled by the output of decoder 1465.
Input signals S1-SN determine which output conductor 1440 will be selected. The output of decoder 1465 connects to high voltage source 1410.

[0146] Figure 16 illustrates prior art process engineered structures 1500 described in U.S. Pat. No. 5,818,748, to Bertin et al., such as triple well driver transistor 1510 structure in P-doped substrate 1520, corresponding to high voltage compatible NMOS
1450 and 1460 transistors illustrated in figare 14. P-well 1525 and N-well 1530 are introduced to tolerate undershoots below ground level and also provide for below-ground voltage reference levels if desired. PMOS structure 1540 and NMOS
structure 1550 are typical of CMOS transistors.

[0147] High voltage circuit 1400 layouts as described in U.S. Pat. No.
5,818,748 result in output conductor 1440 spacing with corresponding adjacent conductors of approximately two times the spacing when using low voltage circuits. For this invention, where nonvolatile nanotube switches 1110, 1110', and 1110" are used as shadow devices in register files, such output conductor 1440 spacing provide greater density than is required by this invention.

[0148] Figure 17 illustrates power source 1600 designed to supply multiple output conductors 1605, 1610, and 1615 corresponding to output conductor 1440 in figure 15. Each output conductor has multiple nanotube switches 1605-1, 1605-2, to 1605-n, for example. VREF is zero volts. High voltage source 1620, mode selection input 1625, output stage 1630, and decoder 1635 correspond to high voltage source 1410, mode selection input 1420, output stage comprising PMOS 1445 and NMOS 1450, and decoder 1465, respectively, iIlustrated in figure 15. Power source 1600 may be used with register files stage circuits 1110, 1110', and 1110".

[0149] Transistors used in coupling circuit 1108 and 1108' illustrated in figures 12A and 13A may be exposed to higher voltages. During the erase operation, according to certain embodiments of the invention such as illustrated in figure 12A, NMOS 1220 turns ON prior to the application of erase voltage VEPR of 10 volts to node 1112, with an FET channel resistance typically at least 5X lower than the resistance of nonvolatile nanotube switch 1110 in the ON state. For an erase voltage of 10 volts, for example, the drain of NMOS 1220 is at approximately 2 volts.
If nanotube switch 1110 is already erased (in the OFF state), NMOS 1220 drain voltage will be near zero.

[0150] During a program operation, according to certain embodiments of the invention, a program voltage VEPR of 5 volts is applied to node 1112 of nonvolatile nanotube switch 1110 illustrated in figure 12A. If nonvolatile nanotube switch turns ON, then a voltage approaching 5 volts may be applied to common node 1116.
The drain NMOS 1220 which is OFF, the source of PMOS transistor 1240, and nodes of NMOS 1230 and 1225 may all approach 5 volts. Therefore, NMOS and PMOS
devices that form coupling circuit 1108 may require process engineering to tolerate up to 5 volts between terminals.

[0151] The invention may be embodied in other specific forms without departing from the spirit or essential characteristics thereof. The present embodiments are therefore to be considered in respects as illustrative and not restrictive.

[0152] What is claimed is:

Claims (13)

1. A non-volatile memory cell comprising:
a volatile storage device that stores a corresponding logic state in response to electrical stimulus; and a shadow memory device coupled to the volatile storage device so as to receive and store the corresponding logic state in response to electrical stimulus, said shadow memory device including a non-volatile nanotube switch, wherein said nanotube switch stores the corresponding state of the shadow device.
2. The non-volatile memory cell of claim 1, wherein the non-volatile nanotube switch comprises a two terminal nanotube switch.
3. The non-volatile memory cell of claim 1, further comprising a coupling circuit capable of transferring the corresponding logic state of the volatile storage device to the shadow memory device in response to electrical stimulus, and further capable of transferring a logic state of the shadow memory device to the volatile storage device in response to electrical stimulus.
4. The non-volatile memory cell of claim 1, further comprising a coupling circuit including:
a program circuit providing an electrical pathway between the volatile storage device and the shadow memory device and responsive to a program signal to transfer a corresponding logic state of the volatile storage device to the shadow memory device; and a restore circuit providing an electrical pathway between the shadow memory device and the volatile storage device and responsive to a restore signal to transfer a logic state of the shadow memory device to the volatile storage device.
5. The non-volatile memory cell of claim 1, further comprising a coupling circuit including:
an erase circuit in electrical communication with the shadow memory device and responsive to an erase signal to erase a logic state of the shadow memory device.
6. The non-volatile memory cell of claim 1, wherein a first terminal of the nanotube switch is in electrical communication with an output node of the volatile storage device, and wherein a second terminal of the nanotube switch is in electrical communication with a program/erase/read line.
7. The non-volatile memory cell of claim 1, further comprising a controller in electrical communication with the volatile storage device and capable of monitoring a level of power to the volatile storage device.
8. The non-volatile memory cell of claim 7, said controller capable of applying electrical stimulus to the shadow memory device in response to a loss of power to the volatile storage device, said electrical stimulus transferring the logic state of the volatile storage device to the shadow memory device.
9. The non-volatile memory cell of claim 7, said controller capable of applying electrical stimulus to the shadow memory device in response to an increase of power to the volatile storage device, said electrical stimulus transferring the logic state of the shadow memory device to the volatile storage device.
10. The non-volatile memory cell of claim 1, wherein the state stored by the non-volatile nanoswitch is characterized by the resistance of an electrical pathway in the nanoswitch.
11. The non-volatile memory cell of claim 1, further comprising a master latch stage capable of receiving a voltage and outputting said voltage to the volatile storage device, said voltage corresponding to a logic state.
12. The non-volatile memory cell of claim 11, wherein a random logic stage produces the voltage corresponding to the logic state.
13. The non-volatile memory cell of claim 11, wherein an onboard cache produces the voltage corresponding to the logic state.
CA002608065A 2005-05-09 2006-05-09 Non-volatile shadow latch using a nanotube switch Abandoned CA2608065A1 (en)

Applications Claiming Priority (11)

Application Number Priority Date Filing Date Title
US67902905P 2005-05-09 2005-05-09
US60/679,029 2005-05-09
US69289105P 2005-06-22 2005-06-22
US69291805P 2005-06-22 2005-06-22
US69276505P 2005-06-22 2005-06-22
US60/692,918 2005-06-22
US60/692,765 2005-06-22
US60/692,891 2005-06-22
US11/280,599 2005-11-15
US11/280,599 US7394687B2 (en) 2005-05-09 2005-11-15 Non-volatile-shadow latch using a nanotube switch
PCT/US2006/017853 WO2007001642A2 (en) 2005-05-09 2006-05-09 Non-volatile shadow latch using a nanotube switch

Publications (1)

Publication Number Publication Date
CA2608065A1 true CA2608065A1 (en) 2007-01-04

Family

ID=37595640

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002608065A Abandoned CA2608065A1 (en) 2005-05-09 2006-05-09 Non-volatile shadow latch using a nanotube switch

Country Status (6)

Country Link
US (1) US7986546B2 (en)
EP (1) EP1880390A4 (en)
KR (1) KR101280105B1 (en)
CA (1) CA2608065A1 (en)
TW (1) TWI324773B (en)
WO (1) WO2007001642A2 (en)

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7835170B2 (en) * 2005-05-09 2010-11-16 Nantero, Inc. Memory elements and cross point switches and arrays of same using nonvolatile nanotube blocks
US8102018B2 (en) 2005-05-09 2012-01-24 Nantero Inc. Nonvolatile resistive memories having scalable two-terminal nanotube switches
US8008745B2 (en) 2005-05-09 2011-08-30 Nantero, Inc. Latch circuits and operation circuits having scalable nonvolatile nanotube switches as electronic fuse replacement elements
WO2008021900A2 (en) * 2006-08-08 2008-02-21 Nantero, Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7871851B2 (en) * 2007-05-25 2011-01-18 RF Nano Method for integrating nanotube devices with CMOS for RF/analog SoC applications
US20090171650A1 (en) * 2007-12-27 2009-07-02 Unity Semiconductor Corporation Non-Volatile memories in interactive entertainment systems
US7852114B2 (en) * 2008-08-14 2010-12-14 Nantero, Inc. Nonvolatile nanotube programmable logic devices and a nonvolatile nanotube field programmable gate array using same
US9263126B1 (en) 2010-09-01 2016-02-16 Nantero Inc. Method for dynamically accessing and programming resistive change element arrays
EP2363870A1 (en) * 2010-03-04 2011-09-07 Thomson Licensing Electromechanical switch, storage device comprising such an electromechanical switch and method for operating the same
US8130569B1 (en) * 2010-04-27 2012-03-06 The United States Of America As Represented By The United States Department Of Energy Nanoparticle shuttle memory
KR102149882B1 (en) * 2012-01-01 2020-08-31 고쿠리츠다이가쿠호진 도호쿠다이가쿠 Integrated circuit
US9202562B2 (en) 2012-04-18 2015-12-01 Advanced Integrated Memory Inc. Method to reduce read error rate for semiconductor resistive memory
US9715911B2 (en) * 2012-09-10 2017-07-25 Texas Instruments Incorporated Nonvolatile backup of a machine state when a power supply drops below a threshhold
JP6396671B2 (en) * 2013-04-26 2018-09-26 株式会社半導体エネルギー研究所 Semiconductor device
US10386912B2 (en) 2017-01-12 2019-08-20 International Business Machines Corporation Operating pulsed latches on a variable power supply
US11005459B1 (en) * 2019-04-22 2021-05-11 Apple Inc. Efficient retention flop utilizing different voltage domain
US11139803B1 (en) 2020-09-23 2021-10-05 Apple Inc. Low power flip-flop with balanced clock-to-Q delay
US20230386525A1 (en) * 2022-05-31 2023-11-30 Mediatek Inc. Semiconductor die having on-die power switch for selecting target operation voltage from operation voltages provided by different power sources

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3448302A (en) 1966-06-16 1969-06-03 Itt Operating circuit for phase change memory devices
US4845533A (en) 1986-08-22 1989-07-04 Energy Conversion Devices, Inc. Thin film electrical devices with amorphous carbon electrodes and method of making same
CH670914A5 (en) 1986-09-10 1989-07-14 Landis & Gyr Ag
US4876667A (en) 1987-06-22 1989-10-24 Energy Conversion Devices, Inc. Data storage device having a phase change memory medium reversible by direct overwrite
US4809225A (en) 1987-07-02 1989-02-28 Ramtron Corporation Memory cell with volatile and non-volatile portions having ferroelectric capacitors
US4853893A (en) 1987-07-02 1989-08-01 Ramtron Corporation Data storage device and method of using a ferroelectric capacitance divider
US5434811A (en) 1987-11-19 1995-07-18 National Semiconductor Corporation Non-destructive read ferroelectric based memory circuit
US4888630A (en) 1988-03-21 1989-12-19 Texas Instruments Incorporated Floating-gate transistor with a non-linear intergate dielectric
US5198994A (en) 1988-08-31 1993-03-30 Kabushiki Kaisha Toshiba Ferroelectric memory device
US5096849A (en) 1991-04-29 1992-03-17 International Business Machines Corporation Process for positioning a mask within a concave semiconductor structure
JP2930168B2 (en) 1992-10-09 1999-08-03 シャープ株式会社 Driving method of ferroelectric memory device
US5682345A (en) * 1995-07-28 1997-10-28 Micron Quantum Devices, Inc. Non-volatile data storage unit method of controlling same
US5818748A (en) 1995-11-21 1998-10-06 International Business Machines Corporation Chip function separation onto separate stacked chips
US6445006B1 (en) 1995-12-20 2002-09-03 Advanced Technology Materials, Inc. Microelectronic and microelectromechanical devices comprising carbon nanotube components, and methods of making same
DE19616462C2 (en) * 1996-04-25 1998-04-09 Addcon Agrar Gmbh Composition for preserving animal feed, process for their preparation and their use
DE19644190A1 (en) 1996-10-24 1998-06-25 Bosch Gmbh Robert Process for receiving messages and electrical device for carrying out the process
US6159620A (en) 1997-03-31 2000-12-12 The Regents Of The University Of California Single-electron solid state electronic device
KR100276569B1 (en) 1997-06-20 2000-12-15 김영환 Ferroelectric memory device
US6011744A (en) * 1997-07-16 2000-01-04 Altera Corporation Programmable logic device with multi-port memory
US5903010A (en) 1997-10-29 1999-05-11 Hewlett-Packard Company Quantum wire switch and switching method
US6020747A (en) 1998-01-26 2000-02-01 Bahns; John T. Electrical contact probe
US6658634B1 (en) 1998-05-07 2003-12-02 International Business Machines Corporation Logic power optimization algorithm
US6097243A (en) 1998-07-21 2000-08-01 International Business Machines Corporation Device and method to reduce power consumption in integrated semiconductor devices using a low power groggy mode
US6097241A (en) 1998-07-21 2000-08-01 International Business Machines Corporation ASIC low power activity detector to change threshold voltage
US5986962A (en) 1998-07-23 1999-11-16 International Business Machines Corporation Internal shadow latch
US6346189B1 (en) 1998-08-14 2002-02-12 The Board Of Trustees Of The Leland Stanford Junior University Carbon nanotube structures made using catalyst islands
US6348700B1 (en) 1998-10-27 2002-02-19 The Mitre Corporation Monomolecular rectifying wire and logic based thereupon
US6472705B1 (en) 1998-11-18 2002-10-29 International Business Machines Corporation Molecular memory & logic
US6280697B1 (en) 1999-03-01 2001-08-28 The University Of North Carolina-Chapel Hill Nanotube-based high energy material and method
US6518156B1 (en) 1999-03-29 2003-02-11 Hewlett-Packard Company Configurable nanoscale crossbar electronic circuits made by electrochemical reaction
US6256767B1 (en) 1999-03-29 2001-07-03 Hewlett-Packard Company Demultiplexer for a molecular wire crossbar network (MWCN DEMUX)
US6559468B1 (en) 1999-03-29 2003-05-06 Hewlett-Packard Development Company Lp Molecular wire transistor (MWT)
US6128214A (en) 1999-03-29 2000-10-03 Hewlett-Packard Molecular wire crossbar memory
US6314019B1 (en) 1999-03-29 2001-11-06 Hewlett-Packard Company Molecular-wire crossbar interconnect (MWCI) for signal routing and communications
US6345362B1 (en) 1999-04-06 2002-02-05 International Business Machines Corporation Managing Vt for reduced power using a status table
AUPP976499A0 (en) 1999-04-16 1999-05-06 Commonwealth Scientific And Industrial Research Organisation Multilayer carbon nanotube films
AU782000B2 (en) 1999-07-02 2005-06-23 President And Fellows Of Harvard College Nanoscopic wire-based devices, arrays, and methods of their manufacture
US6198655B1 (en) 1999-12-10 2001-03-06 The Regents Of The University Of California Electrically addressable volatile non-volatile molecular-based switching devices
US6346846B1 (en) 1999-12-17 2002-02-12 International Business Machines Corporation Methods and apparatus for blowing and sensing antifuses
JP3572329B2 (en) 1999-12-22 2004-09-29 エルピーダメモリ株式会社 A data latch circuit and an operation method of the data latch circuit.
US6625740B1 (en) 2000-01-13 2003-09-23 Cirrus Logic, Inc. Dynamically activating and deactivating selected circuit blocks of a data processing integrated circuit during execution of instructions according to power code bits appended to selected instructions
US7335603B2 (en) 2000-02-07 2008-02-26 Vladimir Mancevski System and method for fabricating logic devices comprising carbon nanotube transistors
JP3837993B2 (en) 2000-03-21 2006-10-25 日本電気株式会社 Electronic device and recording method using the same
EP1170799A3 (en) 2000-07-04 2009-04-01 Infineon Technologies AG Electronic device and method of manufacture of an electronic device
JP3859199B2 (en) 2000-07-18 2006-12-20 エルジー エレクトロニクス インコーポレイティド Carbon nanotube horizontal growth method and field effect transistor using the same
US6504118B2 (en) 2000-10-27 2003-01-07 Daniel J Hyman Microfabricated double-throw relay with multimorph actuator and electrostatic latch mechanism
US6548841B2 (en) 2000-11-09 2003-04-15 Texas Instruments Incorporated Nanomechanical switches and circuits
JP3587248B2 (en) 2000-12-20 2004-11-10 日本電気株式会社 Scan flip-flops
US6625047B2 (en) 2000-12-31 2003-09-23 Texas Instruments Incorporated Micromechanical memory element
US6423583B1 (en) 2001-01-03 2002-07-23 International Business Machines Corporation Methodology for electrically induced selective breakdown of nanotubes
US6373771B1 (en) 2001-01-17 2002-04-16 International Business Machines Corporation Integrated fuse latch and shift register for efficient programming and fuse readout
US6803840B2 (en) 2001-03-30 2004-10-12 California Institute Of Technology Pattern-aligned carbon nanotube growth and tunable resonator apparatus
AU2002307129A1 (en) 2001-04-03 2002-10-21 Carnegie Mellon University Electronic circuit device, system and method
US7084507B2 (en) 2001-05-02 2006-08-01 Fujitsu Limited Integrated circuit device and method of producing the same
JP4207398B2 (en) 2001-05-21 2009-01-14 富士ゼロックス株式会社 Method for manufacturing wiring of carbon nanotube structure, wiring of carbon nanotube structure, and carbon nanotube device using the same
US6426687B1 (en) 2001-05-22 2002-07-30 The Aerospace Corporation RF MEMS switch
US6673424B1 (en) 2001-06-19 2004-01-06 Arizona Board Of Regents Devices based on molecular electronics
JP2003017074A (en) 2001-07-02 2003-01-17 Honda Motor Co Ltd Fuel cell
DE10134665C1 (en) 2001-07-20 2002-09-05 Infineon Technologies Ag Operating method for semiconductor element has differential resistance switched to lesser value above given current limit
US6706402B2 (en) 2001-07-25 2004-03-16 Nantero, Inc. Nanotube films and articles
US6919592B2 (en) 2001-07-25 2005-07-19 Nantero, Inc. Electromechanical memory array using nanotube ribbons and method for making same
US7259410B2 (en) 2001-07-25 2007-08-21 Nantero, Inc. Devices having horizontally-disposed nanofabric articles and methods of making the same
US6574130B2 (en) 2001-07-25 2003-06-03 Nantero, Inc. Hybrid circuit having nanotube electromechanical memory
US6924538B2 (en) 2001-07-25 2005-08-02 Nantero, Inc. Devices having vertically-disposed nanofabric articles and methods of making the same
US6911682B2 (en) 2001-12-28 2005-06-28 Nantero, Inc. Electromechanical three-trace junction devices
US6835591B2 (en) 2001-07-25 2004-12-28 Nantero, Inc. Methods of nanotube films and articles
US6643165B2 (en) 2001-07-25 2003-11-04 Nantero, Inc. Electromechanical memory having cell selection circuitry constructed with nanotube technology
US7176505B2 (en) 2001-12-28 2007-02-13 Nantero, Inc. Electromechanical three-trace junction devices
US6784028B2 (en) 2001-12-28 2004-08-31 Nantero, Inc. Methods of making electromechanical three-trace junction devices
US6894359B2 (en) 2002-09-04 2005-05-17 Nanomix, Inc. Sensitivity control for nanotube sensors
US20040132070A1 (en) 2002-01-16 2004-07-08 Nanomix, Inc. Nonotube-based electronic detection of biological molecules
WO2003063208A2 (en) 2002-01-18 2003-07-31 California Institute Of Technology Array-based architecture for molecular electronics
DE60301582T2 (en) * 2002-02-09 2006-06-22 Samsung Electronics Co., Ltd., Suwon Carbon nanotube storage device and method of manufacturing the storage device
KR100450825B1 (en) 2002-02-09 2004-10-01 삼성전자주식회사 Memory device utilizing carbon nano tube and Fabricating method thereof
US6889216B2 (en) 2002-03-12 2005-05-03 Knowm Tech, Llc Physical neural network design incorporating nanotechnology
US7049625B2 (en) 2002-03-18 2006-05-23 Max-Planck-Gesellschaft Zur Fonderung Der Wissenschaften E.V. Field effect transistor memory cell, memory device and method for manufacturing a field effect transistor memory cell
US6899945B2 (en) 2002-03-19 2005-05-31 William Marsh Rice University Entangled single-wall carbon nanotube solid material and methods for making same
AU2003220785A1 (en) 2002-04-10 2003-10-20 Matsushita Electric Industrial Co., Ltd. Non-volatile flip-flop
US6760245B2 (en) 2002-05-01 2004-07-06 Hewlett-Packard Development Company, L.P. Molecular wire crossbar flash memory
US6794914B2 (en) 2002-05-24 2004-09-21 Qualcomm Incorporated Non-volatile multi-threshold CMOS latch with leakage control
US6759693B2 (en) 2002-06-19 2004-07-06 Nantero, Inc. Nanotube permeable base transistor
US6774052B2 (en) 2002-06-19 2004-08-10 Nantero, Inc. Method of making nanotube permeable base transistor
TWI282092B (en) * 2002-06-28 2007-06-01 Brilliance Semiconductor Inc Nonvolatile static random access memory cell
JP4338948B2 (en) 2002-08-01 2009-10-07 株式会社半導体エネルギー研究所 Method for producing carbon nanotube semiconductor device
US6809465B2 (en) 2002-08-23 2004-10-26 Samsung Electronics Co., Ltd. Article comprising MEMS-based two-dimensional e-beam sources and method for making the same
US7051945B2 (en) 2002-09-30 2006-05-30 Nanosys, Inc Applications of nano-enabled large area macroelectronic substrates incorporating nanowires and nanowire composites
JP3906139B2 (en) 2002-10-16 2007-04-18 株式会社東芝 Magnetic random access memory
US20040077107A1 (en) 2002-10-17 2004-04-22 Nantero, Inc. Method of making nanoscopic tunnel
US20040087162A1 (en) 2002-10-17 2004-05-06 Nantero, Inc. Metal sacrificial layer
US20040075159A1 (en) 2002-10-17 2004-04-22 Nantero, Inc. Nanoscopic tunnel
AU2003280582A1 (en) 2002-11-01 2004-05-25 Matsushita Electric Industrial Co., Ltd. Method for driving non-volatile flip-flop circuit using resistance change element
JP4124635B2 (en) 2002-12-05 2008-07-23 シャープ株式会社 Semiconductor memory device and memory cell array erasing method
CN1720346B (en) 2003-01-13 2011-12-21 南泰若股份有限公司 Methods of making carbon nanotube films, layers, fabrics, ribbons, elements and articles
EP1583853A4 (en) 2003-01-13 2006-12-20 Nantero Inc Carbon nanotube films, layers, fabrics, ribbons, elements and articles
US7858185B2 (en) 2003-09-08 2010-12-28 Nantero, Inc. High purity nanotube fabrics and films
WO2004065655A1 (en) 2003-01-13 2004-08-05 Nantero, Inc. Methods of using thin metal layers to make carbon nanotube films, layers, fabrics, ribbons, elements and articles
US6919740B2 (en) 2003-01-31 2005-07-19 Hewlett-Packard Development Company, Lp. Molecular-junction-nanowire-crossbar-based inverter, latch, and flip-flop circuits, and more complex circuits composed, in part, from molecular-junction-nanowire-crossbar-based inverter, latch, and flip-flop circuits
US6918284B2 (en) 2003-03-24 2005-07-19 The United States Of America As Represented By The Secretary Of The Navy Interconnected networks of single-walled carbon nanotubes
US7294877B2 (en) 2003-03-28 2007-11-13 Nantero, Inc. Nanotube-on-gate FET structures and applications
US7075141B2 (en) 2003-03-28 2006-07-11 Nantero, Inc. Four terminal non-volatile transistor device
US7113426B2 (en) 2003-03-28 2006-09-26 Nantero, Inc. Non-volatile RAM cell and array using nanotube switch position for information state
US6944054B2 (en) 2003-03-28 2005-09-13 Nantero, Inc. NRAM bit selectable two-device nanotube array
US6995046B2 (en) 2003-04-22 2006-02-07 Nantero, Inc. Process for making byte erasable devices having elements made with nanotubes
US7045421B2 (en) 2003-04-22 2006-05-16 Nantero, Inc. Process for making bit selectable devices having elements made with nanotubes
US7780918B2 (en) 2003-05-14 2010-08-24 Nantero, Inc. Sensor platform using a horizontally oriented nanotube element
US20040238907A1 (en) 2003-06-02 2004-12-02 Pinkerton Joseph F. Nanoelectromechanical transistors and switch systems
US7274064B2 (en) 2003-06-09 2007-09-25 Nanatero, Inc. Non-volatile electromechanical field effect devices and circuits using same and methods of forming same
EP1634296A4 (en) 2003-06-09 2007-02-14 Nantero Inc Non-volatile electromechanical field effect devices and circuits using same and methods of forming same
US6882256B1 (en) 2003-06-20 2005-04-19 Northrop Grumman Corporation Anchorless electrostatically activated micro electromechanical system switch
KR100568425B1 (en) 2003-06-30 2006-04-05 주식회사 하이닉스반도체 Method of manufacturing bit line in a flash device
US7245520B2 (en) 2004-08-13 2007-07-17 Nantero, Inc. Random access memory including nanotube switching elements
WO2005017967A2 (en) 2003-08-13 2005-02-24 Nantero, Inc. Nanotube device structure and methods of fabrication
CN101562049B (en) 2003-08-13 2012-09-05 南泰若股份有限公司 Nanotube-based switching elements with multiple controls and circuits made thereof
US7289357B2 (en) 2003-08-13 2007-10-30 Nantero, Inc. Isolation structure for deflectable nanotube elements
US7115960B2 (en) * 2003-08-13 2006-10-03 Nantero, Inc. Nanotube-based switching elements
WO2005048296A2 (en) * 2003-08-13 2005-05-26 Nantero, Inc. Nanotube-based switching elements with multiple controls and circuits made from same
US7102908B2 (en) 2003-08-29 2006-09-05 Infineon Technologies Ag Reliable ferro fuse cell
US7375369B2 (en) 2003-09-08 2008-05-20 Nantero, Inc. Spin-coatable liquid for formation of high purity nanotube films
US7504051B2 (en) 2003-09-08 2009-03-17 Nantero, Inc. Applicator liquid for use in electronic manufacturing processes
US7416993B2 (en) 2003-09-08 2008-08-26 Nantero, Inc. Patterned nanowire articles on a substrate and methods of making the same
US20050052894A1 (en) 2003-09-09 2005-03-10 Nantero, Inc. Uses of nanofabric-based electro-mechanical switches
US6890780B2 (en) 2003-10-10 2005-05-10 General Electric Company Method for forming an electrostatically-doped carbon nanotube device
KR100545212B1 (en) * 2003-12-26 2006-01-24 동부아남반도체 주식회사 Non-volatile memory device with oxide stack and non-volatile SRAM using the same
KR100599106B1 (en) * 2003-12-31 2006-07-12 동부일렉트로닉스 주식회사 Non-volatile memory device and method for fabricating the same
KR100620218B1 (en) * 2003-12-31 2006-09-11 동부일렉트로닉스 주식회사 Semiconductor device
KR100580292B1 (en) * 2003-12-31 2006-05-15 동부일렉트로닉스 주식회사 Non-volatile memory device
WO2005065326A2 (en) 2003-12-31 2005-07-21 Pettit John W Optically controlled electrical switching device based on wide bandgap semiconductors
US7528437B2 (en) 2004-02-11 2009-05-05 Nantero, Inc. EEPROMS using carbon nanotubes for cell storage
US7829883B2 (en) 2004-02-12 2010-11-09 International Business Machines Corporation Vertical carbon nanotube field effect transistors and arrays
JP2005285822A (en) 2004-03-26 2005-10-13 Fujitsu Ltd Semiconductor device and semiconductor sensor
US6969651B1 (en) 2004-03-26 2005-11-29 Lsi Logic Corporation Layout design and process to form nanotube cell for nanotube memory applications
US7658869B2 (en) 2004-06-03 2010-02-09 Nantero, Inc. Applicator liquid containing ethyl lactate for preparation of nanotube films
US7330709B2 (en) 2004-06-18 2008-02-12 Nantero, Inc. Receiver circuit using nanotube-based switches and logic
US7164744B2 (en) 2004-06-18 2007-01-16 Nantero, Inc. Nanotube-based logic driver circuits
US7161403B2 (en) 2004-06-18 2007-01-09 Nantero, Inc. Storage elements using nanotube switching elements
US7652342B2 (en) 2004-06-18 2010-01-26 Nantero, Inc. Nanotube-based transfer devices and related circuits
US7329931B2 (en) 2004-06-18 2008-02-12 Nantero, Inc. Receiver circuit using nanotube-based switches and transistors
US7167026B2 (en) 2004-06-18 2007-01-23 Nantero, Inc. Tri-state circuit using nanotube switching elements
US7288970B2 (en) 2004-06-18 2007-10-30 Nantero, Inc. Integrated nanotube and field effect switching device
US6955937B1 (en) 2004-08-12 2005-10-18 Lsi Logic Corporation Carbon nanotube memory cell for integrated circuit structure with removable side spacers to permit access to memory cell and process for forming such memory cell
EP1807919A4 (en) 2004-11-02 2011-05-04 Nantero Inc Nanotube esd protective devices and corresponding nonvolatile and volatile nanotube switches
EP1825038B1 (en) 2004-12-16 2012-09-12 Nantero, Inc. Aqueous carbon nanotube applicator liquids and methods for producing applicator liquids thereof
US8362525B2 (en) 2005-01-14 2013-01-29 Nantero Inc. Field effect device having a channel of nanofabric and methods of making same
US20060276056A1 (en) 2005-04-05 2006-12-07 Nantero, Inc. Nanotube articles with adjustable electrical conductivity and methods of making the same
US7394687B2 (en) 2005-05-09 2008-07-01 Nantero, Inc. Non-volatile-shadow latch using a nanotube switch
US8513768B2 (en) 2005-05-09 2013-08-20 Nantero Inc. Nonvolatile nanotube diodes and nonvolatile nanotube blocks and systems using same and methods of making same
US7835170B2 (en) 2005-05-09 2010-11-16 Nantero, Inc. Memory elements and cross point switches and arrays of same using nonvolatile nanotube blocks
US7781862B2 (en) 2005-05-09 2010-08-24 Nantero, Inc. Two-terminal nanotube devices and systems and methods of making same
US7479654B2 (en) 2005-05-09 2009-01-20 Nantero, Inc. Memory arrays using nanotube articles with reprogrammable resistance
US8008745B2 (en) 2005-05-09 2011-08-30 Nantero, Inc. Latch circuits and operation circuits having scalable nonvolatile nanotube switches as electronic fuse replacement elements
US7598127B2 (en) 2005-05-12 2009-10-06 Nantero, Inc. Nanotube fuse structure
US7575693B2 (en) 2005-05-23 2009-08-18 Nantero, Inc. Method of aligning nanotubes and wires with an etched feature
US7915122B2 (en) 2005-06-08 2011-03-29 Nantero, Inc. Self-aligned cell integration scheme
US7541216B2 (en) 2005-06-09 2009-06-02 Nantero, Inc. Method of aligning deposited nanotubes onto an etched feature using a spacer
US20060292716A1 (en) 2005-06-27 2006-12-28 Lsi Logic Corporation Use selective growth metallization to improve electrical connection between carbon nanotubes and electrodes

Also Published As

Publication number Publication date
EP1880390A2 (en) 2008-01-23
WO2007001642A2 (en) 2007-01-04
WO2007001642A3 (en) 2007-06-21
KR101280105B1 (en) 2013-06-28
US7986546B2 (en) 2011-07-26
EP1880390A4 (en) 2009-12-09
TWI324773B (en) 2010-05-11
KR20080009319A (en) 2008-01-28
US20090052246A1 (en) 2009-02-26
TW200643958A (en) 2006-12-16

Similar Documents

Publication Publication Date Title
US7394687B2 (en) Non-volatile-shadow latch using a nanotube switch
US7986546B2 (en) Non-volatile shadow latch using a nanotube switch
Chabi et al. Ultra low power magnetic flip-flop based on checkpointing/power gating and self-enable mechanisms
Sakimura et al. Nonvolatile magnetic flip-flop for standby-power-free SoCs
US8357921B2 (en) Integrated three-dimensional semiconductor system comprising nonvolatile nanotube field effect transistors
JP4431265B2 (en) Memory cell resistance state sensing circuit and memory cell resistance state sensing method
US6603328B2 (en) Semiconductor integrated circuit
US9159409B2 (en) Method and apparatus for providing complimentary state retention
US7692976B2 (en) Memory device with control circuit for regulating power supply voltage
Rai et al. Emerging reconfigurable nanotechnologies: Can they support future electronics?
Iyengar et al. MTJ-based state retentive flip-flop with enhanced-scan capability to sustain sudden power failure
US10795809B2 (en) Non-volatile logic device for energy-efficient logic state restoration
Hanyu et al. Spintronics-based nonvolatile logic-in-memory architecture towards an ultra-low-power and highly reliable VLSI computing paradigm
CN109565280A (en) The power control method of semiconductor device
Usami et al. Energy efficient write verify and retry scheme for MTJ based flip-flop and application
Wang et al. A 65-nm ReRAM-Enabled Nonvolatile Processor With Time-Space Domain Adaption and Self-Write-Termination Achieving $> 4\times $ Faster Clock Frequency and $> 6\times $ Higher Restore Speed
CN101278355B (en) Non-volatile shadow latch using a nanotube switch
Park Area-efficient STT/CMOS non-volatile flip-flop
Hanyu et al. Three-terminal MTJ-based nonvolatile logic circuits with self-terminated writing mechanism for ultra-low-power VLSI processor
Prasad et al. A low power high speed MTJ based non-volatile SRAM cell for energy harvesting based IoT applications
Usami et al. Non-volatile coarse grained reconfigurable array enabling two-step store control for energy minimization
EP3540738B1 (en) Multi-bit non-volatile flip-flop
EP3388849B1 (en) Magnetic probe based test method for spintronic technologies
Shuto et al. Energy performance of nonvolatile power-gating SRAM using SOTB technology
Chhabra et al. Temperature-based adaptive memory sub-system in 28nm UTBB FDSOI

Legal Events

Date Code Title Description
EEER Examination request
FZDE Discontinued

Effective date: 20130509