CA2647600A1 - Self aligned gate jfet structure and method - Google Patents

Self aligned gate jfet structure and method Download PDF

Info

Publication number
CA2647600A1
CA2647600A1 CA002647600A CA2647600A CA2647600A1 CA 2647600 A1 CA2647600 A1 CA 2647600A1 CA 002647600 A CA002647600 A CA 002647600A CA 2647600 A CA2647600 A CA 2647600A CA 2647600 A1 CA2647600 A1 CA 2647600A1
Authority
CA
Canada
Prior art keywords
region
source
electrode region
gate
layer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
CA002647600A
Other languages
French (fr)
Inventor
Ashok Kumar Kapoor
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Suvolta Inc
Original Assignee
Dsm Solutions, Inc.
Ashok Kumar Kapoor
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Dsm Solutions, Inc., Ashok Kumar Kapoor filed Critical Dsm Solutions, Inc.
Publication of CA2647600A1 publication Critical patent/CA2647600A1/en
Abandoned legal-status Critical Current

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66893Unipolar field-effect transistors with a PN junction gate, i.e. JFET
    • H01L29/66901Unipolar field-effect transistors with a PN junction gate, i.e. JFET with a PN homojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/80Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier
    • H01L29/808Field effect transistors with field effect produced by a PN or other rectifying junction gate, i.e. potential-jump barrier with a PN junction gate, e.g. PN homojunction gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1066Gate region of field-effect devices with PN junction gate

Abstract

A JFET integrated onto a substrate having a semiconductor layer at least and having source and drain contacts over an active area and made of first polysilicon (or other conductors such as refractive metal or suicide) and a self-aligned gate contact made of second polysilicon which has been polished back to be flush with a top surface of a dielectric layer covering the tops of the source and drain contacts. The dielectric layer preferably has a nitride cap to act as a polish stop. In some embodiments, nitride covers the entire dielctric layer covering the source and drain contacts as well as the field oxide region defining an active area for said JFET. An embodiment with an epitaxially grown channel region formed on the surface of the substrate is also disclosed.

Description

SELF ALIGNED GATE JFET STRUCTURE AND METHOD

Background of the Invention The invention pertains to a device structure and method for making JFET
transistors at very small line widths which can overcome certain process problems in CMOS
circuits built with small line widths.

As line widths have shrunk steadily down into the submicron range (today's line widths are 45 nanometers or.045 microns where a micron is 10 6 meters and one nanometer equals 10 angstroms), all structures on CMOS, NMOS and PMOS circuits have shrunk including the thickness of the gate oxide. As line widths shrink, the voltages must be dropped to avoid punch through. This shrinking line width means smaller gate length which requires that the thickness of gate oxide must also be reduced to allow proper control of the current flow in MOS devices at the lower voltages. Reduced gate oxide thickness results in increased gate capacitance per unit area which has to be switched.
Since smaller geometries imply increased circuit density, the result is an overall increase in the power needed for to operate the chip. In addition, shrinking gate oxide thickness causes leakage which increases power consumption in CMOS circuits and all other MOS
circuits. The limit of gate oxide thickness that will not cause leakage is about 30 nanometers, which was used in older technologies with minimum line widths of while 45 nanometer line widths (.045 microns) are the state of the art now.

At one micron line widths, power consumption for a one square centimeter integrated circuit was 5 watts. As line widths shrank to 45 nanometers, power consumption for the same size chip rose to 1000 watts. This can destroy an integrated circuit which is not cooled properly and is unacceptable for portable devices such as laptops, cell phones etc. This power consumption complicates the design process immensely because it requires circuitry to put transistors that are not working to sleep so they do not waste power.

Prior art junction field effect transistors date back to the 1950's when they were first reported. Since then, they have been covered in numerous texts such as "Physics of Semiconductor Devices" by Simon Sze and "Physics and Technology of Semiconductor Devices" by Andy Grove. Junction field effect devices were reported in both elemental and compound semiconductors. Numerous circuits with junction field effect transistors have been reported, as follows:

1) Nanver and Goudena, "Design Considerations for Integrated High-Frequency P-Channel JFET's", IEEE Transactions Electron Devices, Vol;. 35, No. 11, 10 1988, pp.
1924-1933.
2) Ozawa, "electrical Properties of a Triode Like Silicon Vertical Channel JFET", IEEE
Transactions Electron Devices Vol. ED-27, No. 11, 1980, pp. 21152123.
3) H. Takanagi and G. Kano, "Complementary JFET Negative-Resistance 15 Devices", IEEE Journal of Solid State Circuits, Vol. SC-10, No. 6, December 1975, pp.
509-515. 4) A. Hamade and J. Albarran, "A JFET/Bipolar Eight-Channel Analog Multiplexer", IEEE
Journal of Solid State Circuits, Vol. SC-16, No. 6, December 1978. 5) K.
Lehovec and R.
Zuleeg, "Analysis of GaAs FET's for Integrated Logic", 20 IEE Transaction on Electron Devices, Vol. ED-27, No. 6, June 1980. In addition, a report published by R.
Zuleeg titled "Complimentary GaAs Logic" dated 4 August 1985 is cited herein as prior art.

A representative structure of an n-channel JFET is shown in Figure 1. The JFET
is formed in an n-type substrate 810. It is contained in a p-well region marked 815. The body of the JFET is shown as 820, which is an n-type diffused region containing source (832), channel (838), and drain (834) regions. The gate region (836) is p-type, formed by diffusion into the substrate. Contacts to the source, drain, and gate regions are marked as 841, 842, and 840, respectively. The critical dimension of the JFET is the gate length, marked as 855. It is determined by the minimum contact hole dimension 850, plus the necessary design rules overlap required to ensure that the gate region encloses the gate contact. The gate length 855 is significantly larger than 850. This feature of construction of the JFET limits the performance of these devices, since channel length is substantially larger than the minimum feature size. In addition, the capacitances of the vertical sidewalls 861 and 862 of the gate diffusion 836 to drain and source regions 832 and 834, respectively, are also quite large. The gate - drain sidewall capacitance forms the Miller capacitance, a term known to those skilled in the art, and significantly limits the performance of the device at high frequencies.

In order to substitute JFETs for CMOS to solve the power consumption problem at line widths of 45 NM and smaller, it is necessary to have a normally off JFET with very small gate capacitance in comparison to MOS transistors of comparable geometry, and with very small parasitic capacitance. It is also desirable to have a self aligned gate in such JFET devices with respect to the channel. It is therefore desirable to be able to fabricate the gate electrode without using a mask by etching a self aligned hole in spacer oxide to achieve these targets.

One solution to the increasing power consumption problem of conventional CMOS
as line widths shrink is the normally off junction field effect transistor or JFET. A
conventional normally-on JFET looks like the structure shown in Figure 1.

A normally off JFET structure is shown in Figure 2. This figure is a cross section of a N-channel JFET which has had its doping levels and dimensions designed such that it operates in enhancement mode. The JFET has four terminal regions in the substrate and corresponding contacts above the substrate surface. The terminal regions in the substrate are: source 31 (comprised of a diffusion region under polysilicon contact 72 and an implanted region coupling the diffused region to the channel region 50); gate 70;
drain 40 (comprised of a diffusion region under polysilicon contact 74 and an implanted region coupling the diffused region to the channel region 50) and P-well 11 which has an ohmic contact region shown at 68. The contacts to the source, drain, gate and P-well regions are made of polysilicon typically and are: substrate contact 71, source contact 72; gate contact 75 and drain contact 74. The JFET is formed in a region of silicon substrate 15 in Figure 2. The JFET is isolated from the surrounding semiconductor by insulating regions 21, which are typically Shallow Trench Isolation (hereafter STI) field oxide. The channel between the source and drain is shown at 50. For an N-channel JFET, the source and drain regions 31 and 40 are N+ regions (highly doped with N type donor impurities such as phosphorous, arsenic or antimony). The P well 11 is doped P
type with acceptor impurities such as boron or indium. Contact to the P well is formed by poly contact 71 which is doped heavily P type and which, by diffusion during the drive in process to form the gate, forms an ohmic contact and a P+ region 68 which acts as the P
well contact by virtue of the configuration of the field oxide regions 21 as shown. The field oxide regions must not extend below the depth of the P well to substrate junction 87 so as to not cut off a conductive path from the ohmic contact 68 to the P well portion 11 under the channel region 50. The channel is a narrow region 50 which is doped lightly N
type. The gate is a very shallow (typically 10 nanometers, hereafter NM) P
type region formed in the N type channel by methods such as diffusion of dopants from the overlying heavily P+ doped polysilicon 75 or ion implantation. A doping profile of the transistor at varying depths from the surface through the gate 70 and channel 50 is shown in Figure 3. The drive-in process to form the gate region 70 and the implant to form the channel region 50 are both important because the depth of these regions and their doping must be controlled so that the depletion regions of the gate-channel junction and the channel-P well junction formed with zero volt external bias on gate and well with respect to source touch so as to cause pinch off. Curve 81 is a typical gate doping profile and point 85 is typically only about10 NM from the substrate surface so the gate is very shallow.
This requirement is a significant part of the solution to the problem that the invention solves. Curves 82, 83 and 84 represent the doping profile of the channel 50, the P well 11 and the substrate bulk regions 15 respectively. The depth of the gate-channel junction is at point 85. The depth of the channel-P well junction is at point 86 and is typically only 40 NM down from the surface of the substrate. The depth of the well-substrate junction is shown at 87. Each junction has a depletion region on either side of the junction even when the junction has zero bias across it.

As alluded to earlier, the key to designing a normally off or enhancement mode JFET is to design the device such that the depletion region surrounding the gate-channel junction 85 to be large enough to extend down to the boundary of the depletion region surrounding the channel-well junction 86 (or channel-substrate junction 86 in the case of embodiments of Figures 5C and 15). This pinches off current flow when the gate bias is zero volts thereby making an enhancement mode device. The depletion regions around each junction have a fixed width at zero bias. How far above and below a PN
junction the depletion region spreads depends upon the relative doping concentration of the semiconductor above and below the junction. The doping concentration of the regions on either side of junctions 85 and 86 and the size of the gate and channel regions are coordinated so that pinch off occurs.

Figure 4 is a blown up view of the gate and channel regions showing the boundaries 90 and 91 of the depletion region around the gate-channel junction 85 in a conventional normally-on JFET. The depletion region around the channel-well junction 86 is marked by an upper boundary 92 and a lower boundary 94. The boundary 91 in the channel region 50 is nearly coincident with the upper boundary 92 of the depletion region around the channel-P well junction 86, but because they are not coincident, no pinchoff occurs, and current flows in the neutral region. When the doping of the gate, channel and will regions and junction depths are such as to cause this condition shown in Figure 4, the device is called a normally on or depletion mode device because it takes some gate bias to turn off current flow from the source to the drain by causing pinchoff, i.e., a condition where the depletion region boundary 91 meets the depletion region boundary 92.
Conversely, the doping of the gate, channel and well regions and the junction depths can be controlled so as to cause pinchoff at a much smaller positive or zero gate bias, and such a device is called a normally off or enhancement mode device. More specifically, in a JFET, the doping of the channel region versus the doping of the gate region and the relative depths of the gate-channel junction 85 versus the channel-P well junction and the doping of the semiconductor under junction 86 can all be controlled such that boundary 91 touches boundary 92 at zero gate bias or a gate bias of less than one volt.
This causes pinch off so very little current flows from source 31 to drain 40 through channel 50. When a positive bias is applied across the gate-channel junction to reduce the width of the depletion region, it takes the device out of pinchoff so as to allow conduction between the source and drain.

The JFET of Figure 2 requires two separate masks to dope the single polysilicon layer so that the portions over the source and drain can be doped N+ and the portions over the gate and P well contact can be doped P+. As feature sizes get smaller, alignment of features created by different mask layers becomes more difficult and design rule tolerances eat up valuable chip real estate. It is desirable to reduce the area of the JFET
by doing a dual conductive semiconducting layer deposition with a self-aligned gate contact to reduce device area hereafter referred to as a "dual poly" process even though the first conductive layer from which the source and drain contacts are formed need not be polysilicon and can be metal. Dual poly bipolar devices are known so there is a large body of knowledge on how to do dual poly construction. However, to the applicant's knowledge, no dual poly JFET integration processes exist.

Therefore, a need has arisen for a method of building a JFET which has low parasitic capacitances, reduces the number of masks involved in the process for polysilicon processing and which results in formation of a self-aligned gate contact.

Summary of the Invention The genus of processes according to the teachings of the invention result in a JFET
being constructed which has a self-aligned gate contact. This is achieved by forming the gate contact from a second polysilicon layer (although the first layer from which the source and drain contacts are made may not be polysilicon and can be some other conductor) which is deposited into an opening formed by formation of spacer oxide around the source and drain contacts. The opening exposes a portion of the active area between what will become the source and drain regions of the JFET. This form of construction eliminates lithography issues in aligning a gate mask with the source and drain mask. Nitride layers on top of spacer insulator atop the source and drain contacts act as a polish stop. A nitride layer covering the field oxide prevents over etching which can render the device inoperative.

Brief Description of the Drawings Figure 1 is a cross-sectional view of a JFET.

Figure 2 is a cross-sectional view of one embodiment of a JFET.

Figure 3 is a typical doping profile for a JFET like that shown in Figure 2 and JFETs according to the teachings of the invention (N channel shown, doping polarities are reversed for P channel JFETs).

Figure 4 is a close up view of the channel and gate regions of the JFET
according to Figure 2 and JFETs according to the invention showing how the depletion regions are extended to cause pinch off.

Figure 5A is an exemplary plan view of the finished JFET according to the preferred embodiment of the invention.

Figure 5B is an alternate plan view of the finished JFET

Figure 5C is a sectional view of the finished JFET according to the preferred embodiment of the invention taken along section line A-A' in Figure 5A (the well contact is not shown because it is understood by those skilled in the art) as always there and is shown in Figure 5D) Figure 5D is a sectional view of the finished JFET, including the well tap, according to the preferred embodiment of the invention taken along line A-A' in figure 5A.

Figure 6 is a cross section through the JFET at a preliminary step after defining the active area.

Figure 7 is a cross section through the device at an intermediate stage after first poly deposition.

Figure 8 is a cross section of the structure after a layer of dielectric 144 is deposited over the first conductive layer 136.

Figure 9 is a cross section of the structure after masking a layer of photoresist 146 to expose the portion of the active area 140 where the channel region is to be formed, and after etching the layer of dielectric 144 and polysilicon.

Figure 10 is a cross section diagram of the structure after implanting N type dopants, typically of arsenic, to form -the channel region 122.

Figure 11 is a cross-sectional view at a channel implant stage in an alternative embodiment for the process where thin oxide layers are formed on the sidewalls of the poly source and drain contacts before the channel implant.

Figure 13 shows a cross section of the preferred embodiment of the structure after forming a nitride layer 165 and another dielectric layer (silicon dioxide) 160 over the whole structure.

Figure 12 is a cross sectional view of an alternative embodiment with a thin nitride layer 163 under the oxide layer 144 on top of source and drain contact and another nitride layer 164 and 162 over the source and drain contacts, respectively, and a dielectric layer 160 covering the whole wafer.

Figure 14 is a plan view showing the outlines (in dashed lines) of the spacers (128) formed around the perimeters of the source contact 102 and the drain contact 100.
Figure 15 shows a cross section of the structure after etching of the second dielectric layer 160 starting from the structure of Figure 13 where the nitride layer 162 and 164 is only formed on top of the source and drain contacts.

Figure 16 is a cross section of the structure after etching the second dielectric layer 160 starting from the structure of Figure 12 where the nitride layer is formed over the entire wafer under the second oxide layer.

Figure 17 shows a cross section of the structure after formation of a second conductive layer 190 which is typically polysilicon, starting from the structure of Figure 15 where nitride is only on top of said source and drain contacts.

Figure 18 is a cross section through the structure in an embodiment of the process after the second conductive layer is deposited starting from the structure of Figure 16 where nitride has been deposited over the entire structure after formation of the source and drain contacts.

Figure 19 is a cross section through the structure after polishing off excess polysilicon of layer 190 to planarize it so as to be flush with the top of nitride caps 162 and 164 starting from the embodiment of Figure 18 where a nitride layer covers the field oxide.

Figure 20 is a cross section through the structure after polishing off excess polysilicon of layer 190 to planarize it so as to be flush with the top of nitride caps 162 and 164 to starting from the embodiment of Figure 17 where a nitride layer covers only the tops of the source and drain contacts.

Figure 21 shows two different well structures to isolate complementary self-aligned JFETs, one of these wells being a triple well structure.

Figure 22 is a cross section through the structure after poly 1 etch in the alternative epi layer channel embodiment.

Figure 23 is a cross section through the structure in the alternative epi layer channel embodiment after formation of the spacers 128.

Figure 24 is a cross section through the structure in the alternative epi layer channel embodiment after formation of the source and drain regions, the epi layer 20 and the ohmic contacts between the source and drain regions and the epi layer.

Figure 25 is a cross section through the final structure in the alternative epi layer channel embodiment after formation of the gate contact 106 over the epi layer.

Detailed Description of the Preferred and Alternative Embodiments Figure 5A is a plan view of the finished JFET according to one embodiment of the invention. Source contact 100 is preferably made from first polysilicon (hereafter poly), but it may also be made from some refractory metal or silicide or a combination of polysilicon, silicide and refractory metal. The same is true for drain contact 102. An insulating spacer 128 called spacer dielectric structures in the claims, typically silicon dioxide, separates the drain and source contacts from a self-aligned gate contact 106 made from "second" poly (second poly means whatever doped semiconductor or conductor is used to form the gate contact and does not reguire that the source and drain contacts be formed of first poly).

Spacer dielectric structure 128 may also be comprised of multiple layers of dielectric material such as silicon dioxide and silicon nitride (Si3N4), and the term should be so interpreted in the claims. Box 108 represents the active area in the substrate which is electrically isolated by a field area of insulating material which is typically Shallow Trench Isolation silicon dioxide. The term "field area" in the claims means any insulating layer formed in the substrate to define an active region and may not necessarily be silicon dioxide. Shallow Trench Isolation is preferred because it makes it easier than LOCOS to form very small active areas.

The gate contact 106 and any well contact (if used) are formed from the second polysilicon layer.

Figure 5B is another exemplary layout for the JFET. The source, drain and gate contacts and the active area are given the same reference numbers as they have in Figure 5A.
Figure 5C is a sectional view of the finished JFET according to the preferred embodiment of the invention taken along section line A-A' in Figure 5A through the active area. The well contact is not shown because it is understood by those skilled in the art as always there and is shown in Figure 5D. Field oxide regions 110 surround and define P
well active area 108 and electrically isolate the P well from neighboring structures integrated into N type silicon substrate 112. In some embodiments, the substrate can be an insulating substrate with a single crystal semiconductor layer formed on top thereof.
That semiconductor layer can then be doped appropriately. Hereafter, references to the substrate should be understood as referring to either variant unless otherwise stated.

Field oxide 110 is formed by a process known to those skilled in the art as Shallow Trench Isolation. P well 108 is extended laterally and the field oxide forms a second isolated region of P well 109 as shown in Figure 5D which extends all the way to the surface and which has an electrically conductive contact structure 111 formed thereover.
A typical well contact structure is shown in Figure 2 where contact 71 is the back gate contact and is formed of P+ doped poly for an N channel device. The device of Figure 5D
shows the source, drain and gate contacts all made of polysilcon and capped with a layer of oxide 144 topped by a layer of nitride 162.

Source and drain contacts 102 and 100 are preferably P+ doped first polysilicon, but they can also be silicide or refractory metal which has been implanted with N type impurities for an N channel device or P type impurities for a P channel device. In the claims and throughout this description, when an implant step is mentioned, it should be understood as including an annealing step either at the time of the implant or later to distribute the implanted ions more uniformly throughout the material being implanted. In the claims, the phrase "to a high concentration level" should be understood to mean a P+ or N+
level (depending upon whether a P channel or N channel device is being built) as those terms understood by person skilled in the art -- typically 1 E18 to 1 E21 impurity concentration levels.

These implanted dopant impurities are driven into the underlying semiconductor of the P
well 108 to form N+ doped source and drain regions 108 and 120 in a high temperature drive in step. The annealing step used after implants and the high temperature drive in step can be separate steps or combined into one step. An N type channel region has been been previously formed by implantation. A P+ gate region 124 is formed with a shallow junction 126 by driving impurities into the channel regions 122 from a P+ doped second polysilicon contact 106 formed over the channel region. Cross-hatched area 128 is insulating material such as silicon dioxide which serves as a spacer dielectric structure which insulates the self-aligned gate contact 106 from the source and drain contacts 102 and 100. The use of this spacer dielectric structure and the process to form it in the opening etched for the gate contact makes the gate contact self-aligned. The gate contact is self-aligned because only one mask is needed to form both the source, drain and gate contacts. That one mask defines the location to etch the first conductive layer, typically polysilicon, to define the source and drain contacts. That leaves an opening over the active area where the gate contact can be formed. Spacer oxide is then formed in that opening in the process to be described below, and formation of the spacer oxide leaves an opening down to and exposing the surface of the active area between the source and drain contacts. This opening is then filled with polysilicon and the poly is doped to form the gate contact. Thus, because only one mask was needed to form all of the source, drain and gate contacts, the gate contact is self-aligned because no mask for etching the gate contact hole was necessary. If such a mask had not been used, it would have had to have been aligned with the mask used previously to form the source and drain contacts and misalignment errors could have occurred requiring the use of design rule margins for misalignment error which increase the size of the transistor and make it slower, increasing the chip area at the same time.

In the preferred embodiment, a layer of nitride is formed on the upper surface of said insulating material to act as a polish stop to prevent a later polishing step to remove excess poly from a second poly layer from polishing all the way through said insulating material and damaging said source and drain contacts. What is new about the structures of Figure 5C and 5D for a JFET is that source and drain contacts 102 and 100 are built first by a first conductive layer deposition followed by formation of spacer insulator 128 followed by deposition of a second conductive layer deposition which is polysilicon. The result is a self-aligned gate, and fewer masks are used. In some embodiments, a layer of nitride is formed on top of said spacer insulator layer before deposition of said second conductive layer. In other embodiments, a layer of nitride is formed on the top surface of the field oxide (typically STI) to protect if from over etching when the spacer oxide layer is etched.

THE PROCESS OF CONSTRUCTION

Referring to Figure 6, there is shown a cross section through the JFET at a preliminary step after defining the active area. The process typically starts with <100> N-doped silicon semiconductor substrate doped to 10 ohm-centimeter resistivity typically, although other resistivities and semiconductor type and crystal orientations may be acceptable in other embodiments. Field area 110 is formed by forming insulating material in the substrate so as to define an active area 130 (which is coincident with the P
well 108) where the JFET structure will be formed. In the preferred embodiment, the field area 110 is formed by Shallow Trench Isolation oxidation to form a region of silicon dioxide which is approximately 1000 angstroms to one micron thick in a 45 NM line width device.
Shallow Trench Isolation is preferred over LOCOS oxidation because the corners at 132 and 134 are sharper and smaller device structures can be made than is the case with the bird's beak structure created by LOCOS. Because the bird's beak structure typically has oxide which extends into the active area beyond the edge of the mask by 0.1 microns, a mask defining an active area which is 0.2 microns wide will not be able to reliably form an active area in a LOCOS field oxidation process so small devices cannot be made.
The process described here is for forming a stand alone device. If an inverter with P
channel and N channel devices in close proximity is to be formed, then the devices must be electrically isolated from each other. In such a case, implants are made to form a P-well in one active area in which the N channel device will be formed and an N-well in another active area where the P channel device is to be formed. Each P-well or N-well has a contact to it at the surface of the substrate so that the well-substrate junction can be reverse biased so as to eliminate conduction from the active area of the N
channel device to the active area of the P channel device and vice versa. Figure 21 shows two different well structures to isolate complementary self-aligned JFETs. N
channel JFET
200 is built in a P well 202 which has a surface contact 204. P channel JFET
206 is built in an N well 208 having a surface contact 210. Surface contacts 204 and 210 can be used to reverse bias the back gates 214 and 212, respectively, to isolate the two devices. A triple well structure is shown generally at 216. In this structure, a self-aligned gate, N channel JFET 218 is built in a first active area (defined by STI areas 221 and 223) in P well 220 which is enclosed in an N well 222 formed in substrate 224.
The P-well has a surface contact 226. A self-aligned gate, P channel JFET 228 is built in an N
well 230 which is also enclosed in N-well 222. In the claims, the phrase "a self-aligned gate, N channel JFET" means any of the preferred and alternative embodiment JFET
structures defined herein doped as N channel in either enhancement mode or depletion mode. Likewise, the phrase a self-aligned gate, P channel JFET" means any of the preferred and alternative embodiment JFET structures defined herein doped as P
channel devices in either enhancement mode or depletion mode.

Figure 7 is a cross section through the device at an intermediate stage after "first poly"
deposition. Other conductors such as refractive metals or silicide can be used for the first conductive material layer 136, but in the preferred embodiment, layer 136 is a polysilicon (poly) layer which is preferably from 20 nanometers to 0.5 microns thick. The term first conductive material in the claims should be understood as meaning this first poly layer as well as refractive metals or silicide. This first poly layer, after doping, is the conductive material from which the source and drain contacts will be formed. Doping of this first poly layer is preferably by ion implantation but other methodologies for doping layer 136 may also be used such as plasma immersion doping, thermal diffusion and similar processes.
In the claims, the step of doping this first conductive material layer should be interpreted as covering any way of causing the first conductive material layer to be more conductive if it is not already highly conductive when deposited or first formed. The particular dopant material used depends upon whether the device being constructed is an N
channel device or a P channel device. The process illustrated is for an N channel device so N
type impurities are implanted, as represented by arrows 138. Typically, multiple implants are done, one at a lower energy and one at a higher energy so as to get doping peaks at two different depths in the poly resulting in greater uniformity of dopant distribution in polysilicon. For example, if the first poly layer was 50 NM thick, one implant would have its energy set to have a peak of the concentration about 20 NM up from surface 140 and the other would have its energy set to establish a peak at approximately 10 NM
down from surface 142. In the preferred embodiment, no annealing step is performed at this point in the process to redistribute the implanted impurities in the poly. In an alternative embodiment, low temperature annealing is performed at this step. In the claims, the steps of depositing the first conductive layer and doping it should be understood as including an annealing step at any time and this annealing step could be combined with a high temperature drive in step to form the gate and possibly the source and drain regions if doped polysilicon is used for the first conductive layer. The step of forming the source, drain region should be understood as including a high temperature diffusion to drive impurities from source and drain contacts of the first poly layer. If the source and drain contacts are formed of refractory metal or silicide on top of polysilicon, the source and drain areas are formed by an implant either before or after formation of the source and drain contacts and an annealing step. In an alternate embodiment, a masking step will be used to define the areas for the source and drain implants.

Figure 8 is a cross section of the structure after a first layer of dielectric 144 is deposited over the first conductive layer 136. This dielectric layer 144 can be silicon dioxide or nitride, low K silicon dioxide or other dielectrics. Layer 144 can also comprise multiple layers of the various dielectric materials mentioned above. Typical thicknesses for this dielectric layer are from 10 NM to 500 NM. This layer functions as a spacer and an insulator to insulate the tops of the source and drain contacts from the second poly and to allow flexibility in forming the source and drain contacts without interference from any overlying conductive structures such as metal lines. In an alternative embodiment, dielectric layer 144 is comprised of a layer of oxide with a thin layer 162 of nitride formed on top thereof to act as a polish stop. This thin nitride layer is symbolized by dashed line 162. After the etching described below to form separate source and drain contacts, this nitride cap is referenced as layers 164 and 162 on the source and drain contacts, respectively. The nitride layer 162 and 164 also covers polysilicon layer 136 on top of the field oxide areas outside the active area. This is necessary to prevent the field oxide from being notched outside the active area later during an etch step to form spacer dielectric structures around the source and drain contacts and to expose an opening to the active area. The etch to form these spacers, in the absence of the nitride layer, will etch the field oxide down at areas 200 in Figure 14 to a level below the surface of the substrate.
This can cause a short between the gate polysilicon and the well. Therefore, when the gate is biased, the P well is also biased which is undesirable, so it is necessary to prevent the field oxide from being etched in some way when the spacer dielectric structures 128 in Figure 15 are formed.

Figure 9 is a cross section of the structure after masking to develop a layer of photoresist 146 which exposes the regions where the first polysilicon 100 and the corresponding dielectric layers have to be removed by plasma etching or a suitable process, to expose the region 140 where the channel region is to be formed. This photolithography and etch step also defines the size and shape of the source and drain contacts 102 and 100. After masking, an etch step then removes the exposed oxide or other insulator 144 and the portion of the first conductive layer 136 over the channel region 140 and the field region.
In an alternative embodiment, the photoresist is removed from the wafer and the wafer is subjected to thermal cycle to cause the dopant to diffuse through the conductive layer 102 and 100 near the surface of silicon, and dope the silicon to form the source and drain contacts 118 and 120 respectively. The channel region 122 is then formed by one or more implants to dope the channel region N type for an N channel device or P type for a P channel device. An optional second P+ implant for an N channel device is performed to form the P+ region 156 underneath the channel 122 in Figure 10 to achieve greater control of the drain current of the JFET by the well bias. After the implant(s), the resist layer 146 is removed from the wafer. Then, a thermal drive in bake is done to allow dopant impurities in the overlying source and drain contacts to diffuse into the substrate to form source area 118 and drain area 120. This P+ well implant can be performed either before or after the channel implant, and is typically boron or BF2 implanted in one or more implants at energy levels from about 1 KEV to 200 KEV so as to deposit most of the P type impurities below the junction 154. In the claims, the term "predetermined doping levels and junction depths" is intended to refer to proper doping impurity concentrations and junction depths to achieve the desired enhancement mode or depletion mode type of JFET device. If an enhancement mode device is desired, the P+
concentration (or N+ in the case of a P channel JFET) of the gate region and the concentration of impurities of the channel and well regions are controlled to be at a level which is sufficiently high and the junction depths of the gate-channel junction and the channel-well junction are controlled to force the depletion regions to merge thereby causing pinchoff at zero gate bias. If a depletion mode device is to be built, these same factors are controlled so as to achieve pinchoff at some higher, desired level of gate bias.

The first polysilicon layer 136 is highly doped in the implant symbolized by Figure 7 in order that it may be used for the source and drain contacts and to drive sufficient impurities into the active area to form the source and drain regions. The dopant concentration is established in the first poly layer 136 to be in the range of 1018 to 1021/cm3 . After the drive in, the dopant concentration in the source and drain areas 118 and 120 is also 1018 to 1021/cm3 . The time and temperature of the drive in step is controlled to establish the junction depth for the source-well junction 150 and the drain-well junction 152 anywhere from 1 NM to 150 NM down from the top surface 140 of the substrate.

Figure 10 is a cross section diagram of the structure after implanting the channel region 122 in the active area to N type using arsenic or some other N type conductivity enhancing impurity, and after the thermal drive in. In the preferred embodiment, two or more channel implants are performed with dosages from 1011 to 1014/cm3 and implant energies from 500 EV to 50 KEV. The implant energies are controlled to establish a junction depth for the channel-well junction 154 of from 5 NM to 200 NM below the surface 140. In the preferred embodiment, the dosage and the energies of the implants have to be coordinated such that the depth of junction 154 is such that the resulting concentration of impurities is such as to cause the portion of the depletion region (not shown) above junction 154 at positive bias across the junction to meet the portion of the depletion region (not shown) that extends below a gate-channel junction to be formed later so as to achieve pinchoff at positive gate bias if the device to be built is an enhancement mode device.

Figure 11 is a cross-sectional view at a channel implant stage in an alternative embodiment for the process where thin oxide layers 101 and 99 are formed on the sidewalls of the poly source and drain contacts before the channel implant.
This is done to prevent dopants in the polysilicon source and drain contacts from outgassing during drive-in the first conductive layer and being deposited on the surface of the active area.
The thin silicon dioxide layer is formed thermally using a short oxygen rich cycle within the rapid thermal annealing step after the channel implant or during the thermal drive in to cause impurities from the source and gate contacts to enter the underlying active area by thermal diffusion to form the source and drain regions.

Figure 12 shows a cross section of an embodiment of the structure after forming a second dielectric layer 160 over the source and drain contacts with nitride caps 164 and 162 on top of the oxide 144 on top of the source and drain contacts 102 and 104, respectively. A dielectric layer 160 is deposited on top of the wafer. This dielectric layer comprises a low k dielectric or a nitride layer. This dielectric layer is deposited isotropically over the whole wafer.

Figure 13 is a cross section view of the preferred embodiment during the processing of the wafer showing a thin nitride layer 164 which is deposited isotropically over the whole wafer. In an alternate embodiment, this layer 164 comprises a very thin layer of oxide and a thin layer of nitride on top it. This stack will be referenced as the thin nitride layer164. The thickness of the nitride layer is between 10 nm and 200 nm. A
layer of an insulating material such as silicon dioxide 160 is deposited on the wafer following the nitride deposition. A low-k insulating material can also be deposited instead of the oxide layer. The thickness of the layer 176 varies depending upon the feature size of opening 140. The minimum thickness of the layer 176 is determined by the consideration that it has to maintain complete insulation between the two layers of polysilicon which are deposited across this layer.

The nitride in region 166 in Figure 13 covering the active area, the outline of which is shown at 182 in Figure 14, is selectively removed over the active area before deposition of second polysilicon. This is done so that the dopant impurities from the gate contact poly can be diffused into the underlying substrate to form the gate region 124 in Figure 5C and form a low resistance contact to the well.

Figure 15 shows a cross section of the structure after etching of the second dielectric layer 160 starting from the structure of Figure 12 where the nitride layer 162 and 164 is only formed on top of the source and drain contacts. In this embodiment, the second dielectric layer 160 is nitride or low K silicon dioxide formed over the entire wafer so as to avoid etching the field oxide during formation of the spacers. An anisotropic etch removes the horizontal portions of second dielectric layer over the source and drain contacts 102 and 100, and removes the horizontal portion of second dielectric layer over the active area so as to leave the surface of the substrate and channel region exposed, and removes the horizontal portions of second dielectric layer over the field oxide without etching the field oxide. The anisotropic etch leaves spacer dielectric portions 128 which cover the sidewalls of the source and drain contacts so as to insulate them from the gate polysilicon which is to be deposited next.

The purpose of these nitride caps 162 and 164 is to act as an etch stop to protect the insulating layer 144 on top of each of the source and drain contacts from being etched away when the spacer dielectric layer 160 is etched to form the spacers. The first dielectric layer 144 must stay on top of the source and drain contacts so as to prevent the tops of these contacts from being exposed in the etch of the space dielectric layer 160. If the tops of the source and drain contacts are exposed during this etch, a short will result when the second polysilicon layer is deposited over the structure. If dielectric layer 144 is nitride, there is no need for the nitride cap layer162 and 164. If dielectric layer 144 is oxide, it must have at least a nitride cap 164 and 162 if not a nitride layer covering all facets (tops and side walls) of the source and drain contacts as shown in Figure 13. In this particular embodiment, second dielectric layer 160, referred to in the claims as second dielectric, is preferably nitride. This is because in this embodiment, there is no separate layer of nitride protecting the field oxide so layer 160 must be nitride to prevent the field oxide from being etched with layer 160 when it is etched to form the spacer dielectric structures. If second dielectric layer 160 is made of regular oxide, when it is etched to form the spacers 128 in Figures 5C and 5D, it could result in overetching of the field oxide outside the spacer dielectric structure 128 in Figure 5A. This could lead to short between the gate and the well, when the gate is formed by depositing second polysilicon during the wafer processing. Etching of low K oxide is preferential and stops at the field oxide so low K oxide could possibly be used in some embodiments for second dielectric layer 160. The term second dielecric layer in the claims should be interpreted to cover all these possibilities. A relatively thick dielectric layer 144 is also needed in the preferred embodiment to provide more separation between the first conductive layer source and drain contacts 102 and 100 and the second conductive layer, i.e., second poly (not shown yet at this stage in the construction).

Figure 16 is a cross section of the structure after etching the second insulating layer 160 starting from the structure of Figure 13 where the nitride layer is formed over the entire wafer. Spacer dielectrics 128 around the perimeter of the source and drain contacts are formed by anisotropically etching the second oxide layer to remove all horizontal portions. That removes the horizontal portions of the second oxide layer down to the nitride layers 162 and 164 on top of the source and drain contacts 102 and 100 and down to the nitride layer covering the field oxide and the active area. A
nitride etch is then performed to remove the nitride over the active area and expose the surface of the substrate and the channel regions.

Returning to consideration of the preferred class of embodiments, Figure 14 is a plan view showing the outlines of the spacer dielectric structures 128 formed around the perimeters of the source contact 102 and the drain contact 100. These spacers 128 are formed by etching the second oxide layer 160. Because the nitride layer 165 formed on the surface of the substrate in regions 168 and 170 covers the STI field oxide, the nitride layer 165 protects the STI from any etching and resultant notching during etch of the second oxide layer 160. Etching of the STI to a level below the surface of the rest of the substrate can cause the gate polysilicon to short directly to the well thereby rendering the device inoperative or severely restricting its performance. The embodiment of Figures 13 and 14 or any embodiment where there is a nitride layer which protects the Shallow Trench Isolation is preferred over embodiments where there is no protection for the STI
during the second oxide etch.

Figure 17 shows a cross section of the structure after formation of a second conductive layer 190 which is typically polysilicon starting from the structure of Figure 15 where nitride is only on top of said source and drain contacts. The second poly layer 190 is typically 10 NM to 500 NM thick. It is formed by low pressure chemical vapor deposition or another suitable process known to those skilled in the art. The gate contact will be formed from this conductive layer 190 so it needs to be a P+ conductive material for an N
channel device or an N+ conductive material for a P channel device. Therefore, second polysilicon layer 190 either needs to be doped after deposition or the deposited material must already be doped when deposited or be doped as it is deposited. In the preferred embodiment, the second poly is doped by ion implantation in one or more implants. For an N-channel device, the implant dopant is typically BF2 implanted at 1 KEV to energy levels (multiple implants at different energy levels are typical) with a concentration of 1 x 1014 to 1 x 1016 /cm2. A low temperature annealing step is performed after the implant(s) to distribute the implanted ions evenly.
Typical annealing steps are carried out at 600 to 800 degrees C for 10 seconds to 6 hours. This annealing step can be done before further processing of the second polysilicon layer 190 to form the gate contact. A high temperature drive in step can be performed at this point to drive in the impurities from the second poly layer 190 into the active area below to form gate region 124 in Figure 5C. Alternatively, the second polysilicon may be further processed as described below to remove excess polysilicon to form the gate contact, and then the high temperature drive in step can be performed.

Figure 18 is a cross section through the structure in an embodiment of the process after the second conductive layer is deposited starting from the structure of Figure 16 where nitride has been deposited over the entire structure after formation of the source and drain contacts. All aspects of the deposition and doping of the second polysilicon layer 190 described previously with respect to Figure 17 apply equally here.

Figure 19 is a cross section through the finished structure after polishing off excess polysilicon of layer 190 to planarize it so as to be flush with the top of the dielectric layers 162 and 164 to leave gate contact 106 starting from the embodiment of Figure 18 (where a nitride layer covers the STI). If the high temperature drive in step has not already been performed, it is performed at this point to drive impurities from the gate contact into the underlying active area channel region 122 to form gate region 124.

Figure 20 is a cross section through the structure after polishing off excess polysilicon of layer 190 to planarize it so as to be flush with the top of nitride caps 162 and 164 to leave gate contact 106 starting from the embodiment of Figure 17 where a nitride layer covers only the tops of the source and drain contacts.

For the higher performance finished embodiment of Figure 5C, the polysilicon extraneous spacers 192 and 194 of second poly around the outside edges of the source and drain contacts which are not over the active area can be etched away by selecting masking and etching to remove them.

In an alternative embodiment, a layer of silicide can be formed on top of said gate, source and drain contact polysilicon so as to reduce the resistance thereof.
That embodiment is represented by dashed lines 200, 202 and 204 in Figure 19 and is applicable in all embodiments.

A first alternative embodiment involves forming a channel region on top of the substrate by epitaxial growth of a layer of semiconductor. This alternative embodiment is represented by Figures 22 through 25 which represent the state of the structure at various stages of the alternative process. This alternative process embodiment starts after the poly 1 etch step and after the spacers are formed and the drive in step has taken place. The alternative process is as follows. All the alternative embodiments for each of the steps from the preferred embodiment which are in common with this alternative embodiment are separate species of this alternative embodiment.

1) Define active area in substrate and do a lightly doped well implant to P 10 type (for an N channel device) to create a structure as shown in Figure 6.

2) Deposit the first polysilicon layer (poly 1) and implant to N type (or P
type if doing a P
channel device) and forming a first dielectric layer on top thereof (Figures 7 and 8).

3) Etch the poly 1 layer to form the source and drain contacts and form the dielectric caps on top of each said contact. The structure is left as shown in Figure 22.
In the claims, the step "forming a first dielectric layer on said first polysilicon layer' means all the variants of CVD oxide alone, CVD oxide on top and thermal oxide on the sidewalls, CVD oxide and nitride, nitride alone or any other dielectric structure disclosed herein or apparent to those skilled in the art and means either on the top of the source and drain contacts alone or covering the tops and sidewalls of the source and drain contacts with nitride at least covering STI areas outside the active area in some embodiments and not in others. Therefore some of the steps of forming the first dielectric layer may be done before the poly 1 etch followed by additional steps later to form dielectric layers on the sidewalls.

4) Form the spacer dielectric structures 128 either with or without thermal oxide or nitride or both under the spacer dielectric (Figure 23). Figures 11, 12, 13, 14, 15 and 16 all define alternative embodiments for forming these spacers 128 all of which are applicable to this alternative epi layer channel class of processes. Optional nitride layers 162 and 164 covering the top and nitride layer 165 covering the sidewalls of the source and drain contacts are represented by dashed lines in Figure 23. In the claims, the phrase "forming spacer dielectric structures" means forming any combination of dielectric layers taught in the specification for the spacers including thermal oxide grown on the sidewalls covered by a CVD oxide layer which has been anisotropically etched and nitride covering the sidewalls covered by a CVD oxide layer which has been anisotropically etched to remove its horizontal components.
5) Perform a thermal drive in to drive N+ conductivity enhancing impurities in the source and drain contacts into the substrate to form the source and drain regions 118 and 120 and laterally out through the top semiconductor layer of the substrate to the inner fringes of the spacers shown at 129 and 131 in Figure 15 so impurities are at the surface of the substrate both under the spacers and inside spacers in hole where the gate contact will be formed (Figure 24).
6) Do an optional P+ well implant right under where the channel is going to be and then grow a channel region on top of the substrate by growing an epitaxial layer of single crystal semiconductor 133 (hereafter the epi layer). In one embodiment, a first silicon-germanium layer 135 is grown with a pure silicon layer 133 grown on top of the layer 135. This is the preferred species because the lattice mismatch strains the silicon layer 133 and causes it to have high mobility. In another embodiment, semiconductor layer 133 is a pure silicon single layer. In another embodiment, semiconductor layer 133 is an epitaxial silicon layer on top of epitaxial silicon-germanium-carbon alloy single crystal layer. In another embodiment, semiconductor layer 133 is a strained layer epitaxial silicon layer on top of epitaxial silicon-germanium-carbon alloy single crystal layer. The layer 133 acts as a channel region and is doped N+ for an N channel device. It can be doped in situ as it is deposited or doped later by ion implantation. The impurities at the surface of the substrate at 129 and 131 form ohmic contacts with the epi layer 133 to act as source and drain regions (Figure 24). Process conditions for epitaxial growth of semiconductor layers are well known and have been practiced in the bipolar transistor arts for years. Layers 135 and 133 are doped appropriately to form the channel with N
type dopants, preferably arsenic by ion implantation.
7) Deposit a second layer of polysilicon (second poly) on top of epi layer to fill the hole, dope it to the appropriate conductivity type (P+ for an N channel device) and polish it back to the top of the nitride layers 162 and 164 on top of the source and drain contacts to form gate contact 106 (Figure 25). Doping of the second polysilicon can be by either diffusion of impurities into it or by ion implantation of impurities, typically multiple implants at different energy levels being used to achieve good distribution of impurities.
8) Perform a thermal drive in of impurities from the gate poly to form the gate region in the epi layer. In an alternative embodiment, the gate region can be formed by implanting the gate region either before or after deposition of polysilicon in the opening over the active area between the spacer dielectric structures to form the gate contact.
Multiple implants at different energy levels for better distribution of impurities can be used. The same junction depth and doping concentrations to cause pinchoff at positive gate bias as are described elsewhere herein apply to the alternative process and structure if an enhancement mode device is to be built. If a depletion mode device is to be built with an epi layer on top of the substrate, the junction depths of the gate-channel junction and the channel-well junction and doping concentrations of the gate, channel and well regions are controlled so as to achieve depletion mode operation, i.e., pinchoff at some predetermined negative gate bias.

After the second polysilicon is defined by masking and etching, a layer of dielectric is deposited on the wafer and contact holes are etched in the dielectric layer.
Finally, metal is deposited and etched to form the electrical connections.

Although the invention has been disclosed in terms of the preferred and alternative embodiments disclosed herein, those skilled in the art will appreciate that modifications and improvements may be made without departing from the scope of the invention. All such modifications are intended to be included within the scope of the claims appended hereto.

Claims (20)

1. A method for forming a self-aligned gate structure for a junction field effect transistor, the method comprising:

forming a first conductive layer on a semiconductor substrate;
depositing a first dielectric layer over the first conductive layer;

forming a mask over first and second regions of the first conductive layer, wherein the first region defines a source electrode region and the second region defines a drain electrode region;

etching the dielectric layer and the first conductive layer not covered by the mask to expose a portion of the semiconductor substrate;

removing the mask;

forming a second dielectric layer over at least the exposed semiconductor substrate, the source electrode region, and the drain electrode region;

etching the second dielectric layer to expose a selected portion of the semiconductor substrate, wherein the second dielectric layer continues to cover the sidewalls of the source electrode region and the drain electrode region; and forming a second conductive layer on the selected portion of the semiconductor substrate to define a gate electrode region between and insulated from the source electrode region and the drain electrode region.
2. The method of Claim 1, wherein the second dielectric layer that covers the sidewalls of the source electrode region and the drain electrode region aligns the gate electrode region with the source and drain electrode regions.
3. The method of Claim 2, wherein the gate electrode region is further aligned with an active area that comprises a channel region and a gate region.
4. The method of Claim 1, wherein the first conductive layer comprises one of polysilicon, refractive metal, or silicide.
5. The method of Claim 1, further comprising depositing a nitride layer above the first dielectric layer prior to forming the mask.
6. The method of Claim 1, further comprising:

diffusing dopants of a first conductivity type from the source electrode region into the semiconductor substrate to form a source region; and diffusing dopants of the first conductivity type from the drain electrode region into the semiconductor substrate to form a drain region.
7. The method of Claim 1, further comprising implanting dopants of a first conductivity type to form a channel region.
8. The method of Claim 1, further comprising diffusing dopants of a second conductivity type from the gate electrode region into the semiconductor substrate to form a gate region.
9. The method of Claim 1, further comprising etching portions of the second dielectric layer such that a surface of the gate electrode region is planar relative to surfaces of the source electrode region and the drain electrode region.
10. The method of Claim 1, wherein the second conductive layer comprises one of polysilicon, refractive metal, or silicide.
11. The method of Claim 1, wherein the first conductive layer comprises n-type conductivity and the second conductive layer comprises p-type conductivity.
12. The method of Claim 1, wherein the first conductive layer comprises p-type conductivity and the second conductive layer comprises n-type conductivity.
13. A junction field effect transistor, comprising:

a source region of a first conductivity type formed in a semiconductor substrate;

a drain region of the first conductivity type formed in the semiconductor substrate;
a channel region of the first conductivity type formed in the semiconductor substrate between the source region and the drain region;

a gate region of a second conductivity type formed in the semiconductor substrate and abutting the channel region;

a source electrode region in ohmic contact with the source region and having at least one sidewall that is covered with a dielectric layer;

a drain electrode region in ohmic contact with the drain region and having at least one sidewall that is covered with a dielectric layer; and a gate electrode region formed between and insulated from the source electrode region and the drain electrode region by the dielectric layer.
14. The junction field effect transistor of Claim 13, wherein the dielectric layer that covers the sidewalls of the source electrode region and the drain electrode region aligns the gate electrode region with the source and drain electrode regions.
15. The junction field effect transistor of Claim 14, wherein the gate electrode region is further aligned with an active area that comprises the channel region and the gate region.
16. The junction field effect transistor of Claim 13, wherein the source electrode region comprises one of polysilicon, refractive metal, or silicide.
17. The junction field effect transistor of Claim 13, wherein the drain electrode region comprises one of polysilicon, refractive metal, or silicide.
18. The junction field effect transistor of Claim 13, wherein the gate electrode region comprises one of polysilicon, refractive metal, or silicide.
19. The junction field effect transistor of Claim 13, wherein the first conductivity type comprises n-type conductivity and the second conductivity type comprises p-type conductivity.
20. The junction field effect transistor of Claim 13, wherein the first conductivity type comprises p-type conductivity and the second conductivity type comprises n-type conductivity.
CA002647600A 2006-06-09 2007-06-07 Self aligned gate jfet structure and method Abandoned CA2647600A1 (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/450,112 2006-06-09
US11/450,112 US7560755B2 (en) 2006-06-09 2006-06-09 Self aligned gate JFET structure and method
PCT/US2007/070589 WO2007146734A2 (en) 2006-06-09 2007-06-07 Self aligned gate jfet structure and method

Publications (1)

Publication Number Publication Date
CA2647600A1 true CA2647600A1 (en) 2007-12-21

Family

ID=38821001

Family Applications (1)

Application Number Title Priority Date Filing Date
CA002647600A Abandoned CA2647600A1 (en) 2006-06-09 2007-06-07 Self aligned gate jfet structure and method

Country Status (8)

Country Link
US (2) US7560755B2 (en)
EP (1) EP2038937A4 (en)
JP (1) JP2009540579A (en)
KR (1) KR20090023476A (en)
CN (1) CN101467265A (en)
CA (1) CA2647600A1 (en)
TW (1) TW200810114A (en)
WO (1) WO2007146734A2 (en)

Families Citing this family (56)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7745301B2 (en) 2005-08-22 2010-06-29 Terapede, Llc Methods and apparatus for high-density chip connectivity
US8957511B2 (en) 2005-08-22 2015-02-17 Madhukar B. Vora Apparatus and methods for high-density chip connectivity
US7592841B2 (en) * 2006-05-11 2009-09-22 Dsm Solutions, Inc. Circuit configurations having four terminal JFET devices
KR100809597B1 (en) * 2006-04-06 2008-03-04 삼성전자주식회사 Method for forming minute pattern and method for forming semiconductor memory device using the same
US7560755B2 (en) * 2006-06-09 2009-07-14 Dsm Solutions, Inc. Self aligned gate JFET structure and method
US7557393B2 (en) * 2006-08-10 2009-07-07 Dsm Solutions, Inc. JFET with built in back gate in either SOI or bulk silicon
US7764137B2 (en) * 2006-09-28 2010-07-27 Suvolta, Inc. Circuit and method for generating electrical solutions with junction field effect transistors
JP2008108793A (en) * 2006-10-23 2008-05-08 Sanyo Electric Co Ltd Junction type fet and manufacturing method thereof
US7525163B2 (en) * 2006-10-31 2009-04-28 Dsm Solutions, Inc. Semiconductor device, design method and structure
US20080128762A1 (en) * 2006-10-31 2008-06-05 Vora Madhukar B Junction isolated poly-silicon gate JFET
US20080099796A1 (en) * 2006-11-01 2008-05-01 Vora Madhukar B Device with patterned semiconductor electrode structure and method of manufacture
US20080265936A1 (en) * 2007-04-27 2008-10-30 Dsm Solutions, Inc. Integrated circuit switching device, structure and method of manufacture
TW200910470A (en) * 2007-05-03 2009-03-01 Dsm Solutions Inc Enhanced hole mobility p-type JFET and fabrication method therefor
US7525138B2 (en) * 2007-05-03 2009-04-28 Dsm Solutions, Inc. JFET device with improved off-state leakage current and method of fabrication
US7629812B2 (en) * 2007-08-03 2009-12-08 Dsm Solutions, Inc. Switching circuits and methods for programmable logic devices
US8035139B2 (en) * 2007-09-02 2011-10-11 Suvolta, Inc. Dynamic random access memory having junction field effect transistor cell access device
US7977714B2 (en) * 2007-10-19 2011-07-12 International Business Machines Corporation Wrapped gate junction field effect transistor
US7582922B2 (en) * 2007-11-26 2009-09-01 Infineon Technologies Austria Ag Semiconductor device
US20090168508A1 (en) * 2007-12-31 2009-07-02 Dsm Solutions, Inc. Static random access memory having cells with junction field effect and bipolar junction transistors
US20090206375A1 (en) * 2008-02-19 2009-08-20 Saha Samar K Reduced Leakage Current Field-Effect Transistor Having Asymmetric Doping And Fabrication Method Therefor
US20090224291A1 (en) * 2008-03-04 2009-09-10 Dsm Solutions, Inc. Method for self aligned sharp and shallow doping depth profiles
US7710148B2 (en) * 2008-06-02 2010-05-04 Suvolta, Inc. Programmable switch circuit and method, method of manufacture, and devices and systems including the same
US20100019289A1 (en) * 2008-07-25 2010-01-28 Dsm Solutions, Inc. Junction Field Effect Transistor Using Silicide Connection Regions and Method of Fabrication
US7943971B1 (en) 2008-12-17 2011-05-17 Suvolta, Inc. Junction field effect transistor (JFET) structure having top-to-bottom gate tie and method of manufacture
US8188482B2 (en) * 2008-12-22 2012-05-29 Infineon Technologies Austria Ag SiC semiconductor device with self-aligned contacts, integrated circuit and manufacturing method
US20100171154A1 (en) * 2009-01-08 2010-07-08 Samar Kanti Saha Silicon-On-Insulator Junction Field-Effect Transistor Having A Fully Depleted Body and Fabrication Method Therefor
US8264058B2 (en) * 2009-02-13 2012-09-11 University Of South Carolina MOS-driver compatible JFET structure with enhanced gate source characteristics
KR101888369B1 (en) * 2010-10-12 2018-08-14 퀄컴 인코포레이티드 Vertical semiconductor device with thinned substrate
US9159825B2 (en) 2010-10-12 2015-10-13 Silanna Semiconductor U.S.A., Inc. Double-sided vertical semiconductor device with thinned substrate
KR101196316B1 (en) * 2011-01-14 2012-11-01 주식회사 동부하이텍 Junction field effect transistor and method thereof
FR2976675B1 (en) * 2011-06-16 2013-07-12 Nanotec Solution INTEGRATED CAPACITIVE MEASUREMENT CIRCUIT WITH FLOATING BRIDGE.
US8927357B2 (en) * 2011-11-11 2015-01-06 International Business Machines Corporation Junction field-effect transistor with raised source and drain regions formed by selective epitaxy
CN103187308B (en) * 2011-12-29 2015-06-03 中芯国际集成电路制造(上海)有限公司 Technotron and forming method thereof
CN103187310B (en) * 2011-12-31 2017-03-15 中芯国际集成电路制造(北京)有限公司 A kind of complementary junction field effect transistor c JFET devices and its manufacture method of post tensioned unbonded prestressed concrete
CN103187309B (en) * 2011-12-31 2016-08-17 中芯国际集成电路制造(北京)有限公司 Junction field effect transistor and manufacture method thereof
US8946787B2 (en) * 2012-10-06 2015-02-03 Infineon Technologies Austria Ag Reduced charge transistor
RU2513644C1 (en) * 2012-12-10 2014-04-20 Федеральное государственное бюджетное образовательное учреждение высшего профессионального образования "Рязанский государственный радиотехнический университет" Semiconductor device with negative resistance (versions)
US8748245B1 (en) 2013-03-27 2014-06-10 Io Semiconductor, Inc. Semiconductor-on-insulator integrated circuit with interconnect below the insulator
US9478507B2 (en) 2013-03-27 2016-10-25 Qualcomm Incorporated Integrated circuit assembly with faraday cage
US9466536B2 (en) 2013-03-27 2016-10-11 Qualcomm Incorporated Semiconductor-on-insulator integrated circuit with back side gate
US20140315358A1 (en) * 2013-04-19 2014-10-23 Richtek Technology Corporation Manufacturing method of junction field effect transistor
US9449978B2 (en) * 2014-01-06 2016-09-20 Micron Technology, Inc. Semiconductor devices including a recessed access device and methods of forming same
US9478426B2 (en) * 2014-02-27 2016-10-25 Semiconductor Components Industries, Llc Semiconductor device and manufacturing method thereof
US20180175209A1 (en) * 2016-12-20 2018-06-21 Globalfoundries Inc. Semiconductor structure including one or more nonvolatile memory cells and method for the formation thereof
US10043826B1 (en) * 2017-07-26 2018-08-07 Qualcomm Incorporated Fully depleted silicon on insulator integration
US10381349B2 (en) 2017-08-29 2019-08-13 International Business Machines Corporation Stacked complementary junction FETs for analog electronic circuits
US10593760B2 (en) 2018-08-02 2020-03-17 Semiconductor Components Industries, Llc Method for forming trench semiconductor device having Schottky barrier structure
CN110957218B (en) * 2018-09-26 2023-09-26 无锡华润微电子有限公司 Method for manufacturing semiconductor component and semiconductor component
US11011602B2 (en) * 2018-11-20 2021-05-18 Qualcomm Incorporated Circuits employing adjacent low-k dummy gate to a field-effect transistor (FET) to reduce FET source/drain parasitic capacitance, and related fabrication methods
JP7128136B2 (en) * 2019-03-08 2022-08-30 株式会社東芝 junction field effect transistor
US11869983B2 (en) 2020-03-12 2024-01-09 International Business Machines Corporation Low voltage/power junction FET with all-around junction gate
US11545585B2 (en) * 2020-08-21 2023-01-03 Monolithic Power Systems, Inc. Single sided channel mesa power junction field effect transistor
US11855237B2 (en) * 2021-03-16 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof
US11600737B2 (en) * 2021-03-16 2023-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium-based sensor with junction-gate field effect transistor and method of fabricating thereof
CN113410135B (en) * 2021-06-15 2023-06-30 西安微电子技术研究所 Manufacturing method of anti-radiation junction field effect transistor
WO2023159589A1 (en) * 2022-02-28 2023-08-31 华为技术有限公司 Chip and manufacturing method therefor, radio frequency power amplifier and terminal

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3719535A (en) * 1970-12-21 1973-03-06 Motorola Inc Hyperfine geometry devices and method for their fabrication
JPS59220968A (en) * 1983-05-31 1984-12-12 Fujitsu Ltd Manufacture of semiconductor device
US4912053A (en) * 1988-02-01 1990-03-27 Harris Corporation Ion implanted JFET with self-aligned source and drain
US5122851A (en) 1989-04-03 1992-06-16 Grumman Aerospace Corporation Trench JFET integrated circuit elements
JP2822500B2 (en) * 1989-10-27 1998-11-11 ソニー株式会社 Method for manufacturing semiconductor integrated circuit
EP0605634A1 (en) * 1991-09-27 1994-07-13 Harris Corporation Complementary bipolar transistors having high early voltage, high frequency performance and high breakdown voltage characteristics and method of making same
US5639688A (en) * 1993-05-21 1997-06-17 Harris Corporation Method of making integrated circuit structure with narrow line widths
US5824575A (en) 1994-08-22 1998-10-20 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
DE69739206D1 (en) 1996-07-19 2009-02-26 Siliconix Inc HIGH DENSITY TRIANGLE DIGITAL TRANSISTOR WITH TRIANGLE IMPLANT PLANTING
US5702987A (en) 1996-08-26 1997-12-30 Chartered Semiconductor Manufacturing Pte Ltd Method of manufacture of self-aligned JFET
JP3709668B2 (en) 1997-09-02 2005-10-26 ソニー株式会社 Semiconductor device and manufacturing method thereof
US5856225A (en) 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US7560755B2 (en) 2006-06-09 2009-07-14 Dsm Solutions, Inc. Self aligned gate JFET structure and method

Also Published As

Publication number Publication date
EP2038937A4 (en) 2010-04-28
KR20090023476A (en) 2009-03-04
TW200810114A (en) 2008-02-16
US7687335B2 (en) 2010-03-30
WO2007146734A2 (en) 2007-12-21
EP2038937A2 (en) 2009-03-25
CN101467265A (en) 2009-06-24
US20090017585A1 (en) 2009-01-15
WO2007146734A3 (en) 2008-02-21
US20070284628A1 (en) 2007-12-13
US7560755B2 (en) 2009-07-14
JP2009540579A (en) 2009-11-19

Similar Documents

Publication Publication Date Title
US7687335B2 (en) Self aligned gate JFET structure and method
US20220029018A1 (en) Method for manufacturing semiconductor device with recess, epitaxial growth and diffusion
US7642566B2 (en) Scalable process and structure of JFET for small and decreasing line widths
US7713804B2 (en) Method of forming an oxide isolated metal silicon-gate JFET
CA2660885A1 (en) Silicon-on-insulator (soi) junction field effect transistor and method of manufacture
US20080272394A1 (en) Junction field effect transistors in germanium and silicon-germanium alloys and method for making and using
US20120267724A1 (en) Mos semiconductor device and methods for its fabrication
US6767778B2 (en) Low dose super deep source/drain implant
US7648880B2 (en) Nitride-encapsulated FET (NNCFET)
KR100922557B1 (en) Method of manufacturing a CMOS transistor and the CMOS transistor
KR100523053B1 (en) Smart power device built-in SiGe HBT and fabrication method of the same
US7943971B1 (en) Junction field effect transistor (JFET) structure having top-to-bottom gate tie and method of manufacture
KR20120120038A (en) Mos semiconductor device and methods for its fabrication
KR20010109783A (en) Method of Forming MOS Transistor by Using Selective Epitaxial Growth

Legal Events

Date Code Title Description
FZDE Dead