CA2820500A1 - Method and device for high performance regular expression pattern matching - Google Patents

Method and device for high performance regular expression pattern matching Download PDF

Info

Publication number
CA2820500A1
CA2820500A1 CA2820500A CA2820500A CA2820500A1 CA 2820500 A1 CA2820500 A1 CA 2820500A1 CA 2820500 A CA2820500 A CA 2820500A CA 2820500 A CA2820500 A CA 2820500A CA 2820500 A1 CA2820500 A1 CA 2820500A1
Authority
CA
Canada
Prior art keywords
dfa
input
pipeline
state
transition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CA2820500A
Other languages
French (fr)
Other versions
CA2820500C (en
Inventor
Ron K. Cytron
David Edward Taylor
Benjamin Curry Brodie
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
IP Reservoir LLC
Original Assignee
Exegy Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Exegy Inc filed Critical Exegy Inc
Publication of CA2820500A1 publication Critical patent/CA2820500A1/en
Application granted granted Critical
Publication of CA2820500C publication Critical patent/CA2820500C/en
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • GPHYSICS
    • G06COMPUTING; CALCULATING OR COUNTING
    • G06FELECTRIC DIGITAL DATA PROCESSING
    • G06F16/00Information retrieval; Database structures therefor; File system structures therefor
    • G06F16/90Details of database functions independent of the retrieved data types
    • G06F16/903Querying
    • G06F16/90335Query processing
    • G06F16/90344Query processing by using string matching techniques
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S707/00Data processing: database and file management or data structures
    • Y10S707/99931Database or file accessing
    • Y10S707/99933Query processing, i.e. searching
    • Y10S707/99936Pattern matching access

Abstract

A device for matching an input string to a pattern via a deterministic finite automaton (DFA), the DFA comprising a plurality of states including a current state and a plurality of possible next states, the input string comprising a plurality of input symbols The device comprises at least two parallel pipeline stages; a first one of the pipeline stages being configured to retrieve a plurality of transitions to a possible next state of the DFA from a pre-populated memory, and a second one of the pipeline stages configured to choose, based at least in part upon the DFA's current state, one of said retrieved transitions from which the integrated circuit will determine the next state of the DFA, wherein the second one of the pipeline stages is downstream from the first one of the pipeline stages.

Description

=
Method and Device for High Performance Regular Expression Pattern Matching This is a division of Canadian Serial No. 2,629,618 filed November 29, 2006.
Field of the Invention:
The present invention relates generally to the field of mrocessing a stream of data symbols to determine whether any strings of the data symbol stream match tamettern.
Background and Summary of the Invention:
Advances in network. and storage-subsystem design continue to mush the rate at which data streams must he -processed between and within commuter systems. Meanwhile, the content of such data streams is subjected to ever increasing scrutiny, as comoonents at all levels mine the streams for :patterns that can trigger time sensitive action. Patterns can, include not only constant strings (e.g., "dog." and "cat") but also specifications that denote credit card numbers, currency values, or telephone numbers to name a few. A widely-used pattern specification language is the regular expression language. Regular eroressions and their implementation via deterministic finite automatons (DrAs) is a well-developed field. See Hoperoft and Ullman, Introduction to Automata Theory, Languages, and Camputation, Addison Wesley, 1979.
k DFA is a logical representation that defines the operation of a state machine, as explained below. However, the inventors herein believe that a need in the art exists for improving the use of regular expressions in connection with high-performance pattern matching.
For some applications, such as packet header filtering, the location of .a given pattern may le anchored, wherein anchoring describes a situation where a match occurs only if the pattern begins or ends at a set of prescribed locations within the data stream. More commonly, in many applications, a pattern can begin or end anywhere within the data stream (e.g., unstructured data streams, packet payloads, etc.).
Some applications recuire a concurrent imposition of thousands of patterns at every byte of a data stream. Examples of such applications include but are not limited to:
= network intrusion detection/prevention systems (which typically operate using a rule base of nearly 10,000 patterns (see Hoesch, M., "Snort - lightweight intrusion detection fornetwarks4, LISA '99: 13th Systems Administration Conference, on. 229-238, 1999);
= email monitoring systems which scan outgoing email for inappropriate or illegal content;
= span filters which impose user-specific patterns to filter incoming email;
* virus scanners which filters for signatures of programs k to be harmful; and = copyright enforcement programs which scan media files or socket streams for pirated content.
In applications such as these, the set of patterns sought within the data streams can change daily.
Today's conventional high-end workstations cannot keep pace with pattern matching applications given the speed of data streams originating from high speed networks and storage subsystems. To address this performance gap, the inventors herein turn to architectural innovation in the formulation and realization of DFAs in pipelined architectures (e.g., hardware logic, networked processors, or other pdpelined processing systems).
A regular expression r denotes a regular. language L(r), where a language is a (possibly infinite) set of (finite) strings. Each string is comprised of symbols drawn from an alphabet M. The syntax of a regular expression is defined = inductively, with the following basic expressions:
= any symbol ae Z denotes { a 1;
4 the symbol X denotes the singleton set containing an empty (zero-width) string; and = the symbol 0 denotes the empty set.
Each of the foregoing is a regular language. Regular expressions of greater complexity can be constructed using the union, concatenation, and Kleene-closure operators, as is well-known in the art. Symbol-range specifiers and clause repetition factors are typically offered for syntactic convenience. While any of the well-known regular expression notations and extensions are suitable for use in the practice of the present invention, the description herein and the preferred embodiment of the present invention supports the pen l notation and extensions for regular expressions due to pen's popularity.
As noted above, regular expressions find practical use in a plethora of searching applications including but not limited to file searching and network intrusion detection systems.
Most text editors and search utilities specify search targets = using some form of regular expression syntax. As an illustrative example, uging perl syntax, the pattern shown in Figure 1 is intended to match strings that denote DS currency values:
= a backslash "\" precedes any special symbol that is to be taken literally;
= the low- and high-value of a character range is specified using a dash "--";

= the sign indicates that the preceding expression can be repeated one or more times;
= a single number in braces indicates that the preceding expression can be repeated exactly the designated number of times; and = a pair of numbers in braces indicates a range of repetitions for the preceding expression.
Thus, strings that match the above expression begin with the symbol "$", followed by some positive number of decimal Applications that use regular expressions to specify patterns of _interest typically operate as follows: Given a regular expression r and a target string t (typically the contents of some input stream such as a file), find all 25 The simplest and most practical mechanism for recognizing patterns specified using regular expressions is the DFA, which is formally described as the 5-tuple:
(Q, Z, q., 5, A) where:
30 = Q is a finite set of states = is an alphabet of input symbols.
= q6 e Q is the DFA's initial state = 5 is a transition function: Q x I Q
= A g Qls a set of accepting states A DFA operates as follows. It begins in state (2Ø If the DFA is in state q, then the next input symbol a causes a transition determined by 8(q, a). If the DFA effects a transition to a state q e A, then the string processed up to that point is accepted and is in the language recognized by the DFA. As an illustrative example, the regular expression of Figure 1 can be translated into the canonical DFA shown in Figure 2 using a sequence of well-known steps, including a step that makes the starting position for a match arbitrary (unanchored) (see the Hoperoft and Ullman reference cited above). For convenience, the DFA of Figure 2 uses the term w10-91" to denote the set {0, 1, 2, 3, 4, 5, 6, 7, 8, 9} and uses the symbol to denote all symbols of I not in the set {0, 1, 2, 3, 4, 5, 6, 7, 8, 8, $, -}.
The construction of a DFA typically involves an intermediate step in which a nondeterministic finite automaton (NFA) is constructed. An' NFA differs from a DFA.in that whereas a DFA is a finite state machine that allows at most one transition for each input symbol and state, an NEA is a finite state machine that allows for .more than one transition for each input symbol and state. Also, every regular language has a canonical DFA that is obtained by minimizing the number of states needed to recognize that language. Unless specified otherwise herein, it should be assumed that all automata are in canonical (deterministiok form.
However, for the purpose of pattern matching, the inventors herein believe that the DFA shown in Figure 2 is deficient in the following respects:
s Symbols not in the alphabet of the regular expression will cause the DFA to block. For pattern-matching, such symbols should be ignored by a DFA so that it can continue to search for matches. This deficiency can be overcome by completing the DFA as follows:
- The alphabet is widened to include any symbol that might occur in the target string. In this description, it is assumed that I is the ASCII
character set comprising 256 symbols.
- The DFA is augmented with a new state Q Q { u}
- The transition function 6 is completed by defining S
(q, a) = Dr for all q e Q, a e E for which S was previously undefined.
= A match will be found only if it originates at the first character of the target string. Pattern-matching applications are concerned with finding all occurrences of the denoted pattern at any position in the target.
This deficiency can be overcome by allowing the DFA to restart at every position. Formally, a X transition is inserted from every q e Q to q0.
The result of the above augmentation is an NF'A that can be transformed into a canonical MFA through known techniques to obtain the DFA. Figure 3 provides an illustrative example of such a canonical DFA.
ADFA is typically implemented interpretively by realizing its transitions 5 as a table: each -row corresponds to a state of the DFA and each column corresponds to an input symbol. The transition table for the DFA of Figure 3 is shown in Figure 4. If the alphabet I for the DFA is the ASCII
character set (as is often the case in many applications), then the transition table of Figure 4 would have 256 columns.
Each entry in the transition table of Figure A comprises a next state .identifier. The transition table of Figure 4 works thusly: if the DFA's current State is B and the next input symbol is 2, then the transition table calls for a transition to state D as "D" is the next state identifier that is indexed by current state B and input symbol 2. In the description herein, states are labeled by letters to avoid confusion with symbol encodings. However, it is worth noting that in = 6 practice, states are typically represented by an integer index in the transition table.
The inventors herein believe that the pattern matching techniques for implementing DFAs in a pipelined architecture can be greatly improved via the novel pattern matching architecture disclosed herein. According to one aspect of the present invention, a pipelining strategy is disclosed that defers all state-dependent (iterative, feedback dependent) operations to the final stage of the pipeline. Preferably, transition table lookups operate to retrieve all transition table entries that correspond to the input symbol(s) being processed by the DFA. Retrievals of transition entries from a transition table memory will not be based on the current state of the DFA. Instead, retrievals from the transition table memory will operate to retrieve a set of stored transition entries based on data corresponding to the input symbol(s) being processed.
In a preferred embodiment where alphabet encoding is used to map the input symbols of the input data stream to = 20 equivalence class identifiers (ECIs), these transition table entries are indirectly indexed to one or more input symbols by data corresponding to ECIs. This improvement allows for the performance of single-cycle state transition decisions, enables the use of more complex compression and encoding techniques, and increases the throughput and scalability of the architecture.
According to another aspect of the present invention, the transitions of the transition table preferably include a match flag that indicates whether a match of an input symbol string to the pattern has occurred upon receipt of the input symbol(s) that caused the transition. Similarly, the transitions of the transition table preferably include a match restart flag that indicates whether the matching process has restarted upon receipt of the input symbol(s) that caused the transition. The presence of a match flag in each transition allows for the number of states in the DFA to be reduced relative to traditional DRAB because the accepting states can = be eliminated and rolled into the match flags of the transitions. The presence of a match restart flag allows the DFA to identify the substring of the input stream that matches in the transitions contribute to another aspect of the present invention - wherein the preferred DFA is configured with an ability to scale upward in the number of bytes processed per cycle. State transitions can be triggered by a sequence of m input symbols, wherein in is greater than or equal to 1 (rather than being limited to processing only a single input symbol per clock cycle). Because of the manner by which the transitions include match flags and match restart flags, as disclosed herein, the DFA will still be able to detect when leading or an intermediate input symbol of the sequence of m input symbols that are processed together by the DFA as a group.
According to yet another aspect of the present invention, incremental scaling, compression and character-encoding techniques are used to substantially reduce the resources required to realize a high throughput DEA. For example, run-length coding can be used to reduce the amount of memory consumed by (i.e., compress) the.DFA's transition table.
run-length coded transitions to determine the next state for the DFA. Masking can be used in the state selection logic to remove from consideration portions of the transition table memory words that do not contain transitions that correspond Also, according to yet another aspect of the present invention, a layer of indirection can be used to map ECIs to transitions in the transition table memory. This layer of indirection allows for the use of various optimization 35 techniques that are effective to optimize the run-length coding process for the transition entries in the transition table memory and optimize the process of effectively packing the run-length coded transition entries into words of the transition table memory such that the number of necessary accesses to transition table memory can be minimized. With the use of indirection, the indirection entries in the indirection table memory can be populated to configure the mappings of ECIs to transition entries in the transition table memory such that those mappings take into consideration any optimization processes that were performed on the transition entries in the transition table memory.
Furthermore, according to another aspect of the present invention, disclosed herein is an optimization algorithm for ordering the DFA states in the transition table, thereby improving the DFA's memory requirements by increasing the efficiency of the run-length coded transitions.
Further still, disclosed herein is an optimization algorithm for efficiently packing the transition table entries into memory words such that the number of transition table entries sharing a common corresponding input symbol (or derivative thereof such as ECI) that span multiple memory words is minimized. This memory packing process operates to improve the DFA's throughput because the efficient packing of memory can reduce the number of memory accesses that are needed when processing one or more input symbols.
According to another aspect of the present invention, the patterns applied during a search can be changed dynamically without altering the logic of the pipeline architecture itself. A regular expression compiler need only populate the transition table memory, indirection table, ECI mapping tables, and related registers to reprogram the pattern matching pipeline to a new regular expression.
Eased on the improvements to DFA design presented herein, the inventors herein believe that the throughput and density achieved by the preferred embodiment of the present invention greatly exceed other known pattern matching solutions.

=

These and other inventive features of the present invention are described hereinafter and will be apparent to those having ordinary skill in the art upon a review of the following specification and figures.
Brief Description of the Drawings:
Figure 1 depicts an exemplary regular expression;
Figure 2 depicts a DFA for the regular expression of Figure 1; =
Figure 3 depicts an improved DFA for the regular expression of Figure 1;
Figure 4 depicts a conventional transition table for the DFA of Figure 3;
Figure 5 illustrates a block diagram overview of a preferred embodiment of the present invention;
Figure 6 depicts a preferred algorithm for doubling the stride of a DFA;
Figure 1 depicts a DFA in accordance with the preferred embodiment of the present invention having a reduced number of states and flags within the transition for matches and match restarts;
Figure 8 depicts a preferred algorithm for encoding input symbols into equivalence class identifiers (ECIs);
Figures 9(a) and Cb) depict a preferred transition table for the DFA of Figure 7;
Figures 10(a) and (b) depict transition tables for the regular expression of Figure 1 wherein the stride of the DFA
is equal to 2 input symbols per cycle;
Figure 11 depicts a preferred algorithm for constructing a base DF211 from d and p;
Figure 12 depicts an exemplary run-length coded transition table;
Figure 13 depicts an adjacently-stored version of the run-length coded transition table of Figure 12;
Figure 14 depicts an exemplary state selection logic circuit for determining a next state based on a retrieved set , 10 of run-length coded transitions that correspond to a given ECI;
Figures 15(a) and (b) depict an indirection table and a memory in which the run-length coded transition table of Figures 12 and 13 is stored;
Figures 16(a) and (b) depict an indirection table and a memory in which the run-length coded transition table of Figure 15 include precomputed run-length prefix sums;
Figures I7(a) and (b) depict an alternative formulation of the Indirection Table and TTM in which the run-length coded transition table of Figure 14 include precomputed run-length prefix sums;
Figure 18 illustrates an exemplary state selection logic circuit;
Figures 19(a) and (b) respectively illustrate an exemplary transition table that has been optimized by state re-ordering, and the run length-coded version of the state re-ordered transition table;
Figure 20 depicts a preferred algorithm for calculating the difference matrix used to optimize the run-length coding for the TTM;
Figure 21 depicts a preferred algorithm for optimization of run-length coding in the TTM;
Figure 22 depicts an example of a coded transition table that has been packed into the TTM;
Figure 23 depicts a preferred algorithm for optimal packing of memory words;
Figure 24 depicts a preferred regular expression system architecture;
Figure 25 depicts the regular expression engine of the preferred embodiment as a series of pipelined computational blocks; and Figure 26 depicts a preferred process for assigning ECIs to input symbols using direct-address tables and pairwise combination.

Detailed Description of the Preferred Embodiments:
Figure 5 depicts an overview of the preferred embodiment of the present invention. The architecture of the preferred embodiment is illustrated within the regular expression circuit 502, which serves as a pattern matching circuit that operates on an input data stream comprising a plurality of sequential input symbols. Preferably, the regular expression circuit 502 is implemented in hardware logic (e.g., reconfigurable hardware logic such as an FPGA or nonreconfigurable hardware logic such as an ASIC). It is worth noting that one or more of the regular expression circuits 502i can be implemented on the same device if desired by a practitioner of the present invention, which is also reflected in Figure 24. Also, the regular expression circuit can be implemented in other pipelined architectures, such as multi-processor systems, wherein each processor would serve as a pipeline stage of the regular expression circuit. In such an example, the different processors of the pipeline can be networked together.
The data tables and relevant registers of the regular expression circuit are preferably populated by the output of the regular expression compiler 500. Regular expression compiler 500 operates to .process a specified (preferably user-specified) regular expression to generate the DFA that is realized by the regular expression circuit 502 as described herein. Preferably, regular expression compiler 500 is . implemented in software executed by a general purpose processor such as the CPU of a personal computer, workstation, or server.
Regular expression compiler 500 can be In communication with regular expression circuit 502 via any suitable data communication technique including but not limited to networked data communication, a direct interface, and a system bus.
The regular expression circuit 502 preferably realizes the DFA defined by one or more specified regular expressione via a plurality of pipelined stages. A first pipeline stage =

is preferably an alphabet encoding stage 504 that produces an ECI output from an input of in input symbols, wherein in can be an integer that is greater than or equal to one. A second pipeline stage is preferably an indirection table memory stage 506. The indirection table memory state 506 can be addressed in a variety of ways. Preferably, it is directly addressed by the ECI output of the alphabet encoding stage 504. A third pipeline stage is the transition table logic stage 508 that operates to receive an indirection table entry from the output of the indirection table memory stage 506 and resolve the received indirection entry to one or more addresses in the transition table memory stage 510. The transition table logic stage 508 also preferably resolves the received indirection table entry to data used by the state selection logic stage 512 when the stage selection logic stage processes the output from the transition table memory stage 510 (as described below in connection with the masking operations).
The transition table memory stage 510 stores the transitions that are used by the DFA to determine the DFA's next state and determine whether a match has been found. The state selection logic stage 512 operates to receive one or more of the transition entries that are output from the from the transition table memory stage 510 and determine a next state for the DFA based on the DFA's current state and the received transition(s). Optionally, the masking operations 514 and 516 within the state selection logic stage 512 that are described below can be segmented into a separate masking pipeline stage or two separate masking pipeline stages (an initial masking pipeline stage and a terminal masking pipeline stage). Additional details about each of these stages is presented herein.
High-Throughput ..DFAs A conventional DFA processes one input symbol (byte) at a time, performing a table lookup on each byte to determine the next state. However, modern communication interfaces and interconnects often transport multiple bytes par cycle, which makes the conventional DFA a 'bottleneck" in terms of achieving higher throughput. Throughput refers to the rate at which a data stream can be processed - the number of bytes per second that can he accommodated by a design and its implementation.
An extension of conventional DTAs is a DFA that allows for the performance of a single transition based on a string of in symbols. See Clark and Schimmel, "Scalable pattern matching for high speed networks", IEEE Symposium on Field-Programmable Custom Computing Machines, April 2004. That . .
is, the DFA processes the input stream in groups of in input symbols. Formally, this adaptation yields a DFA based on the alphabet 72'; the corresponding transition table is of size !QIIE!'. This apparently dramatic increase in resource reguirements is mitigated by the compression techniques described herein. For convenience, we let e denote a transition function that operates on sequences of length in, with = 6.
.20 As .an illustrative-example, consider doubling the effective throughput of the DFA shown in Figure 3 by processing two bytes at a time (m = 2). Based on the table in Figure 4, if the current state is E, then the input sequence .
"2$" would result in a transition to state 3: (E, 2$) .
5(8(E, 2), $) . B. By accounting for all such two-character sequences, a complete transition table can .be computed for this higher-throughput DFA as explained below.
In general, an algorithm for constructing for a given DFA is straightforward. The set of states is unchanged and the transition function (table) is computed by simulating progress from each state for every possible sequence- of length in. That algorithm takes time 0(101E1'm) to compute a table of size 8(12 IZ1m). A faster algorithm can be obtained by the following form of dvnamic programming. Consider M
Then Vg (9) X) = 61-1 (9t Xi) s Xr) An algorithm based on the above proposition is shown in Figure 6.
To obtain higher throughput DFAs, the algorithm in Figure 6 can be invoked repeatedly, each time doubling the effective number of symbols processed per cycle. This reduces the complexity of computing 6' to 0(1Q11Ela log n). Moreover, by .
identifying redundant columns, the effective alphabet of each table can be substantially reduced in practice through encoding, as described in the Alphabet Encoding section below.
High-Throughput DRAs: Accepts Because the higher throughput DFA performs multiple transitions per cycle, it can traverse an accepting state of the original DFA during a transition. We therefore augment the transition function to include whether an accepting state is traversed in the trace:
r : Qxr --*Qx (0, 11 The range's second component indicates whether the sequence of symbols that caused the transition contains a nonempty prefix that takes the original DFA through an accept state.
Transition functions of this form obviate the need for a set of accepting states AL, because the "accept" (m3.1213.) information is associated with edges of the higher throughput DFA. This is formalized via the modified DFA we define in the 'synergistic Combination of Stride and Encoding" section below.
For m > 1, accepts are now imprecise because the preferred DFA does not keep track of which intermediate symbol actually caused an accept (match) to occur. To favor speed, the high-throughput DFA can be configured to allow imprecise accepts, relegating precise determination of the accept point to software postprocessing.
High-Throughput MRS: Restarts As previously discussed, a pattern-matching DFA for a regular expression is preferably augmented with transitions that allow matches to occur throughout the target string.
Because matches can occur at any starting position in the target, an accept should report the origination point of the match in the target. It is not clear in the automaton of . Figure 3 when the origination point is set. For example, all transitiona to state A set the origination point, but so do ' transitions on "$" to state B. Considering transitions from B
to A, a n--a or is a restart, while a digit is an accept.
The X-transitions introduced to achieve position independence of matching result in an NFA that can be transformed into a =DFA through the usual construction. The '1Synergistic Combination of Stride and Encoding" section below describes how to modify that construction to identify transitions that serve only to restart the automaton's matching process.
Formally, the transition function is augmented once more, = this time to indicate when restarts occur:
: Q x Q x {0, 1} x [0, 1) The first flag indicates a restart transition (a "match restart' flag) and the *second flag indicates an accept transition (a "match" flag). Accordingly, the DFA diagrams henceforth show restart transitions with green edges and accept edges with red edges. For example, Figure 7 shows an illustrative example of a diagram for an automaton that processes one symbol at a time and recognizes the language denoted by Figure 2. Optionally, the edges for the transitions to State A can be coded as black And flagged accordingly, with only the edges for the transitions to State B being coded as green and flagged accordingly. The actions of a DFA with the colored edges are as follows. The automaton includes context variables b and e to record the beginning and end of a match; initially, b = e = 0, and the index of the first symbol of the target is 1. These variables allow the location of a matching string to be found in the context buffer as shown in Figure 24. Transitions are then performed as follows:
black: e is advanced by in-the stride of the automaton, which is the length of the input string that caused the transition. In Figure 6, m = 1. A match is in progress and the portion of the target string participating thus far begins at position b and ends at position e, inclusively.
red only: e is advanced by m and a match is declared. The target substring causing the match starts at position b and ends at position e.
green only: b is advanced by m and e is set to b. The automaton is restarting the match process.
red and green: The red action is performed before the green action.
Figure 9 shows the associated transition table for the DFA of Figure 7, wherein each transition entry in the transition table includes a match flag and a match restart flag in addition to the next state identifier. Because information about accepts is associated with edges (the 8 function), a DFA with colored edges can have fewer states than the canonical DFA.
The use of match flags and match restart flags is particularly useful when scaling the DFA to process multiple input symbols per cycle. Figure 10(b) illustrates a transition table for the regular expression of Figure 1 multiple input symbols per cycle, the DFA will be able to detect when matches and restarts occur on the leading or an intermediate symbol of the group m of input symbols because the flags will carry match status information rather than the states.
, Alphabet Encoding As described .above, the size of the transition table (6) increases exponentially with the length of the input sequence consumed in each cycle. In this section, techniques are presented to encode the symbol alphabet, the goal of which.is to mitigate the. transition table's size and thus maximize the number of symbols processed per cycle.
Frequently, the set of symbols used in a given regular expression is small compared with the alphabet E of the search target. Symbols present in the target but not denoted in the pattern will necessarily-be given the same treatment in the DFA for the regular expression. More generally, it may be the case that the DEA's behavior an some set of symbols is identical for all symbols in that set. As an illustrative example, the regular expression in Figure 1 uses only a small fraction of the ASCII character set. The transitions for digits are the same in the DFA shown in Figure 3, and the symbol "*" stands for all symbols of the ASCII set that are not in the set {tY, 1, 2, 3, 4, 5, 6, 7, 8, 9, $, .}.
While a regular expression may -mention character classes explicitly, such as "[0 ¨ 9]", a more general approach is achieved by analyzing a DFA for equivalent state-transition behavior. Formally, if (3a E E) (3b e I) (Vq e Q) 5(g, a) = 8(q, b) then it can be said that a and b are "transition equivalent."
Given a transition table 8 : Q x f-4 Q, an 00Sigma121Q1) Algorithm for partitioning E into equivalence classes is shown in Figure 8. Using the example of Figure 1, the algorithm develops the equivalence classes of symbols suggested in Figure 1 to form the 4 columns shown in Figure 9(b). The partition is represented by a set of integers ./C and a mapping x from E to X% Because alphabet symbol-equivalence is determined by state equivalence, the best result is obtained if g corresponds to a canonical DFA, with state equivalence already determined.
Computing equivalence classes using the DFA, rather than inspection of its associated regular expression, is preferred for the following reasons:
= The regular expression may be specified without using ranges or other gestures that may imply equivalence classes. As an illustrative example, a and b can be made equivalent in the DFA for the regular expression (bc)", but their equivalence is not manifest in the regular expression.
= Equivalence classes often cannot be determined by local inspection of a regular expression. As an illustrative.
example, the regular expression "(0¨ 93a15c" contains the phrase "[0-9]", but one element of that range (5) will not share identical transitions with the other symbols because of the other phrase. The appropriate partition in this case is { { 0, 1, 2, 3, 4, 6, 7, 8, 9 }, { 5 ) Formally, the function in Figure 8 creates a partition ¨
a set, each element of which is a set of symbols in E that are treated identically in the DFA. The function produces a more convenient form of that partition in x, which maps each symbol to an integer denoting its equivalence class (the integer serving as an "equivalence class identifier" (ECI)). A DFA
based on symbol encodings then operates in the following two stages. First, the next input symbol is mapped by x to its ECI that represents its equivalence class as determined by the algorithm in Figure 8. Second, the DFA can use its current state and the ECI to determine the MA's next state.
Based on the ideas presented thus far, Figure 7 shows an illustrative example of a DFA that processes a single symbol at a time, with red edges indicating "accept" and green edges indicating "restart". Analysis using the algorithm in Figure 8 yields the symbol encoding shown in Figure 9(a) and the transition table shown in Figure 9(b). As shown in Figure 9(b), each entry in the transition table is indexed by data corresponding to the DFA's state and by data corresponding to the ECI for a group of m input symbols. Figures' 10(a) and (b) show the counterpart ECI table and transition table for the regular expression of Figure 1 where 2 input symbols are processed at a time.
Each transition in the transition table is a 3-tuple that comprises a next state identifier, a match restart flag and a match flag. For example, the transition indexed by state D
and ECI 0 is (B, 1, 0) wherein B is the next state identifier, wherein 1 is the match restart flag, and wherein 0 is the match flag. Thus, the transition from state D to B caused by ECI 0 can be interpreted such that ECI 0 did not cause a match to occur but did cause the matching process to restart.
Synergistic Combination of Stride and Encoding = 20 The ideas of improving throughput and alphabetencoding = discussed above are now combined to arrive at an algorithm = that consumes multiple bytes per cycle and encodes its input = to save time (in constructing the tables) and space (in realizing the tables at runtime).
Such a new high-throughput me can now be formally described as the 6-tuple (Q, M, x, (5) where:
= Q is a finite set of states = I is an alphabet of the target's input symbols = co e Q is an initial state K is a set of integers of size Q(E) (but expected to be small in practice) = x is a function En I-4 K that maps m input symbols at a time to their encoding = d is a function Q x K Fi .Q x fO, 1) x {0, 1) that maps the current state and next substring of m symbols to a next state, a possible restart, and a possible accept.
The set of transformations begins with a regular expression r and perform the following steps to obtain DFA': =
1. A DFA d is constructed for one or more regular expressions r in the usual way (see the Hoperoft and Ullman reference cited above). For example, as discussed above, the regular expression in Figure 1 results in the DFA shown in Figure 3.
2. An set of transitions p is computed that would allow the automaton to accept based on starting at any position in the target. This is accomplished by simulating for each state a X.-transition to giõ Specifically, p is computed as follows:
foreach e e Q do foreach a e do p p k.) { (p, a) 1-* 5(go, a) 3. From d and p, the base DFA 2 is constructed by the algorithm in Figure 11.
4. state minimization is performed on a high-throughput DFA
by a standard algorithm (see the Hoperoft and Ullman reference cited above), except that states are initially split by incoming edge color (black, green, red), instead of by whether they are final or nonfinal states in a traditional DFA.
5. Given a DFA, a higher throughput DFA 2k with alphabet encoding is constructed by the algorithm shown in Figure .6.

Transition Table Compression via Run-Length Coding The transition table for the preferred high-throughput DFA may contain 1E1 x )(21 entries. State minimization attempts to minimize 1(21 and the previous discussion regarding the combination of higher throughput and alphabet encoding attempts to minimize IKI. Nonetheless, storage resources are typically limited; therefore, a technique for accommodating as many tables as possible should .be addressed. The following addresses this matter by explaining bow to compress the table itself.
Based'on the discussion above, a transition table cell contains the three-tuple: (next state, start flag, accept flag). Run-length coding is a simple technique that can ' 15 reduce the storage requirements for a sequence of symbols that exhibits sufficient reOuneiaricy. The idea is to code the string e4 as the run-length n and the symbol a; the notation n(a) can be used. Thus, the string aaaabbbcbbaaa is run-length coded as 4(a)3(b)1(c)2(b)3(a). If each symbol and each run-length requires one byte of storage, then sun-length coding reduces the storage requirements for this example by three bytes (from 13 bytes to 10 bytes).
= Examining the example of Figure 9(b), there is ample opportunity for run-length coding in the columns of the transition table. For the encoded Ed I symbols 0 and 3, the table specifies the same three-tuple for every previous state, so the run-length coding prefix for the transitions in the table indexed by ECIs 0 and 3 are both "5". In general, what is expected in transition tables is common "next-state"
behavior. Thus, the number of unique entries in each column of the transition table is typically smaller than the number of states in the DEA. Figure 12 contains a run-length coded version of the transition table in 'Figure 9.
While column compression can save storage, it appears to increase the cost of accessing the transition table to obtain a desired entry. Prior to compression, a row is indexed by the current state, and the column is indexed by the ECI. Once the columns are run-length coded, as shown in Figure 12, the compressed contents of each column are stored adjacently, as shown in Figure 13. In this example, the states themselves have also been encoded as integers (with A represented by 0, B by 1, etc.). There are now two steps to determining the DFA's next state and actions:.
1, Based on the next ECI encoded from the input, the relevant range of entries is found in the storage layout shown in Figure 13, This lookup can be performed using a mapping from ECI to offset in the storage layout. The =
range of entries is a compressed column from Figure 12.
In Figure 13, the underscored entries correspond to ECI 1 from Figure 12.
2. Based on the current state, the next state and action flags must be found in the relevant range of entries.
This logic, called state selection, essentially requires decompressing the entries to discover which entry corresponds to the index of the current state.
If an entire compressed column is available, the circuit shown In Figure 14 depicts an example of how state selection can be realized by interpreting the compressed form and the current state to determine the appropriate tuple entry. For each entry in the run-length coded column, the sum of its rim-length prefix and the run-length prefixes of the preceding entries are computed. The current state index is then compared with each sum and the first (leftmost in the example of Figure 14) entry whose sum is greater than the current state index is selected by the priority encoder. The priority encoder can then determine the next state for the DEA.
Figure 14 shows an illustrative example of state , selection in progress for ECI 1 of Figure 12. Each"<w node compares the current state index (3 in this example, or State I)) with the sum of run.-length prefixes in the compressed column. If state index 0 (State AO were supplied as the . 23 current state, all three comparators would output "1" and the priority encoder would pick the leftmost one, Choosing (A,1,0) as the contents. In Figure 14, the current state is 3, which is less than the second sum (3 4 1) and the third sum (3 + 1 +, 1),, so that the right two comparators output ''1". The priority encoder picks the leftmost one, so that (C,0,0) is chosen as the lookup of ECI 1 and state 3.
Supporting Variable-Length Columns in Memory The storage layout shown in Figure 13 must be mapped to a physical memory, in which the entire table will typically be too large to be fetched in one memory access. Field-Programmable Gate Arrays (FPGAs) and similar devices often support memory banks that can be configured in terms of their size and word-length. Moreover, the size of a given entry depends on the number of bits allocated for each field (run-length, next State identifier, match restart flag, match flag). The analysis below is based on the general assumption that x transition table entries may be retrieved per cycle.
.20 In single port memory, this means that x will match the number =
of transition entries per word. For multi-port memory, this means that x will match the number of ports multiplied by the number of transition entries per word. As an example, .a.
physical memory that supports 5 accesses per cycle and holds 3 entries per word is accommodated in the preferred embodiment by setting x . 5 x 3 . 15. However, a physical memory that supports only One access per cycle and holds three entries per word is accommodated in the preferred embodiment by setting .x = 3.
Some architectures offer more flexibility than others with respect to the possible choices for x. For example, the bits of an FPGA Block Ham can sometimes be configured in terms of the number of words and the length of each word. The following considerations generally apply to the best choice for x:

= Memory accesses are generally reduced by driving x as high as possible.
= The logic in Figure 14 grows with the number of entries that must be processed at one time. The impact of that growth On the circuit's overall size depends on the target platform and implementation. Significant FPGA
resources are required to realize the logic in Figure 14.
Supporting Variable-Length Columns in Memory: Transition Table Memory Once x is chosen, the compressed columns will be placed in the physical memory as compactly as possible. Figure 15(b) shows an example where the columns of the transition table are packed into a memory with x = 3. Each word in the memory is indexed by a memory address. For example, the word indexed by memory address 0 includes the following transitions 5(B, 1, 0), 3(A, 1, 0), and 1(C, 01 0). Due to the varying length of each column, a given column may start at any entry within a õrow.
By introducing a layer of indirection in the transition table, it is possible to leverage the memory efficiency provided by run-length coding and compact deployment of =
entries in the transition table memory (TTM). Figure 15(a) shows an example of such an Indirection Table which contains one entry for each Ed. Since ECIs may be assigned contiguously, the Indirection Table may be directly addressed using the ECI value for a given input string. Each Indirection Table entry may consist of the following:
O'pointer: address of the memory word in the Transition Table Memory containing the first entry of the run-length coded transition table column;
= transition index: index of the first entry of the rum-length coded transition table column in the first memory word for the column;

transition count: (or "count" in shorthand) the number of entries in the run-length coded transition table column;
Once the Indirection Table entry is retrieved using the input symbol ECI, the pointer in the retrieved entry is used to read the first memory word from the TTM. Recall x is the number of entries per memory word in the TTM. An entire column is accessed by starting at address transition index and reading w consecutive words from the TTM, where w is given by:
transition.count+transitionindex vv=
(1) The transition index and transition count values determine which entries in the first and last memory words participate in the column. In the example in Figure 15, each TTM word is capable of storing three entries, where an entry is a run-length coded transition tuple. As can be seen in Figure 15 by virtue of shading, it can be seen that two reads of the Transition Table memory are required to fetch the column for ECI 1. The particular values of transition index and transition count for ECI 1 indicate that the column begins in the second entry of the first word fetched, and continues until the first entry of the last word fetched. If TTM =
'entries were wasted by arranging for each column to start at index 0, then the number of accesses can be reduced to [fransition.counti . Because 0 < transition inde.x < x, compact storage in the TTM increases the number of accesses by at most 1.
As discussed below and shown in Figure 25, accesses to the Indirection Table and TTM can be pipelined with each other and with the other components of the design of the present invention. If multi-port memory is available, both tables may be stored in the same physical memory without degrading performance. However, the layer of indirection results in a =

variable number of accesses to the Transition Table Memory per state transition, depending on the distribution of a run-length coded column's contents in the TTM. For a particular BOI, the number of memory accesses to retrieve the column from memory cannot exceed the number required in the direct-addressed approach. On average, the number of memory accesses per state transition is considerably less. It is believed by the inventors generally that the memory efficiency achieved via run-length coding and indirection more than compensates for the overhead incurred by storing the Indirection Table and the additional run-length field.
Furthermore, the allocation of memory for the Indirection Table is relatively straightforward, as each entry is the same size and the number of entries is equal to the maximum number of input symbol equivalence classes.
Supporting Variable-Length Columns in Memory: State Selection The implementation of a State Select logic circuit that preferably takes into account the efficient storage layout of the TTM and offers other optimizations is now described.
While the TTM offers compact storage of the compressed columns, state selection logic becomes more complex. The logic shown in Figure 14 assumes that a compressed column can be presented to the logic at once, with no extraneous entries.
That logic is suboptimal for performing state selection using the TTM for the following reasons:
= A compressed column may span multiple words of the TTM.
= The start of a compressed column may begin in the middle of a TTM word. Thus, entries before the start must be suppressed for state selection.
= The end of a compressed column may occur before the end of a TTM word. Thus, entries after the end must be suppressed for state selection.
The logic shown in Figure 24 uses adders to accumulate the sum of all run lengths before each entry. Because the run lengths are fixed inside each entry, the adders can be obviated by precomputing the prefix sums and storing them, instead of the run-lengths themselves, as the "coefficient" in each tuple. By precomputing sums, the tables shown in Figure 15 are transformed.into the tables shown in Figure 16.
The amount of logic used to determine the beginning and end of the compressed column can also be reduced. The start of each column is specified in the Indirection table using the pointer and transition index fields, which provide the TTM
word containing the first entry and the index within that word of the entry. The number of words w occupied by the.
compressed column is then given by Equation (1). Each fully occupied word contains x entries of the compressed column. In the last word, the largest index occupied by the compressed ' column is given by:
(count index¨ 1)modx (2) Logic could he deployed in the State Select circuit to compute Equation 2. However, x is a design-time parameter.
By appropriate parameterization of Hardware Definition Language (HDL) code, Equation 2 can be computed when the Indirection and TTM tables are generated.
Thus, the amount of computational logic can be reduced by storing the following variables for each entry in the Indirection Table:
= Pointer: the address of the TTM word containing the first entry in the transition table column = Initial Transition Index: the index of the first entry (of the transition table column) in the first TTM word spanned by the transition table column = Terminal Transition Index: the index of the last entry (of the transition table column) in the last TTM word spanned by the transition table column = [Additional] Word Count: w¨ 1 where w is computed by Equation 1.
Continuing this example, Figure 17 shows the Indirection Table and TTM entries for the transition table shown in Figure 16.

Essentially, the transition count values are translated into the word count values and terminal transition index values.
This translation does not affect the contents of the TTm, but reduces the logic needed to process these tables.
The State Select block logic that is shown in Figure 18 operates on a transition table column containing two entries that spans one TTM word. Each word stores four entries. The output of the Ward Counter shown in Figure 18 reflects the number of memory words that have been examined for the current Transition Index Mask ROM. These bits are used to mask off preceding entries in the memory word that are not part of the The next stage "masks" the entries in the last memory 20 word that are not part of the transition table column. The run-length sums for entries that are not part of the transition table column are forced to the value of the Max Run-Length Register. This value records the maximum number of entries in a transition table column (i.e. the number of the following property: the index of the left-most '2, bit is the index of the next state entry, and all bits to right of this bit will be set to '1'. As previously referenced, it should be noted that the masking stages may be pipelined to increase throughput. In an alternative embodiment, only the less than comparisons, priority encoder, and next state selection logic need to occur in the final pipeline stage, Optimizations Achieving a high-throughout regular expression pattern-matching engine is the primary motivation for developing the high-throughput DEA, character encoding, and transition table compression techniques that are disclosed herein. In the following, techniques that optimize the throughput of the system at the expense of some memory efficiency are examined;
thus, each of the following techniques is constrained by the mTM. Specifically, the TTM imposes the following constraints:
= The number of entries Per word = The number of memory words in the table 1, The total number of entries in the table The optimization problems discussed in this section fall into the class of bin pecking or knapsack Problems. See Cormen et al., Introduction to Algorithms, Cambridge, MA, The MIT Press, 1990. The number of entries per word defines the bin (or knapsack) size for the packing problems. The structure of the coded transition table may be altered to minimize the number of memory accesses by = increasing the total number of entries and/or words required to represent the table so long as the totP, number entries or total number of words (bias or knapsacks) does not exceed the limits imposed by the Transition Table Memory.
optimizations: Optimizing Throughput The number of memory accesses required for a search is determined by the disposition of compressed columns in the TTM
and the pattern by which those columns are accessed. The pattern depends on the set of regular expressions in the engine and the particular input data processed through the engine. In a DFe, m input symbols are resolved to an Ed' which induces one column lookup. The number of memory . accesses depends on the length of the columns in the coded transition table and the column access pattern. The column access pattern depends on the regular expression (or set of regular expressions) in the engine and the input data. The total number of memory accesses for a given search can be expressed as:

W = N Au), 1=1 (3) where wi is the number of words spanned by row i in Transition Table Memory, fi is the relative frequency that row i is accessed, and N is the number of equivalence class identifiers produced by the input data.
While there is no prior knowledge of the input data, there is an ability to alter the structure of the coded transition table. By re-ordering the rows in the direct-addressed transition table, one can affect the length of the columns in the coded transition table. The optimization problem is to choose the column ordering that minimizes the total number of memory accesses, W. Assume that the transition table column access pattern follows a uniform distribution, fi = NIKI. In this case:

(4) 'xi Under these conditions, the optimization problem is to minimize the quantity:
Irl count,1 v =Ew = (5) x Recall that count, is the transition counti, or the number of entries in row i of the run-length coded transition table and x is the number of entries per word in the TTM.
To simplify the optimization problem, one can assume that x = 1, so the quantity that now needs to be minimized is:

v=Ecounti (6) =
This will generally yield similar results to minimizing the function with an arbitrary x.
Figure 19 illustrates the benefits of state reordering for the running example presented herein.
There are many approaches to state reordering. One approach is to minimize the length of a single column of the .
coded transition table by ordering the rows of the direct-addressed table according to the sorted order of the entries in the row. This maximizes the efficiency of the run-length coding for that one column. However, the re-ordering may also decrease the efficiency of the run-length coding for other = columns.
The preferred approach is a greedy one; preferably it is desired to maximize the length of the runs for the most columns, thereby minimizing the length of each encoded column.
. One can start by creating a difference matrix, which given two states indicates the number of ECIs that differ, and so will not continue a run. This algorithm is shown in Figure 20.
Next, one then orders the states from some starting point based on the entries in the difference matrix. One preferably chooses the states that preserves the most run lengths to get the next label. The starting state that is chosen is preferably the state that has the largest column-sum in the difference matrix. The idea for picking that state first is that it is the state that is the most different from all others. By moving that state to the end (rather than in the middle), one preserves the longest runs. This algorithm is outlined in Figure 21. Together the algorithms of Figures 20 and 21 serve as a "transition table state re-ordering"
algorithm Optimizations: Memory Packing Recall that the layer of indirection allows a column of the coded transition table to begin and end at any location in the TTM. Naive packing of coded table columns into physical memory can thwart the aforementioned optimizations by incurring an extra memory access for each table column.
Notice in Figure 15 that the run-length coded transition table column associated with input symbol '.' (Ed I 1) contains three entries, but it spans two memory words in the TTM. While it is possible to store the column in a single memory word, accessing this column requires two memory accesSes as laid out provided by the layer of indirection by ensuring that a coded ' transition table row spans at most w words, where:
1count (7) Figure 20 shows an example of using this constraint to pack retrieving the column associated with ECI 1 requires only one memory access. In this example, there is DC loss in memory efficiency; however this may not always be the case. For =
example, consider the case where a memory word holds three 25 entries and every coded transition table column contains two entries.
This memory packing problem is a variant of the classical fractional knapsack problem where w is the constraint or objective function. See Black, P.M., Dictionary of Algorithms .

transition table column) across multiple knapsacks (memory words) in the classical problem.
One solution to this problem is based on subset sum.
While this is an NIP-complete problem in the general case (see Garey and Johnson, Computers and Intractability: A Guide to the Theory of BID-Completeness, W. H. Freeman and Co.. 1979), there are certain conditions in which it runs in Polynomial time, namely if the sum is much less than the number of elements that are to be chosen from to create the sum. The sum of the preferred embodiment will always be the width of a memory word, so the preferred algorithm will also run in polynomial time.
The basic idea is to find the longest run-length coded column and choose it first. One then will pack it into memory words guaranteeing that it achieves the best possible packing.
One can then take the number of remaining entries in the last column and apply subset sum on it with the remaining run-length coded columns. This will pack the memory .as full as Possible without causing additional memory accesses. This process is repeated until no encoded columns remain. This algorithm is outlined .in Figure 21, referred to herein as an l'optimal memory word packing" algorithm, where R is the set of run-length coded columns, and w is the width of a memory word.
An Implementation Architecture , In this section, an implementation of a high-performance regular expression search system based on the preferred high-throughput DFA and pipelined transition table techniques is described. The focus of this implementation is a hybrid processing platform that includes multiple superscalar microprocessors and reconfigurable hardware devices with high-bandwidth interconnect to an array of high-speed disks.
Figure 24 shows an example of the system-level architecture and includes a user interface, regular expression compiler, file I/0 controller, regular expression firmware module, and results processor.
The purpose of the architecture is to maximize throughput by embedding an array of regular .expression engines in the reconfigurable hardware devices (e.g., FPGAs). The array of engines, supporting control logic, and context buffer(s) may be logically viewed as a single firmware module. In an embodiment wherein the regular expression circuits/engines are realized on an FPGA, these engines can be synthesized to a hardware definition language UMW representation and loaded onto the FPGA using known techniques.
Each regular expression engine's primary task is to recognize regular expressions in the input files streaming off of the high-speed disks. The set of regular expressions is preferably specified by the user through the user interface, compiled into high-throughput ETU, and translated into a set of tables and register values by a collection of software components. The set of tables and register values are written to the firmware module prior to beginning a search. When a regular expression engine recognizes a pattern, it sends a message to the Results Processor that includes the context (portion of the tile containing the pattern), starting and ending indexes of the pattern in the file, and the accepting -state label. Depending on the operating environment and level of integration, the user interface may be a simple command line interface, Graphical User Interface (GUI), or language-specific API. The following subsections provide detailed descriptions of the remaining components.
An Implementation Architecture: Regular Expression Compiler As detailed in Figure 5, the regular expression compiler receives the set of regular expressions specified by the user from the user interface. Thereafter, standard algorithms from the art are used to parse the specified regular expression(s) to create an NM therefrom, render the NFA to a position . independent DFA, and reduce the position independent DFA to a . . .
minimal DFA. The next step performed by the regular expression compiler is to transform the conventional minimal DFA to the preferred high throughput DFA of the present invention. This step comprises the processes described above with respect to scaling the DFA to accommodate strides of m input symbols per cycle (including the determination of appropriate match and match restart flags for the transition table and the encoding of input symbols to ECIs), and run-length coding the transition table. Next, the algorithms of Figures 20 and 21 can be run to optimize the storage of the run-length coded transitions in transition table memory and the algorithm of Figure 23 can be run to optimize the packing of the run-length coded transition entries into words of the transition table memory. Thereafter, the entries in the indirection table can be determined, and the compiler is ready to issue commands to the regular expression circuit 502 that will operate to populate the circuit's memory for the input symbol-to-ECI tables, the Indirection memory table and transition table.
Am Implementation Architecture: Results Processor It is expected that any of a variety of techniques can be used to report the results of a search via a results processor. The preferred results processor can be configured to resolve the exact expression and input string segment for each match using the results produced by the regular expression circuits (engines). In a preferred embodiment such as that shown in Figure 24, the results produced by a regular expression circuit (engine) include a unique engine identifier (ID), the start state, the accepting state, and the ECI for m input symbols that triggered the accepting transition. The results may also include the context for the match, which is a section of the input stream containing a string that matches the pattern. The results processor reports the matching string and associated regular expression (pattern) to the user interface.

An Implementation Architecture: File I/0 Controller The file I/O controller is a component of the system that.
controls the input stream. In the exemplary system of Figure 24, the file I/0 controller controls the stream of files flowing from a data store to the regular expression circuits.
Note that the input stream may also be fed by a network interface (or other data interface) as is known in the art.
An Implementation Architecture: Regular Expression Firmware The regular expression firmware module is the primary datapath component in the system architecture shown in Figure 24. It preferably contains an array of regular expression engines (or pattern matching circuits) and a small amount of control logic. The number of engines in the array depends on the capacity of the reconfigurable hardware devices in the system. The control logic broadcasts the input file stream to each regular expression engine, thus the engines operate in parallel on the same input data. The control logic also sends a copy of the input to a context buffer. The size of the context buffer depends on the amount of context that is to be sent to the Results Processor when an engine recognizes a pattern. This parameter may be tuned to maximize the amount of context that may be returned while not overloading the firmware/software interface. =
As previously mentioned, the throughput of a regular expression engine is fundamentally limited by the rate at which it can compute state transitions for the deterministic finite automaton. Resolving the next state based on the current state and input symbol is an inherently serial operation. In order to take advantage of the reconfigurable logic resources available on the preferred implementation platform, it is desired to maximize parallelism. Pipelining is a common technique for increasing the number of parallel operations in serial computations; however, it requires that the processing pipeline be free of feedback loops. The outputs of operations at a given stage of the pipeline cannot depend upon the results of a stage later in the pipeline. AS
shown in Figure 25, the regular expression engine 'is a series of pipelined computational blocks'. Note that there are no feedback loops between the blocks; each block operates on the results of the previous block only. This is a distinguishing feature of the preferred embodiment of the present invention.
Alphabet encoding is a state-independent operation that only.
operates on the set of input symbols. Indirection table lookups use the resulting input symbol ECI to locate an entry.
Transition table lookups depend only on the pointer and indexes contained in the Indirection Table entry. The only operation that depends on the current state is the last computation in the State Select block. By effectively "pushing" this singular feedback loop to the final stage of the pipeline, the preferred embodiment maximizes parallelism and hence the throughput of the 'regular expression engine.
The following sub-sections describe the design of each block in the regular expression engine.
Regular Expression -Firmware: Alphabet Encoding The Alphabet Encoding block assigns an Equivalence Class Identifier (ECI) for a set of m input symbols. If each input symbol is specified using i bits and 'an ECI is specified using p bits, then the Alphabet Encoding block essentially reduces the input from mi bits to p bits. A straightforward method for performing this operation is to perform pairwise combinations using direct-addressed tables. As shown in = Figure 26, the first set of tables transforms one i bit input symbol to a j bit ECI. This step maps single input symbols to equivalence classes. The next stage of tables generates a k bit ECI for two input symbols by simply concatenating two j bit ECIs for single symbols and direct-addressing an ECI
table. Note that j is upper bounded by the addressability of the memory used to implement the ECI tables in the second stage. Specifically, 2j must be less than or equal to the number of address bits supported by the memory. Similarly, the next stage generates a p bit ECI for four input symbols by concatenating two k bit ECIs for two symbols and direct-addressing an ECI table. Likewise, the address space supported by the ECI table places an upper bound on k. In theory, this technique may he used to assign an ECI to any number of input symbols; but in practice, the memory efficiency significantly degrades as the number of symbols covered by the final equivalence class increases.
The logic required to implement subsequent stages of the Figure 25 pipeline have already been discussed above.
Regular Expression Firmware: Buffers Each regular expression engine preferably includes small input and output buffers. The input buffers prevent a single engine from stalling every engine in the array when it must retrieve a transition table column that spans multiple words in the TTM. While the entire array must stall when any engine's input buffer fills, the input buffers help isolate the instantaneous fluctuations in file input rates. The output buffers allow the regular expression engines to continue processing after it has found a match and prior to the match being transmitted to the Results Processor. The Context Buffer preferably services the output buffers of the regular expression engines in round-robin fashion. If the output buffer of any engine fills, then the engine met stall prior to sending another result to the output buffer. The array preferably must stall if the engine's input buffer fills.
While the present invention .has been described above in relation to its preferred embodiment, various modifications may be made thereto that still fall within the invention's scope. Such modifications to the invention will be recognizable upon review of the teachings herein. For example, while the transition tables have been described herein such that the TWAT correspond to states and the columns = 39 . .

correspond to ECIs, it should be readily understood that the rows and columns of any of the tables described herein can be .
reversed. As such, the full scope of the present invention is to be defined solely by the appended claims and their legal equivalents.
=
=
40.

Claims (16)

1. In a device for matching an input string to a pattern via a deterministic finite automaton (DFA), the DFA comprising a plurality of states including a current state and a plurality of possible next states, the input string comprising a plurality of input symbols, the improvement comprising:
the device comprising at least two parallel pipeline stages;
a first one of the pipeline stages being configured to retrieve a plurality of transitions to a possible next state of the DFA from a pre-populated memory;
and a second one of the pipeline stages that is configured to choose, based at least in part upon the DFA's current state, one of said retrieved transitions from which the integrated circuit will determine the next state of the DFA, wherein the second one of the pipeline stages is downstream from the first one of the pipeline stages.
2. The device of claim 1 wherein the improvement further comprises the first one of the pipeline stages being configured to retrieve a plurality of transitions to a possible next state of the DFA from a pre-populated memory without consideration of the current state of the DFA.
3. The device of claim 2 wherein the improvement further comprises the second one of the pipeline stages being the final downstream pipeline stage of the device.
4. A method for matching an input string to a pattern in a device that realizes a deterministic finite automaton (DFA), the DFA comprising a plurality of states including a current state and a plurality of possible next states, the input string comprising a plurality of input symbols, the device comprising at least two parallel pipeline stages, the method comprising:
within one of the pipeline stages, retrieving from a memory a plurality of stored transitions to a next possible state of the DFA; and within another of the pipeline stages that is downstream in the pipeline from the pipeline stage from which the plurality of transitions were retrieved, selecting one of the retrieved transitions to identify the next state of the DFA, wherein the selecting is based at least in part upon the current state of the DFA.
5. The method of claim 4 wherein the retrieving step is performed without consideration of the current state of the DFA.
6. A device comprising:
a multi-stage pattern matching pipeline configured to receive an input data stream comprising a plurality of input symbols and process the received data stream, wherein the pipeline realizes a pattern matching deterministic finite automaton (DFA) that is configured to determine whether any string of input symbols within the data stream matches a pattern, the DFA having a plurality of states including a current state, the pipeline comprising a plurality of stages including a final stage, each stage having at least one stage input and at least one stage output, the final stage being configured to provide as outputs a next state for the DFA, wherein the only stage of the pipeline that receives a feedback loop based on a stage output is the final stage.
7. The device of claim 6 wherein the final stage is configured to receive the next state in the feedback loop such that the next state is used as the current state when processing a next input symbol of the input data stream.
8. The device of claim 7 wherein the pipeline is further configured with a plurality of the multi-stage pattern matching pipelines for processing the input data stream in parallel.
9. The device of claim 7 wherein the pipeline comprises an FPGA.
10. The device of claim 7 wherein the pipeline comprises an ASIC.
11. The device of claim 7 wherein the pipeline comprises a processing system, the processing system comprising a plurality of different processors that are arranged in a pipeline, each processor being configured to implement at least one of the pipeline stages.
12. A device comprising:
a data processing pipeline configured to receive an input data stream comprising a plurality of input symbols and process the received data stream through a logic circuit to determine whether any input symbol string within the input data stream matches a pattern, the logic circuit being configured to realize a deterministic finite automaton (DFA), the DFA comprising a plurality of states, an alphabet of input symbols, an alphabet of equivalence class identifiers (ECIs), a set of functions that map groups of m input symbols to corresponding ECIs, and a set of functions that define transitions between states of the DFA, each state transition function being indexed by an ECI and comprising a next state identifier and a match flag, wherein m is an integer that is greater than or equal to one.
13. The device of claim 12 wherein the DFA further comprises a set of functions that map each ECI to at least one state transition function.
14. The device of claim 13 wherein each state transition function further comprises a match restart flag.
15. The device of claim 14 wherein the DFA further comprises a variable that tracks the starting input symbol of each potential pattern match.
16. The device of claim 15 wherein the DFA further comprises a variable that tracks the final input symbol of an actual pattern match.
CA2820500A 2005-12-02 2006-11-29 Method and device for high performance regular expression pattern matching Expired - Fee Related CA2820500C (en)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/293,619 2005-12-02
US11/293,619 US7702629B2 (en) 2005-12-02 2005-12-02 Method and device for high performance regular expression pattern matching
CA2629618A CA2629618C (en) 2005-12-02 2006-11-29 Method and device for high performance regular expression pattern matching

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CA2629618A Division CA2629618C (en) 2005-12-02 2006-11-29 Method and device for high performance regular expression pattern matching

Publications (2)

Publication Number Publication Date
CA2820500A1 true CA2820500A1 (en) 2007-06-07
CA2820500C CA2820500C (en) 2016-01-19

Family

ID=38092747

Family Applications (2)

Application Number Title Priority Date Filing Date
CA2820500A Expired - Fee Related CA2820500C (en) 2005-12-02 2006-11-29 Method and device for high performance regular expression pattern matching
CA2629618A Expired - Fee Related CA2629618C (en) 2005-12-02 2006-11-29 Method and device for high performance regular expression pattern matching

Family Applications After (1)

Application Number Title Priority Date Filing Date
CA2629618A Expired - Fee Related CA2629618C (en) 2005-12-02 2006-11-29 Method and device for high performance regular expression pattern matching

Country Status (6)

Country Link
US (2) US7702629B2 (en)
EP (1) EP1960913B1 (en)
JP (1) JP5265378B2 (en)
AU (1) AU2006320643B2 (en)
CA (2) CA2820500C (en)
WO (1) WO2007064685A2 (en)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111159497A (en) * 2019-12-31 2020-05-15 奇安信科技集团股份有限公司 Regular expression generation method and regular expression-based data extraction method
CN111177491A (en) * 2019-12-31 2020-05-19 奇安信科技集团股份有限公司 Regular expression matching method and device, electronic equipment and storage medium

Families Citing this family (194)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6711558B1 (en) * 2000-04-07 2004-03-23 Washington University Associative database scanning and information retrieval
US7139743B2 (en) * 2000-04-07 2006-11-21 Washington University Associative database scanning and information retrieval using FPGA devices
US20090006659A1 (en) * 2001-10-19 2009-01-01 Collins Jack M Advanced mezzanine card for digital network data inspection
US7716330B2 (en) * 2001-10-19 2010-05-11 Global Velocity, Inc. System and method for controlling transmission of data packets over an information network
US7711844B2 (en) 2002-08-15 2010-05-04 Washington University Of St. Louis TCP-splitter: reliable packet monitoring methods and apparatus for high speed networks
JP2006526227A (en) 2003-05-23 2006-11-16 ワシントン ユニヴァーシティー Intelligent data storage and processing using FPGA devices
US8560475B2 (en) 2004-09-10 2013-10-15 Cavium, Inc. Content search mechanism that uses a deterministic finite automata (DFA) graph, a DFA state machine, and a walker process
EP1859378A2 (en) 2005-03-03 2007-11-28 Washington University Method and apparatus for performing biosequence similarity searching
US7634584B2 (en) 2005-04-27 2009-12-15 Solarflare Communications, Inc. Packet validation in virtual network interface architecture
US7430560B1 (en) * 2005-07-22 2008-09-30 X-Engines, Inc. Multi-level compressed lock-up tables formed by logical operations to compress selected index bits
JP4591279B2 (en) * 2005-08-19 2010-12-01 ソニー株式会社 Information processing apparatus, information processing method, recording medium, and program
US7499941B2 (en) * 2005-09-05 2009-03-03 Cisco Technology, Inc. Pipeline regular expression matching
US7805392B1 (en) 2005-11-29 2010-09-28 Tilera Corporation Pattern matching in a multiprocessor environment with finite state automaton transitions based on an order of vectors in a state transition table
US7702629B2 (en) * 2005-12-02 2010-04-20 Exegy Incorporated Method and device for high performance regular expression pattern matching
US7921070B2 (en) * 2005-12-27 2011-04-05 Alcatel-Lucent Usa Inc. Method and apparatus for identifying message field names
US7954114B2 (en) 2006-01-26 2011-05-31 Exegy Incorporated Firmware socket module for FPGA-based pipeline processing
US7958164B2 (en) 2006-02-16 2011-06-07 Microsoft Corporation Visual design of annotated regular expression
US7860881B2 (en) * 2006-03-09 2010-12-28 Microsoft Corporation Data parsing with annotated patterns
US20070226362A1 (en) * 2006-03-21 2007-09-27 At&T Corp. Monitoring regular expressions on out-of-order streams
US8379841B2 (en) 2006-03-23 2013-02-19 Exegy Incorporated Method and system for high throughput blockwise independent encryption/decryption
US7693831B2 (en) * 2006-03-23 2010-04-06 Microsoft Corporation Data processing through use of a context
US20070250331A1 (en) * 2006-04-05 2007-10-25 International Business Machines Corporation Method for composition of stream processing plans
US7877401B1 (en) * 2006-05-24 2011-01-25 Tilera Corporation Pattern matching
JP4944518B2 (en) * 2006-05-26 2012-06-06 富士通セミコンダクター株式会社 Task transition diagram display method and display device
US7840482B2 (en) 2006-06-19 2010-11-23 Exegy Incorporated Method and system for high speed options pricing
US7921046B2 (en) 2006-06-19 2011-04-05 Exegy Incorporated High speed processing of financial information using FPGA devices
US7725510B2 (en) * 2006-08-01 2010-05-25 Alcatel-Lucent Usa Inc. Method and system for multi-character multi-pattern pattern matching
US20080034427A1 (en) * 2006-08-02 2008-02-07 Nec Laboratories America, Inc. Fast and scalable process for regular expression search
US8392174B2 (en) 2006-08-07 2013-03-05 International Characters, Inc. Method and apparatus for lexical analysis using parallel bit streams
US20080040373A1 (en) * 2006-08-10 2008-02-14 Business Objects, S.A. Apparatus and method for implementing match transforms in an enterprise information management system
US8326819B2 (en) 2006-11-13 2012-12-04 Exegy Incorporated Method and system for high performance data metatagging and data indexing using coprocessors
US7660793B2 (en) 2006-11-13 2010-02-09 Exegy Incorporated Method and system for high performance integration, processing and searching of structured and unstructured data using coprocessors
US7827218B1 (en) * 2006-11-18 2010-11-02 X-Engines, Inc. Deterministic lookup using hashed key in a multi-stride compressed trie structure
US20080133443A1 (en) * 2006-11-30 2008-06-05 Bohannon Philip L Methods and Apparatus for User-Guided Inference of Regular Expressions for Information Extraction
US7996348B2 (en) * 2006-12-08 2011-08-09 Pandya Ashish A 100GBPS security and search architecture using programmable intelligent search memory (PRISM) that comprises one or more bit interval counters
US20110029549A1 (en) * 2006-12-08 2011-02-03 Pandya Ashish A Signature search architecture for programmable intelligent search memory
US9141557B2 (en) 2006-12-08 2015-09-22 Ashish A. Pandya Dynamic random access memory (DRAM) that comprises a programmable intelligent search memory (PRISM) and a cryptography processing engine
US7831607B2 (en) * 2006-12-08 2010-11-09 Pandya Ashish A Interval symbol architecture for programmable intelligent search memory
US7676444B1 (en) 2007-01-18 2010-03-09 Netlogic Microsystems, Inc. Iterative compare operations using next success size bitmap
US7630982B2 (en) 2007-02-24 2009-12-08 Trend Micro Incorporated Fast identification of complex strings in a data stream
JP5187670B2 (en) * 2007-03-02 2013-04-24 大学共同利用機関法人情報・システム研究機構 Homology search system
US8081181B2 (en) * 2007-06-20 2011-12-20 Microsoft Corporation Prefix sum pass to linearize A-buffer storage
US8347384B1 (en) * 2007-07-31 2013-01-01 Hewlett-Packard Development Company, L.P. Methods and systems for using incremental operation for processing regular expressions in intrusion-prevention systems
WO2009029842A1 (en) 2007-08-31 2009-03-05 Exegy Incorporated Method and apparatus for hardware-accelerated encryption/decryption
US8819217B2 (en) * 2007-11-01 2014-08-26 Cavium, Inc. Intelligent graph walking
US8086582B1 (en) * 2007-12-18 2011-12-27 Mcafee, Inc. System, method and computer program product for scanning and indexing data for different purposes
US10229453B2 (en) 2008-01-11 2019-03-12 Ip Reservoir, Llc Method and system for low latency basket calculation
CN101499065B (en) * 2008-02-01 2011-11-02 华为技术有限公司 Table item compression method and device based on FA, table item matching method and device
US8374986B2 (en) 2008-05-15 2013-02-12 Exegy Incorporated Method and system for accelerated stream processing
JP5206220B2 (en) * 2008-08-21 2013-06-12 ヤマハ株式会社 Relay device and program
US9305238B2 (en) * 2008-08-29 2016-04-05 Oracle International Corporation Framework for supporting regular expression-based pattern matching in data streams
JP5121650B2 (en) * 2008-09-26 2013-01-16 株式会社東芝 Information processing apparatus, information processing method, and program
US8473523B2 (en) 2008-10-31 2013-06-25 Cavium, Inc. Deterministic finite automata graph traversal with nodal bit mapping
US8442931B2 (en) 2008-12-01 2013-05-14 The Boeing Company Graph-based data search
US20120095893A1 (en) 2008-12-15 2012-04-19 Exegy Incorporated Method and apparatus for high-speed processing of financial market depth data
US20100192225A1 (en) * 2009-01-28 2010-07-29 Juniper Networks, Inc. Efficient application identification with network devices
US8145859B2 (en) * 2009-03-02 2012-03-27 Oracle International Corporation Method and system for spilling from a queue to a persistent store
US8725671B2 (en) * 2009-03-19 2014-05-13 Nec Corporation Pattern matching appratus
US8387076B2 (en) * 2009-07-21 2013-02-26 Oracle International Corporation Standardized database connectivity support for an event processing server
US8321450B2 (en) * 2009-07-21 2012-11-27 Oracle International Corporation Standardized database connectivity support for an event processing server in an embedded context
GB2461648B (en) * 2009-08-03 2014-05-07 Ibm Programmable two table indexed finite state machine
US8386466B2 (en) * 2009-08-03 2013-02-26 Oracle International Corporation Log visualization tool for a data stream processing server
US8527458B2 (en) * 2009-08-03 2013-09-03 Oracle International Corporation Logging framework for a data stream processing server
US9747358B2 (en) * 2009-09-22 2017-08-29 Sap Se Pattern analysis for a multi-dimensional analytical system
US9083740B1 (en) * 2009-09-28 2015-07-14 Juniper Networks, Inc. Network traffic pattern matching using adaptive deterministic finite automata
US8566344B2 (en) * 2009-10-17 2013-10-22 Polytechnic Institute Of New York University Determining whether an input string matches at least one regular expression using lookahead finite automata based regular expression detection
CN102096848B (en) * 2009-12-09 2015-11-25 Sap欧洲公司 For carrying out the scheduling of response fast during the query pattern coupling of convection current event
US9305057B2 (en) 2009-12-28 2016-04-05 Oracle International Corporation Extensible indexing framework using data cartridges
US8959106B2 (en) 2009-12-28 2015-02-17 Oracle International Corporation Class loading using java data cartridges
US9430494B2 (en) 2009-12-28 2016-08-30 Oracle International Corporation Spatial data cartridge for event processing systems
US20110219016A1 (en) * 2010-03-04 2011-09-08 Src, Inc. Stream Mining via State Machine and High Dimensionality Database
US9305116B2 (en) * 2010-04-20 2016-04-05 International Business Machines Corporation Dual DFA decomposition for large scale regular expression matching
TWI407370B (en) * 2010-04-30 2013-09-01 Univ Nat Taiwan A circuit system and common method of regular expression
US9507880B2 (en) 2010-06-30 2016-11-29 Oracle International Corporation Regular expression optimizer
US8713049B2 (en) 2010-09-17 2014-04-29 Oracle International Corporation Support for a parameterized query/view in complex event processing
US8892580B2 (en) 2010-11-03 2014-11-18 Microsoft Corporation Transformation of regular expressions
US9189280B2 (en) 2010-11-18 2015-11-17 Oracle International Corporation Tracking large numbers of moving objects in an event processing system
CN102143148B (en) * 2010-11-29 2014-04-02 华为技术有限公司 Parameter acquiring and general protocol analyzing method and device
US9258390B2 (en) 2011-07-29 2016-02-09 Solarflare Communications, Inc. Reducing network latency
US10037568B2 (en) 2010-12-09 2018-07-31 Ip Reservoir, Llc Method and apparatus for managing orders in financial markets
US10873613B2 (en) 2010-12-09 2020-12-22 Xilinx, Inc. TCP processing for devices
US9674318B2 (en) 2010-12-09 2017-06-06 Solarflare Communications, Inc. TCP processing for devices
US9600429B2 (en) 2010-12-09 2017-03-21 Solarflare Communications, Inc. Encapsulated accelerator
US8996644B2 (en) 2010-12-09 2015-03-31 Solarflare Communications, Inc. Encapsulated accelerator
CN102111402B (en) * 2010-12-17 2015-06-10 曙光信息产业(北京)有限公司 Method for grouping regular expression DFA
US8599959B2 (en) * 2010-12-30 2013-12-03 Lsi Corporation Methods and apparatus for trellis-based modulation encoding
US9398033B2 (en) 2011-02-25 2016-07-19 Cavium, Inc. Regular expression processing automaton
US9246928B2 (en) * 2011-05-02 2016-01-26 International Business Machines Corporation Compiling pattern contexts to scan lanes under instruction execution constraints
US9473527B1 (en) * 2011-05-05 2016-10-18 Trend Micro Inc. Automatically generated and shared white list
US8990416B2 (en) 2011-05-06 2015-03-24 Oracle International Corporation Support for a new insert stream (ISTREAM) operation in complex event processing (CEP)
US9329975B2 (en) 2011-07-07 2016-05-03 Oracle International Corporation Continuous query language (CQL) debugger in complex event processing (CEP)
WO2013019996A1 (en) 2011-08-02 2013-02-07 Cavium, Inc. Lookup front end input processor
US9009448B2 (en) 2011-08-17 2015-04-14 Intel Corporation Multithreaded DFA architecture for finding rules match by concurrently performing at varying input stream positions and sorting result tokens
US8763018B2 (en) 2011-08-22 2014-06-24 Solarflare Communications, Inc. Modifying application behaviour
EP2574000B1 (en) 2011-09-22 2020-04-08 Xilinx, Inc. Message acceleration
US8954599B2 (en) 2011-10-28 2015-02-10 Hewlett-Packard Development Company, L.P. Data stream operations
US8990070B2 (en) 2011-11-18 2015-03-24 International Business Machines Corporation Computer-based construction of arbitrarily complex formal grammar expressions
US9002772B2 (en) 2011-11-18 2015-04-07 International Business Machines Corporation Scalable rule-based processing system with trigger rules and rule evaluator
US9203805B2 (en) * 2011-11-23 2015-12-01 Cavium, Inc. Reverse NFA generation and processing
US9047243B2 (en) 2011-12-14 2015-06-02 Ip Reservoir, Llc Method and apparatus for low latency data distribution
US9990393B2 (en) 2012-03-27 2018-06-05 Ip Reservoir, Llc Intelligent feed switch
US10121196B2 (en) 2012-03-27 2018-11-06 Ip Reservoir, Llc Offload processing of data packets containing financial market data
US11436672B2 (en) 2012-03-27 2022-09-06 Exegy Incorporated Intelligent switch for processing financial market data
US10650452B2 (en) 2012-03-27 2020-05-12 Ip Reservoir, Llc Offload processing of data packets
US9391840B2 (en) 2012-05-02 2016-07-12 Solarflare Communications, Inc. Avoiding delayed data
US9825841B2 (en) * 2012-06-29 2017-11-21 Telefonaktiebolaget Lm Ericsson (Publ) Method of and network server for detecting data patterns in an input data stream
US9391841B2 (en) 2012-07-03 2016-07-12 Solarflare Communications, Inc. Fast linkup arbitration
WO2014041783A1 (en) * 2012-09-11 2014-03-20 日本電気株式会社 Circuit for detecting character string and method for detecting character string
EP2901643B1 (en) 2012-09-28 2017-03-22 Telefonaktiebolaget LM Ericsson (publ) An apparatus for, a method of, and a network server for detecting data patterns in a data stream
US9563663B2 (en) 2012-09-28 2017-02-07 Oracle International Corporation Fast path evaluation of Boolean predicates
US11288277B2 (en) 2012-09-28 2022-03-29 Oracle International Corporation Operator sharing for continuous queries over archived relations
US10505747B2 (en) 2012-10-16 2019-12-10 Solarflare Communications, Inc. Feed processing
US9268881B2 (en) 2012-10-19 2016-02-23 Intel Corporation Child state pre-fetch in NFAs
US10146845B2 (en) 2012-10-23 2018-12-04 Ip Reservoir, Llc Method and apparatus for accelerated format translation of data in a delimited data format
US10102260B2 (en) 2012-10-23 2018-10-16 Ip Reservoir, Llc Method and apparatus for accelerated data translation using record layout detection
US9633093B2 (en) 2012-10-23 2017-04-25 Ip Reservoir, Llc Method and apparatus for accelerated format translation of data in a delimited data format
KR101378115B1 (en) 2012-11-01 2014-03-27 한국전자통신연구원 Intrusion detection apparatus and method using pattern matching technology based on perl compatible regular expressions
KR101558054B1 (en) * 2012-11-19 2015-10-06 삼성에스디에스 주식회사 Anti-malware system and packet processing method in same
US9117170B2 (en) 2012-11-19 2015-08-25 Intel Corporation Complex NFA state matching method that matches input symbols against character classes (CCLs), and compares sequence CCLs in parallel
US9665664B2 (en) 2012-11-26 2017-05-30 Intel Corporation DFA-NFA hybrid
US10956422B2 (en) 2012-12-05 2021-03-23 Oracle International Corporation Integrating event processing with map-reduce
US9251440B2 (en) * 2012-12-18 2016-02-02 Intel Corporation Multiple step non-deterministic finite automaton matching
US9304768B2 (en) 2012-12-18 2016-04-05 Intel Corporation Cache prefetch for deterministic finite automaton instructions
CN103078808B (en) * 2012-12-29 2015-09-30 大连环宇移动科技有限公司 The data flow being applicable to multithread matching regular expressions exchanges multiplex system and method
US9098587B2 (en) 2013-01-15 2015-08-04 Oracle International Corporation Variable duration non-event pattern matching
US10298444B2 (en) 2013-01-15 2019-05-21 Oracle International Corporation Variable duration windows on continuous data streams
US9268570B2 (en) 2013-01-23 2016-02-23 Intel Corporation DFA compression and execution
US20140215090A1 (en) * 2013-01-31 2014-07-31 Lsi Corporation Dfa sub-scans
US9047249B2 (en) 2013-02-19 2015-06-02 Oracle International Corporation Handling faults in a continuous event processing (CEP) system
US9390135B2 (en) 2013-02-19 2016-07-12 Oracle International Corporation Executing continuous event processing (CEP) queries in parallel
US9426124B2 (en) 2013-04-08 2016-08-23 Solarflare Communications, Inc. Locked down network interface
US10742604B2 (en) 2013-04-08 2020-08-11 Xilinx, Inc. Locked down network interface
US9418113B2 (en) 2013-05-30 2016-08-16 Oracle International Corporation Value based windows on relations in continuous data streams
EP2809033B1 (en) 2013-05-30 2018-03-21 Solarflare Communications Inc Packet capture in a network
WO2014195804A2 (en) * 2013-06-04 2014-12-11 Marvell World Trade Ltd. Internal search engine architecture
CN104252469B (en) 2013-06-27 2017-10-20 国际商业机器公司 Method, equipment and circuit for pattern match
US9563399B2 (en) 2013-08-30 2017-02-07 Cavium, Inc. Generating a non-deterministic finite automata (NFA) graph for regular expression patterns with advanced features
US9426165B2 (en) 2013-08-30 2016-08-23 Cavium, Inc. Method and apparatus for compilation of finite automata
US9426166B2 (en) 2013-08-30 2016-08-23 Cavium, Inc. Method and apparatus for processing finite automata
US10394751B2 (en) 2013-11-06 2019-08-27 Solarflare Communications, Inc. Programmed input/output mode
US9934279B2 (en) 2013-12-05 2018-04-03 Oracle International Corporation Pattern matching across multiple input data streams
US9419943B2 (en) 2013-12-30 2016-08-16 Cavium, Inc. Method and apparatus for processing of finite automata
US9275336B2 (en) 2013-12-31 2016-03-01 Cavium, Inc. Method and system for skipping over group(s) of rules based on skip group rule
US9544402B2 (en) 2013-12-31 2017-01-10 Cavium, Inc. Multi-rule approach to encoding a group of rules
US9667446B2 (en) 2014-01-08 2017-05-30 Cavium, Inc. Condition code approach for comparing rule and packet data that are provided in portions
US9729353B2 (en) * 2014-01-09 2017-08-08 Netronome Systems, Inc. Command-driven NFA hardware engine that encodes multiple automatons
US9602532B2 (en) 2014-01-31 2017-03-21 Cavium, Inc. Method and apparatus for optimizing finite automata processing
US9904630B2 (en) * 2014-01-31 2018-02-27 Cavium, Inc. Finite automata processing based on a top of stack (TOS) memory
US10002326B2 (en) 2014-04-14 2018-06-19 Cavium, Inc. Compilation of finite automata based on memory hierarchy
US9438561B2 (en) 2014-04-14 2016-09-06 Cavium, Inc. Processing of finite automata based on a node cache
US10110558B2 (en) 2014-04-14 2018-10-23 Cavium, Inc. Processing of finite automata based on memory hierarchy
WO2015164639A1 (en) 2014-04-23 2015-10-29 Ip Reservoir, Llc Method and apparatus for accelerated data translation
US9244978B2 (en) 2014-06-11 2016-01-26 Oracle International Corporation Custom partitioning of a data stream
US10726005B2 (en) * 2014-06-25 2020-07-28 Sap Se Virtual split dictionary for search optimization
US9712645B2 (en) 2014-06-26 2017-07-18 Oracle International Corporation Embedded event processing
US10120907B2 (en) 2014-09-24 2018-11-06 Oracle International Corporation Scaling event processing using distributed flows and map-reduce operations
US9886486B2 (en) 2014-09-24 2018-02-06 Oracle International Corporation Enriching events with dynamically typed big data for event processing
US10148547B2 (en) * 2014-10-24 2018-12-04 Tektronix, Inc. Hardware trigger generation from a declarative protocol description
US20190113542A1 (en) * 2014-12-17 2019-04-18 Tektronix, Inc. Hardware trigger generation from a declarative protocol description
US9916347B2 (en) * 2014-12-29 2018-03-13 International Business Machines Corporation Rapid searching and matching of data to a dynamic set of signatures facilitating parallel processing and hardware acceleration
US10681007B2 (en) * 2015-06-05 2020-06-09 Konvax Corporation String search and matching for gate functionality
US10303685B2 (en) * 2015-06-08 2019-05-28 International Business Machines Corporation Data table performance optimization
US9898447B2 (en) 2015-06-22 2018-02-20 International Business Machines Corporation Domain specific representation of document text for accelerated natural language processing
WO2017018901A1 (en) 2015-07-24 2017-02-02 Oracle International Corporation Visually exploring and analyzing event streams
US9875045B2 (en) * 2015-07-27 2018-01-23 International Business Machines Corporation Regular expression matching with back-references using backtracking
US10942943B2 (en) 2015-10-29 2021-03-09 Ip Reservoir, Llc Dynamic field data translation to support high performance stream data processing
US10204177B2 (en) 2015-11-30 2019-02-12 International Business Machines Corporation Matching an ordered set of strings containing wild cards
US10735438B2 (en) * 2016-01-06 2020-08-04 New York University System, method and computer-accessible medium for network intrusion detection
WO2017135838A1 (en) 2016-02-01 2017-08-10 Oracle International Corporation Level of detail control for geostreaming
WO2017135837A1 (en) 2016-02-01 2017-08-10 Oracle International Corporation Pattern based automated test data generation
CN106445891A (en) * 2016-08-09 2017-02-22 中国科学院计算技术研究所 Method and device for accelerating string matching algorithm
CN106776456B (en) * 2017-01-18 2019-06-18 中国人民解放军国防科学技术大学 High speed regular expression matching hybrid system and method based on FPGA+NPU
US10481881B2 (en) * 2017-06-22 2019-11-19 Archeo Futurus, Inc. Mapping a computer code to wires and gates
US9996328B1 (en) * 2017-06-22 2018-06-12 Archeo Futurus, Inc. Compiling and optimizing a computer code by minimizing a number of states in a finite machine corresponding to the computer code
US10521207B2 (en) * 2018-05-30 2019-12-31 International Business Machines Corporation Compiler optimization for indirect array access operations
US11561983B2 (en) 2019-03-07 2023-01-24 Throughputer, Inc. Online trained object property estimator
US11620557B2 (en) 2019-03-07 2023-04-04 Throughputer, Inc. Online trained object property estimator
US11604867B2 (en) 2019-04-01 2023-03-14 Throughputer, Inc. Graphic pattern-based authentication with adjustable challenge level
US11263695B2 (en) 2019-05-14 2022-03-01 Exegy Incorporated Methods and systems for low latency generation and distribution of trading signals from financial market data
CN110321463B (en) * 2019-05-31 2022-01-21 中国科学院计算技术研究所 Character string matching method, system, storage medium and device
EP3980910A4 (en) 2019-06-05 2023-07-26 Throughputer, Inc. Graphic pattern-based passcode generation and authentication
CN110324204B (en) * 2019-07-01 2020-09-11 中国人民解放军陆军工程大学 High-speed regular expression matching engine and method implemented in FPGA (field programmable Gate array)
CN110865970B (en) * 2019-10-08 2021-06-29 西安交通大学 Compression flow pattern matching engine and pattern matching method based on FPGA platform
CN110874426B (en) * 2019-10-28 2022-08-09 西安交通大学 Mode classification-based heterogeneous bit segmentation state machine multimode matching method
US11509608B2 (en) * 2020-04-13 2022-11-22 Salesforce, Inc. Methods and systems for configuring an email engine
US11615320B1 (en) 2020-06-30 2023-03-28 Cadence Design Systems, Inc. Method, product, and apparatus for variable precision weight management for neural networks
US11651283B1 (en) 2020-06-30 2023-05-16 Cadence Design Systems, Inc. Method, product, and apparatus for a machine learning process using dynamic rearrangement of sparse data and corresponding weights
US11676068B1 (en) 2020-06-30 2023-06-13 Cadence Design Systems, Inc. Method, product, and apparatus for a machine learning process leveraging input sparsity on a pixel by pixel basis
US11823018B1 (en) * 2020-06-30 2023-11-21 Cadence Design Systems, Inc. Method, product, and apparatus for a machine learning process using weight sharing within a systolic array having reduced memory bandwidth
US11687831B1 (en) 2020-06-30 2023-06-27 Cadence Design Systems, Inc. Method, product, and apparatus for a multidimensional processing array for hardware acceleration of convolutional neural network inference
CN112769813B (en) * 2020-12-31 2022-10-21 深圳市东晟数据有限公司 Matching method of multi-prefix mask quintuple
US20220261903A1 (en) 2021-02-16 2022-08-18 Exegy Incorporated Methods and Systems for Pricing Derivatives at Low Latency
CN113051876A (en) * 2021-04-02 2021-06-29 网易(杭州)网络有限公司 Malicious website identification method and device, storage medium and electronic equipment
US11662989B2 (en) * 2021-06-16 2023-05-30 International Business Machines Corporation Pointer alignment computation in program code according to code pattern analyses

Family Cites Families (238)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US860278A (en) * 1907-04-29 1907-07-16 Adin S Botkin Tobacco-rack.
US1667534A (en) * 1924-05-07 1928-04-24 Sanymetal Products Company Partition structure
US1637145A (en) * 1925-11-11 1927-07-26 Hart & Hutchinson Company Toilet inclosure
US2001574A (en) * 1929-11-08 1935-05-14 Dahlstrom Metallic Door Compan Metal partition
BE505362A (en) * 1950-09-06
US3245181A (en) * 1961-08-07 1966-04-12 Lloyd G Stephenson Anchor plate for posts
US3194362A (en) * 1962-02-05 1965-07-13 Mills Company Partition structures
US3151745A (en) * 1963-03-05 1964-10-06 Reilly Frederick William Adjustable pallet rack construction
US3468430A (en) * 1967-06-06 1969-09-23 Welinlok Ltd Structural elements to form racks
US3601808A (en) 1968-07-18 1971-08-24 Bell Telephone Labor Inc Advanced keyword associative access memory system
US3611314A (en) 1969-09-09 1971-10-05 Texas Instruments Inc Dynamic associative data processing system
US3824375A (en) 1970-08-28 1974-07-16 Financial Security Syst Inc Memory system
US3729712A (en) 1971-02-26 1973-04-24 Eastman Kodak Co Information storage and retrieval system
US3733759A (en) * 1971-07-26 1973-05-22 American Store Equip Fitting room
US4136811A (en) * 1972-08-21 1979-01-30 Kajima Corporation H-shaped steel column base member and welding thereof
US3848235A (en) 1973-10-24 1974-11-12 Ibm Scan and read control apparatus for a disk storage drive in a computer system
US3906455A (en) 1974-03-15 1975-09-16 Boeing Computer Services Inc Associative memory device
CA1056504A (en) 1975-04-02 1979-06-12 Visvaldis A. Vitols Keyword detection in continuous speech using continuous asynchronous correlation
US4088229A (en) * 1975-10-24 1978-05-09 Seiz Corporation Storage rack columns having clean-outs
US4197685A (en) * 1978-07-24 1980-04-15 Gf Business Equipment, Inc. Partition strut assembly
US4298898A (en) 1979-04-19 1981-11-03 Compagnie Internationale Pour L'informatique Cii Honeywell Bull Method of and apparatus for reading data from reference zones of a memory
US4281480A (en) * 1979-06-21 1981-08-04 U.S. Gypsum Company Doorframe construction
US4314356A (en) 1979-10-24 1982-02-02 Bunker Ramo Corporation High-speed term searcher
US4281481A (en) * 1980-01-18 1981-08-04 United States Gypsum Company Fire resistant aluminum door frame assembly
FR2481026B1 (en) 1980-04-21 1984-06-15 France Etat
US4464718A (en) 1982-07-30 1984-08-07 International Business Machines Corporation Associative file processing method and apparatus
US4550436A (en) 1983-07-26 1985-10-29 At&T Bell Laboratories Parallel text matching methods and apparatus
US4941178A (en) 1986-04-01 1990-07-10 Gte Laboratories Incorporated Speech recognition using preclassification and spectral normalization
US5392575A (en) * 1986-11-03 1995-02-28 Hoffman; Paul Deck construction
US4823306A (en) 1987-08-14 1989-04-18 International Business Machines Corporation Text search system
US5179626A (en) 1988-04-08 1993-01-12 At&T Bell Laboratories Harmonic speech coding arrangement where a set of parameters for a continuous magnitude spectrum is determined by a speech analyzer and the parameters are used by a synthesizer to determine a spectrum which is used to determine senusoids for synthesis
US5023910A (en) 1988-04-08 1991-06-11 At&T Bell Laboratories Vector quantization in a harmonic speech coding arrangement
US5050075A (en) 1988-10-04 1991-09-17 Bell Communications Research, Inc. High performance VLSI data filter
US5249292A (en) 1989-03-31 1993-09-28 Chiappa J Noel Data packet switch using a primary processing unit to designate one of a plurality of data stream control circuits to selectively handle the header processing of incoming packets in one data packet stream
JPH0314075A (en) 1989-06-13 1991-01-22 Ricoh Co Ltd Document retrieval device
US5036638A (en) * 1989-06-23 1991-08-06 Air Enterprises, Inc. Service building and the structural components thereof
AU620994B2 (en) 1989-07-12 1992-02-27 Digital Equipment Corporation Compressed prefix matching database searching
US5163131A (en) 1989-09-08 1992-11-10 Auspex Systems, Inc. Parallel i/o network file server architecture
US4987708A (en) * 1989-09-21 1991-01-29 Herman Miller, Inc. Seismic anchor
EP0565738A1 (en) 1990-01-05 1993-10-20 Symbol Technologies, Inc. System for encoding and decoding data in machine readable graphic form
US5347634A (en) 1990-03-15 1994-09-13 Hewlett-Packard Company System and method for directly executing user DMA instruction from user controlled process by employing processor privileged work buffer pointers
US5319776A (en) 1990-04-19 1994-06-07 Hilgraeve Corporation In transit detection of computer virus with safeguard
US5497488A (en) 1990-06-12 1996-03-05 Hitachi, Ltd. System for parallel string search with a function-directed parallel collation of a first partition of each string followed by matching of second partitions
US5140644A (en) * 1990-07-23 1992-08-18 Hitachi, Ltd. Character string retrieving system and method
GB9016341D0 (en) 1990-07-25 1990-09-12 British Telecomm Speed estimation
US5255136A (en) 1990-08-17 1993-10-19 Quantum Corporation High capacity submicro-winchester fixed disk drive
US5101424A (en) 1990-09-28 1992-03-31 Northern Telecom Limited Method for generating a monitor program for monitoring text streams and executing actions when pre-defined patterns, are matched using an English to AWK language translator
GB9023096D0 (en) 1990-10-24 1990-12-05 Int Computers Ltd Database search processor
US5339411A (en) 1990-12-21 1994-08-16 Pitney Bowes Inc. Method for managing allocation of memory space
US5421028A (en) 1991-03-15 1995-05-30 Hewlett-Packard Company Processing commands and data in a common pipeline path in a high-speed computer graphics system
DE69229521T2 (en) 1991-04-25 2000-03-30 Nippon Steel Corp Database discovery system
US5477451A (en) 1991-07-25 1995-12-19 International Business Machines Corp. Method and system for natural language translation
US5488725A (en) 1991-10-08 1996-01-30 West Publishing Company System of document representation retrieval by successive iterated probability sampling
US5265065A (en) 1991-10-08 1993-11-23 West Publishing Company Method and apparatus for information retrieval from a database by replacing domain specific stemmed phases in a natural language to create a search query
US5826075A (en) 1991-10-16 1998-10-20 International Business Machines Corporation Automated programmable fireware store for a personal computer system
WO1993018505A1 (en) 1992-03-02 1993-09-16 The Walt Disney Company Voice transformation system
US5388259A (en) 1992-05-15 1995-02-07 Bell Communications Research, Inc. System for accessing a database with an iterated fuzzy query notified by retrieval response
US5524268A (en) 1992-06-26 1996-06-04 Cirrus Logic, Inc. Flexible processor-driven control of SCSI buses utilizing tags appended to data bytes to determine SCSI-protocol phases
GB9220404D0 (en) 1992-08-20 1992-11-11 Nat Security Agency Method of identifying,retrieving and sorting documents
US5721898A (en) 1992-09-02 1998-02-24 International Business Machines Corporation Method and system for data search in a data processing system
US6044407A (en) 1992-11-13 2000-03-28 British Telecommunications Public Limited Company Interface for translating an information message from one protocol to another
US5481735A (en) 1992-12-28 1996-01-02 Apple Computer, Inc. Method for modifying packets that meet a particular criteria as the packets pass between two layers in a network
US5440723A (en) 1993-01-19 1995-08-08 International Business Machines Corporation Automatic immune system for computers and computer networks
US5432822A (en) 1993-03-12 1995-07-11 Hughes Aircraft Company Error correcting decoder and decoding method employing reliability based erasure decision-making in cellular communication system
US5544352A (en) 1993-06-14 1996-08-06 Libertech, Inc. Method and apparatus for indexing, searching and displaying data
EP0651321B1 (en) 1993-10-29 2001-11-14 Advanced Micro Devices, Inc. Superscalar microprocessors
US5371794A (en) 1993-11-02 1994-12-06 Sun Microsystems, Inc. Method and apparatus for privacy and authentication in wireless networks
US5813000A (en) 1994-02-15 1998-09-22 Sun Micro Systems B tree structure and method
US5465353A (en) 1994-04-01 1995-11-07 Ricoh Company, Ltd. Image matching and retrieval by multi-access redundant hashing
US5461712A (en) 1994-04-18 1995-10-24 International Business Machines Corporation Quadrant-based two-dimensional memory manager
US5623652A (en) 1994-07-25 1997-04-22 Apple Computer, Inc. Method and apparatus for searching for information in a network and for controlling the display of searchable information on display devices in the network
JP2964879B2 (en) 1994-08-22 1999-10-18 日本電気株式会社 Post filter
SE505156C2 (en) 1995-01-30 1997-07-07 Ericsson Telefon Ab L M Procedure for noise suppression by spectral subtraction
US5819290A (en) 1995-04-10 1998-10-06 Sony Corporation Data recording and management system and method for detecting data file division based on quantitative number of blocks
CA2150174C (en) * 1995-05-25 1999-08-03 Heiner Ophardt Modular partition system
US5943421A (en) 1995-09-11 1999-08-24 Norand Corporation Processor having compression and encryption circuitry
JPH0981574A (en) 1995-09-14 1997-03-28 Fujitsu Ltd Method and system for data base retrieval using retrieval set display picture
US5701464A (en) 1995-09-15 1997-12-23 Intel Corporation Parameterized bloom filters
US5774839A (en) 1995-09-29 1998-06-30 Rockwell International Corporation Delayed decision switched prediction multi-stage LSF vector quantization
US5630302A (en) * 1996-02-02 1997-05-20 Morgan Marshall Industries, Inc. Fitting room
US5864738A (en) 1996-03-13 1999-01-26 Cray Research, Inc. Massively parallel processing system using two data paths: one connecting router circuit to the interconnect network and the other connecting router circuit to I/O controller
US5781921A (en) 1996-05-06 1998-07-14 Ohmeda Inc. Method and apparatus to effect firmware upgrades using a removable memory device under software control
GB2314433A (en) 1996-06-22 1997-12-24 Xerox Corp Finding and modifying strings of a regular language in a text
US6147976A (en) 1996-06-24 2000-11-14 Cabletron Systems, Inc. Fast network layer packet filter
US5995963A (en) * 1996-06-27 1999-11-30 Fujitsu Limited Apparatus and method of multi-string matching based on sparse state transition list
US5991881A (en) 1996-11-08 1999-11-23 Harris Corporation Network surveillance system
JP3231673B2 (en) * 1996-11-21 2001-11-26 シャープ株式会社 Character and character string search method and recording medium used in the method
DE19651075A1 (en) 1996-12-09 1998-06-10 Pact Inf Tech Gmbh Unit for processing numerical and logical operations, for use in processors (CPU's), multi-computer systems, data flow processors (DFP's), digital signal processors (DSP's) or the like
US5899035A (en) * 1997-05-15 1999-05-04 Steelcase, Inc. Knock-down portable partition system
US5911778A (en) 1996-12-31 1999-06-15 Sun Microsystems, Inc. Processing system security
US6028939A (en) 1997-01-03 2000-02-22 Redcreek Communications, Inc. Data security system and method
CA2199315C (en) * 1997-03-06 2004-12-14 John Hellwig Work surface support arrangement for office panelling systems
US6070172A (en) 1997-03-06 2000-05-30 Oracle Corporation On-line free space defragmentation of a contiguous-file file system
US5930753A (en) 1997-03-20 1999-07-27 At&T Corp Combining frequency warping and spectral shaping in HMM based speech recognition
JPH10326287A (en) 1997-05-23 1998-12-08 Mitsubishi Corp System and device for digital content management
US6236727B1 (en) 1997-06-24 2001-05-22 International Business Machines Corporation Apparatus, method and computer program product for protecting copyright data within a computer system
JP3372455B2 (en) 1997-07-03 2003-02-04 富士通株式会社 Packet relay control method, packet relay device, and program storage medium
US6067569A (en) 1997-07-10 2000-05-23 Microsoft Corporation Fast-forwarding and filtering of network packets in a computer system
US6317795B1 (en) 1997-07-22 2001-11-13 International Business Machines Corporation Dynamic modification of multimedia content
JPH11110320A (en) 1997-10-03 1999-04-23 Matsushita Electric Ind Co Ltd Message exchange device
GB2330682A (en) 1997-10-22 1999-04-28 Calluna Tech Ltd Password access to an encrypted drive
US5946867A (en) * 1997-10-29 1999-09-07 Ericsson, Inc. Modular earthquake support for raised floor
US6138176A (en) 1997-11-14 2000-10-24 3Ware Disk array controller with automated processor which routes I/O data according to addresses and commands received from disk drive controllers
AU1421799A (en) 1997-11-25 1999-06-15 Packeteer, Inc. Method for automatically classifying traffic in a packet communications network
US6058391A (en) 1997-12-17 2000-05-02 Mci Communications Corporation Enhanced user view/update capability for managing data from relational tables
US6339819B1 (en) * 1997-12-17 2002-01-15 Src Computers, Inc. Multiprocessor with each processor element accessing operands in loaded input buffer and forwarding results to FIFO output buffer
US5927666A (en) * 1998-01-26 1999-07-27 Barkan; Lior Wall bracket
US5987610A (en) 1998-02-12 1999-11-16 Ameritech Corporation Computer virus screening methods and systems
KR100441171B1 (en) 1998-02-20 2004-10-14 삼성전자주식회사 Firmware composing method using flash rom and ram
US6279113B1 (en) 1998-03-16 2001-08-21 Internet Tools, Inc. Dynamic signature inspection-based network intrusion detection
US6389532B1 (en) 1998-04-20 2002-05-14 Sun Microsystems, Inc. Method and apparatus for using digital signatures to filter packets in a network
US6397259B1 (en) 1998-05-29 2002-05-28 Palm, Inc. Method, system and apparatus for packet minimized communications
US6289461B1 (en) 1998-06-09 2001-09-11 Placeware, Inc. Bi-directional process-to-process byte stream protocol
US6169969B1 (en) 1998-08-07 2001-01-02 The United States Of America As Represented By The Director Of The National Security Agency Device and method for full-text large-dictionary string matching using n-gram hashing
US6535868B1 (en) 1998-08-27 2003-03-18 Debra A. Galeazzi Method and apparatus for managing metadata in a database management system
GB9819183D0 (en) 1998-09-04 1998-10-28 Int Computers Ltd Multiple string search method
US6226676B1 (en) 1998-10-07 2001-05-01 Nortel Networks Corporation Connection establishment and termination in a mixed protocol network
JP4763866B2 (en) 1998-10-15 2011-08-31 インターシア ソフトウェア エルエルシー Method and apparatus for protecting digital data by double re-encryption
US7181548B2 (en) 1998-10-30 2007-02-20 Lsi Logic Corporation Command queueing engine
MXPA01005187A (en) 1998-11-24 2002-06-04 Niksun Inc Apparatus and method for collecting and analyzing communications data.
US6564263B1 (en) 1998-12-04 2003-05-13 International Business Machines Corporation Multimedia content description framework
US6625150B1 (en) 1998-12-17 2003-09-23 Watchguard Technologies, Inc. Policy engine architecture
US6499107B1 (en) 1998-12-29 2002-12-24 Cisco Technology, Inc. Method and system for adaptive network security using intelligent packet analysis
US6329996B1 (en) 1999-01-08 2001-12-11 Silicon Graphics, Inc. Method and apparatus for synchronizing graphics pipelines
US6578147B1 (en) 1999-01-15 2003-06-10 Cisco Technology, Inc. Parallel intrusion detection sensors with load balancing for high speed networks
JP3526414B2 (en) 1999-03-31 2004-05-17 株式会社東芝 Information recording / reproducing method and information recording / reproducing device
US6765918B1 (en) 1999-06-16 2004-07-20 Teledata Networks, Ltd. Client/server based architecture for a telecommunications network
US6463474B1 (en) 1999-07-02 2002-10-08 Cisco Technology, Inc. Local authentication of a client at a network device
US7002986B1 (en) * 1999-07-08 2006-02-21 Nortel Networks Limited Mapping arbitrary signals into SONET
GB2352548B (en) 1999-07-26 2001-06-06 Sun Microsystems Inc Method and apparatus for executing standard functions in a computer system
CN1391695A (en) 1999-09-20 2003-01-15 西加特技术有限责任公司 Field programmable gate array hard disk system
US6804667B1 (en) 1999-11-30 2004-10-12 Ncr Corporation Filter for checking for duplicate entries in database
FI109319B (en) 1999-12-03 2002-06-28 Nokia Corp Filtering of electronic information to be transmitted to a terminal
JP2001189755A (en) 1999-12-28 2001-07-10 Toshiba Corp Packet communication equipment, packet communication method and storage medium
US7356498B2 (en) 1999-12-30 2008-04-08 Chicago Board Options Exchange, Incorporated Automated trading exchange system having integrated quote risk monitoring and integrated quote modification services
JP3448254B2 (en) 2000-02-02 2003-09-22 インターナショナル・ビジネス・マシーンズ・コーポレーション Access chain tracking system, network system, method, and recording medium
US20010047473A1 (en) 2000-02-03 2001-11-29 Realtime Data, Llc Systems and methods for computer initialization
US6877044B2 (en) 2000-02-10 2005-04-05 Vicom Systems, Inc. Distributed storage management platform architecture
AU2001239807A1 (en) 2000-02-18 2001-08-27 Verimatrix, Inc. Network-based content distribution system
US7139743B2 (en) 2000-04-07 2006-11-21 Washington University Associative database scanning and information retrieval using FPGA devices
US8095508B2 (en) 2000-04-07 2012-01-10 Washington University Intelligent data storage and processing using FPGA devices
US6711558B1 (en) 2000-04-07 2004-03-23 Washington University Associative database scanning and information retrieval
AU2001251748A1 (en) 2000-04-14 2001-10-30 Solidstreaming, Inc. A system and method for multimedia streaming
US6981054B1 (en) 2000-06-06 2005-12-27 Advanced Micro Devices, Inc. Flow control arrangement in a network switch based on priority traffic
US20040064737A1 (en) 2000-06-19 2004-04-01 Milliken Walter Clark Hash-based systems and methods for detecting and preventing transmission of polymorphic network worms and viruses
US7328349B2 (en) 2001-12-14 2008-02-05 Bbn Technologies Corp. Hash-based systems and methods for detecting, preventing, and tracing network worms and viruses
US8204082B2 (en) 2000-06-23 2012-06-19 Cloudshield Technologies, Inc. Transparent provisioning of services over a network
US6381242B1 (en) 2000-08-29 2002-04-30 Netrake Corporation Content processor
US20020069370A1 (en) 2000-08-31 2002-06-06 Infoseer, Inc. System and method for tracking and preventing illegal distribution of proprietary material over computer networks
US7089293B2 (en) 2000-11-02 2006-08-08 Sun Microsystems, Inc. Switching system method for discovering and accessing SCSI devices in response to query
US7760737B2 (en) 2000-11-30 2010-07-20 Audiocodes, Inc. Method for reordering and reassembling data packets in a network
WO2002071227A1 (en) 2001-03-01 2002-09-12 Cyber Operations, Llc System and method for anti-network terrorism
US7681032B2 (en) 2001-03-12 2010-03-16 Portauthority Technologies Inc. System and method for monitoring unauthorized transport of digital content
WO2002082271A1 (en) 2001-04-05 2002-10-17 Audible Magic Corporation Copyright detection and protection system and method
US7325249B2 (en) 2001-04-30 2008-01-29 Aol Llc Identifying unwanted electronic messages
US6785677B1 (en) * 2001-05-02 2004-08-31 Unisys Corporation Method for execution of query to search strings of characters that match pattern with a target string utilizing bit vector
US6944168B2 (en) 2001-05-04 2005-09-13 Slt Logic Llc System and method for providing transformation of multi-protocol packets in a data stream
WO2002090678A2 (en) * 2001-05-07 2002-11-14 L & P Property Management Company Modular room system and method
US7152151B2 (en) 2002-07-18 2006-12-19 Ge Fanuc Embedded Systems, Inc. Signal processing resource for selective series processing of data in transit on communications paths in multi-processor arrangements
US7308715B2 (en) 2001-06-13 2007-12-11 Mcafee, Inc. Protocol-parsing state machine and method of using same
US6928549B2 (en) 2001-07-09 2005-08-09 International Business Machines Corporation Dynamic intrusion detection for computer systems
US7845004B2 (en) 2001-07-27 2010-11-30 International Business Machines Corporation Correlating network information and intrusion information to find the entry point of an attack upon a protected computer
US6980976B2 (en) 2001-08-13 2005-12-27 Oracle International Corp. Combined database index of unstructured and structured columns
US6931408B2 (en) 2001-08-17 2005-08-16 E.C. Outlook, Inc. Method of storing, maintaining and distributing computer intelligible electronic data
US7046848B1 (en) 2001-08-22 2006-05-16 Olcott Peter L Method and system for recognizing machine generated character glyphs and icons in graphic images
US7133405B2 (en) 2001-08-30 2006-11-07 International Business Machines Corporation IP datagram over multiple queue pairs
US6978223B2 (en) 2001-09-06 2005-12-20 Bbnt Solutions Llc Systems and methods for network performance measurement using packet signature collection
AU2002220130A1 (en) 2001-09-12 2003-03-24 Raqia Networks, Inc. High speed data stream pattern recognition
US7558967B2 (en) 2001-09-13 2009-07-07 Actel Corporation Encryption for a stream file in an FPGA integrated circuit
US7835966B2 (en) 2001-09-17 2010-11-16 Recognia Inc. Technical analysis formation recognition using pivot points
US20030065943A1 (en) 2001-09-28 2003-04-03 Christoph Geis Method and apparatus for recognizing and reacting to denial of service attacks on a computerized network
US7181765B2 (en) 2001-10-12 2007-02-20 Motorola, Inc. Method and apparatus for providing node security in a router of a packet network
US7716330B2 (en) 2001-10-19 2010-05-11 Global Velocity, Inc. System and method for controlling transmission of data packets over an information network
US6772345B1 (en) 2002-02-08 2004-08-03 Networks Associates Technology, Inc. Protocol-level malware scanner
US7225188B1 (en) * 2002-02-13 2007-05-29 Cisco Technology, Inc. System and method for performing regular expression matching with high parallelism
US20040111632A1 (en) 2002-05-06 2004-06-10 Avner Halperin System and method of virus containment in computer networks
US7093023B2 (en) 2002-05-21 2006-08-15 Washington University Methods, systems, and devices using reprogrammable hardware for high-speed processing of streaming data to find a redefinable pattern and respond thereto
US20040028047A1 (en) 2002-05-22 2004-02-12 Sean Hou Switch for local area network
US7167980B2 (en) 2002-05-30 2007-01-23 Intel Corporation Data comparison process
US7359404B1 (en) 2002-05-30 2008-04-15 Nortel Networks Limited Apparatus using a knowledge digest to verify configuration information in a network
US7478431B1 (en) 2002-08-02 2009-01-13 Symantec Corporation Heuristic detection of computer viruses
WO2004014065A2 (en) * 2002-08-05 2004-02-12 John Campbell System of finite state machines
US7711844B2 (en) 2002-08-15 2010-05-04 Washington University Of St. Louis TCP-splitter: reliable packet monitoring methods and apparatus for high speed networks
US8201252B2 (en) 2002-09-03 2012-06-12 Alcatel Lucent Methods and devices for providing distributed, adaptive IP filtering against distributed denial of service attacks
US7953820B2 (en) 2002-09-11 2011-05-31 Hughes Network Systems, Llc Method and system for providing enhanced performance of web browsing
EP1573515A2 (en) 2002-10-31 2005-09-14 Lockheed Martin Corporation Pipeline accelerator and related system and method
WO2004042562A2 (en) 2002-10-31 2004-05-21 Lockheed Martin Corporation Pipeline accelerator and related system and method
JP4154213B2 (en) 2002-11-01 2008-09-24 富士通株式会社 Packet processing device
JP2004186717A (en) 2002-11-29 2004-07-02 Toshiba Corp Communication control method, server apparatus, and client apparatus
US6901461B2 (en) 2002-12-31 2005-05-31 Intel Corporation Hardware assisted ATA command queuing
US7305391B2 (en) * 2003-02-07 2007-12-04 Safenet, Inc. System and method for determining the start of a match of a regular expression
TW591532B (en) 2003-03-07 2004-06-11 Mediatek Inc Firmware structuring method and related apparatus for unifying handling of execution responses of subroutines
US7660352B2 (en) 2003-04-04 2010-02-09 Sony Corporation Apparatus and method of parallel processing an MPEG-4 data stream
JP2006526227A (en) 2003-05-23 2006-11-16 ワシントン ユニヴァーシティー Intelligent data storage and processing using FPGA devices
US7685254B2 (en) * 2003-06-10 2010-03-23 Pandya Ashish A Runtime adaptable search processor
US7788726B2 (en) 2003-07-02 2010-08-31 Check Point Software Technologies, Inc. System and methodology providing information lockbox
US7257842B2 (en) 2003-07-21 2007-08-14 Mcafee, Inc. Pre-approval of computer files during a malware detection
US7444515B2 (en) 2003-08-14 2008-10-28 Washington University Method and apparatus for detecting predefined signatures in packet payload using Bloom filters
US7222114B1 (en) 2003-08-20 2007-05-22 Xilinx, Inc. Method and apparatus for rule-based operations
US7287037B2 (en) 2003-08-28 2007-10-23 International Business Machines Corporation Method and apparatus for generating service oriented state data mapping between extensible meta-data model and state data including logical abstraction
US7408932B2 (en) 2003-10-20 2008-08-05 Intel Corporation Method and apparatus for two-stage packet classification using most specific filter matching and transport level sharing
US7454418B1 (en) 2003-11-07 2008-11-18 Qiang Wang Fast signature scan
US7386564B2 (en) 2004-01-15 2008-06-10 International Business Machines Corporation Generating statistics on text pattern matching predicates for access planning
JP4120888B2 (en) * 2004-01-30 2008-07-16 日本電気株式会社 Data retrieval apparatus and method
US7019674B2 (en) 2004-02-05 2006-03-28 Nec Laboratories America, Inc. Content-based information retrieval architecture
US7602785B2 (en) 2004-02-09 2009-10-13 Washington University Method and system for performing longest prefix matching for network address lookup using bloom filters
US7433355B2 (en) 2004-02-09 2008-10-07 Alcatel Lucent Filter based longest prefix match algorithm
US8311974B2 (en) 2004-02-20 2012-11-13 Oracle International Corporation Modularized extraction, transformation, and loading for a database
JP4347087B2 (en) * 2004-02-26 2009-10-21 日本電信電話株式会社 Pattern matching apparatus and method, and program
US7411957B2 (en) 2004-03-26 2008-08-12 Cisco Technology, Inc. Hardware filtering support for denial-of-service attacks
US7457834B2 (en) 2004-07-30 2008-11-25 Searete, Llc Aggregation and retrieval of network sensor data
US7966658B2 (en) 2004-04-08 2011-06-21 The Regents Of The University Of California Detecting public network attacks using signatures and fast content analysis
US7305383B1 (en) * 2004-06-10 2007-12-04 Cisco Technology, Inc. Processing system using bitmap array to compress deterministic finite automation state table allowing direct indexing
US7693856B2 (en) 2004-06-25 2010-04-06 Apple Inc. Methods and systems for managing data
US7660865B2 (en) 2004-08-12 2010-02-09 Microsoft Corporation Spam filtering with probabilistic secure hashes
EP1784719A4 (en) 2004-08-24 2011-04-13 Univ Washington Methods and systems for content detection in a reconfigurable hardware
US20060047636A1 (en) 2004-08-26 2006-03-02 Mohania Mukesh K Method and system for context-oriented association of unstructured content with the result of a structured database query
WO2006031551A2 (en) 2004-09-10 2006-03-23 Cavium Networks Selective replication of data structure
US7461064B2 (en) 2004-09-24 2008-12-02 International Buiness Machines Corporation Method for searching documents for ranges of numeric values
US8321465B2 (en) 2004-11-14 2012-11-27 Bloomberg Finance L.P. Systems and methods for data coding, transmission, storage and decoding
US20060129745A1 (en) 2004-12-11 2006-06-15 Gunther Thiel Process and appliance for data processing and computer program product
EP1859378A2 (en) 2005-03-03 2007-11-28 Washington University Method and apparatus for performing biosequence similarity searching
US7765183B2 (en) * 2005-04-23 2010-07-27 Cisco Technology, Inc Hierarchical tree of deterministic finite automata
US20070011183A1 (en) 2005-07-05 2007-01-11 Justin Langseth Analysis and transformation tools for structured and unstructured data
US7467155B2 (en) 2005-07-12 2008-12-16 Sand Technology Systems International, Inc. Method and apparatus for representation of unstructured data
US7801910B2 (en) 2005-11-09 2010-09-21 Ramp Holdings, Inc. Method and apparatus for timed tagging of media content
US7805392B1 (en) * 2005-11-29 2010-09-28 Tilera Corporation Pattern matching in a multiprocessor environment with finite state automaton transitions based on an order of vectors in a state transition table
US7702629B2 (en) * 2005-12-02 2010-04-20 Exegy Incorporated Method and device for high performance regular expression pattern matching
US7954114B2 (en) 2006-01-26 2011-05-31 Exegy Incorporated Firmware socket module for FPGA-based pipeline processing
EP1999585A4 (en) 2006-03-03 2012-01-25 New Jersey Tech Inst BEHAVIOR-BASED TRAFFIC DIFFERENTIATION (BTD) TO DEFEND AGAINST DISTRIBUTED DENIAL OF SERVICE(DDoS) ATTACKS
US8379841B2 (en) 2006-03-23 2013-02-19 Exegy Incorporated Method and system for high throughput blockwise independent encryption/decryption
US7636703B2 (en) 2006-05-02 2009-12-22 Exegy Incorporated Method and apparatus for approximate pattern matching
US7840482B2 (en) 2006-06-19 2010-11-23 Exegy Incorporated Method and system for high speed options pricing
US7783862B2 (en) * 2006-08-07 2010-08-24 International Characters, Inc. Method and apparatus for an inductive doubling architecture
US7701945B2 (en) 2006-08-10 2010-04-20 Sourcefire, Inc. Device, system and method for analysis of segments in a transmission control protocol (TCP) session
WO2008022036A2 (en) 2006-08-10 2008-02-21 Washington University Method and apparatus for protein sequence alignment using fpga devices
US7660793B2 (en) 2006-11-13 2010-02-09 Exegy Incorporated Method and system for high performance integration, processing and searching of structured and unstructured data using coprocessors
US8326819B2 (en) 2006-11-13 2012-12-04 Exegy Incorporated Method and system for high performance data metatagging and data indexing using coprocessors

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111159497A (en) * 2019-12-31 2020-05-15 奇安信科技集团股份有限公司 Regular expression generation method and regular expression-based data extraction method
CN111177491A (en) * 2019-12-31 2020-05-19 奇安信科技集团股份有限公司 Regular expression matching method and device, electronic equipment and storage medium
CN111177491B (en) * 2019-12-31 2023-08-25 奇安信科技集团股份有限公司 Regular expression matching method and device, electronic equipment and storage medium
CN111159497B (en) * 2019-12-31 2023-09-22 奇安信科技集团股份有限公司 Regular expression generating method and regular expression-based data extraction method

Also Published As

Publication number Publication date
US7945528B2 (en) 2011-05-17
EP1960913B1 (en) 2017-01-11
EP1960913A2 (en) 2008-08-27
EP1960913A4 (en) 2014-07-30
JP2009517782A (en) 2009-04-30
AU2006320643A1 (en) 2007-06-07
CA2629618A1 (en) 2007-06-07
CA2629618C (en) 2013-08-27
WO2007064685A2 (en) 2007-06-07
US20070130140A1 (en) 2007-06-07
JP5265378B2 (en) 2013-08-14
WO2007064685A3 (en) 2008-10-02
AU2006320643B2 (en) 2012-09-13
CA2820500C (en) 2016-01-19
US20100198850A1 (en) 2010-08-05
US7702629B2 (en) 2010-04-20

Similar Documents

Publication Publication Date Title
CA2820500C (en) Method and device for high performance regular expression pattern matching
US10949641B2 (en) Fast signature scan
US7328430B2 (en) Method for analyzing data and performing lexical analysis
US7454418B1 (en) Fast signature scan
US20050273450A1 (en) Regular expression acceleration engine and processing model
US20110153641A1 (en) System and method for regular expression matching with multi-strings and intervals
WO2006029508A1 (en) Highly scalable subscription matching for a content routing network
EP0961966A1 (en) N-way processing of bit strings in a dataflow architecture
Pao et al. Multi-stride string searching for high-speed content inspection
WO2010047683A1 (en) Fast signature scan
Nottingham GPF: A framework for general packet classification on GPU co-processors
Edelkamp Dictionary automaton in optimal space
Norige Hardware Algorithms for High-Speed Packet Processing
Adjeroh et al. Exact and approximate pattern matching
Nguyen et al. BBFex: A Flexible and Efficient FPGA-based Pattern Matching Engine for Large Database
Sarkar CMPT 379 Compilers

Legal Events

Date Code Title Description
EEER Examination request

Effective date: 20130626

MKLA Lapsed

Effective date: 20211129