CN100341107C - 抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器 - Google Patents

抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器 Download PDF

Info

Publication number
CN100341107C
CN100341107C CNB028255283A CN02825528A CN100341107C CN 100341107 C CN100341107 C CN 100341107C CN B028255283 A CNB028255283 A CN B028255283A CN 02825528 A CN02825528 A CN 02825528A CN 100341107 C CN100341107 C CN 100341107C
Authority
CN
China
Prior art keywords
plasma
reactor
top electrode
frequency
electrode
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB028255283A
Other languages
English (en)
Other versions
CN1606794A (zh
Inventor
D·J·霍夫曼
G·Z·殷
Y·叶
D·凯兹
D·A·小布赫贝格尔
X·赵
K-L·姜
R·B·哈根
M·L·米勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1606794A publication Critical patent/CN1606794A/zh
Application granted granted Critical
Publication of CN100341107C publication Critical patent/CN100341107C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32174Circuits specially adapted for controlling the RF discharge
    • H01J37/32183Matching circuits

Abstract

一种用于处理半导体工件的等离子体反应器,包括:反应室,其所述反应室具有室壁和用于夹持半导体工件的工件支撑件;顶电极,其在所述工件支撑件上方,所述电极包括所述室壁的一部分;射频功率发生器,其用于在所述发生器的频率,供应功率至所述顶电极,并且能够将等离子体载所述室内,保持期望的等离子体离子密度水平。顶电极具有电容,以便所述顶电极,与在所述室内的以期望的等离子体离子密度形成的等离子体,在电极一等离子体共振频率,共振,所述发生器的所述频率至少在所述电极等离子体共振频率附近。所述反应器进一步包括:在所述顶电极上形成的绝缘层,所述顶电极面对所述工件支撑件;在所述射频功率发生器和所述顶电极之间的电容性绝缘层;以及覆盖所述顶电极表面并与其接触的金属泡沫层,所述顶电极面对并远离所述工件支撑件。

Description

抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器
相关申请的交叉引用
本申请是2000年3月17日由Daniel Hoffman等申请的题目为“Plasma Reactor with Overhead RF Electrode Tuned to The Plasma的美国申请No.09/527342的延续部分,并且此申请已转让给本申请人。
背景技术
射频等离子体反应器用于处理半导体晶片以产生微型电子电路。反应器在包括待处理晶片的室中形成等离子体。通过使用电感或电容耦合到室中的射频等离子体源功率来形成和维持等离子体。对于电容耦合到室中的射频等离子体源功率,通过射频源功率发生器驱动顶电极(overhead electrode)(面对晶片)。
在这样的反应器中存在的一个问题是射频发生器的输出阻抗,典型地为50欧姆,其必须与由电极和等离子体联合提供的负荷阻抗匹配。否则输出到等离子体室的射频功率将随着等离子体负荷阻抗的波动而波动,以致不能将某些处理参数如等离子体密度维持在期望的范围内。
等离子体负荷阻抗在处理中产生波动,因为其依赖于反应室内的情况,从而随着处理进展动态改变。在用于电介质或金属蚀刻工艺的最佳等离子体密度下,与射频发生器的输出阻抗相比负荷阻抗非常小,并能在晶片处理过程中明显地变化。因此,必须采用阻抗匹配电路以有效地在发生器和负载之间维持阻抗匹配。这样的有效阻抗匹配使用可变的电抗和/或可变的频率。这样的阻抗匹配电路存在的一个问题是它们必须充分灵敏以随着等离子体负荷阻抗的快速变化而变化,因此它们较昂贵,并且由于他们的复杂性而能降低系统的可靠性。
另一个问题是匹配电路可提供阻抗匹配(“匹配空间”)的负荷阻抗的范围是受限的。匹配空间与系统Q相关,这里Q=f/f,f是系统的共振频率,而f是f一边上的带宽,在该带宽中共振振幅在f的峰值共振振幅的6dB以内。典型的射频发生器在将正向传输功率维持在近似恒定水平上的能力是有限的,即使当等离子体阻抗波动时,有更多的射频功率被反馈给发生器。典型地,这通过发生器司服其正向传输功率电平来实现,以便这样的阻抗失配增加(因此,反射功率增加),发生器增加其正向传输功率电平。当然,这种能力被发生器能够产生的最大正向传输功率所限制。典型地,发生器能够处理正向驻波电压与反射波电压(即电压驻波比值或VSWR)的不超过3∶1的最大比值。阻抗中的差异增加(例如,由于在处理期间中等离子体阻抗波动)以致VSWR超过3∶1,然后射频发生器不再能够控制输送功率,控制的等离子体丢失。结果,处理可能失败。因此,在射频发生器和通过结合线圈天线和室向射频发生器提供的负载之间必须维持至少一个近似的阻抗匹配。这一近似的阻抗匹配必须足以将在发生器输出的VSWR保持在等离子体阻抗波动的整个预期限定的3∶1VSWR内。典型地,该阻抗匹配空间为该匹配电路可将发生器输出的VSWR维持在3∶1或以下的负荷阻抗范围内。
相关的问题是负荷阻抗本身对处理参数如室压、等离子体源功率电平、源功率频率和等离子体密度高度灵敏。这限定了这些处理参数(工艺窗口(process window))的范围,必须在这些范围中操作等离子体反应器以避免不期望的阻抗失配或避免引起负荷阻抗在匹配空间外的波动。同样地,提供一个能在相对窄的工艺窗口和工艺用途(processuse)之外的反应器,或能处理许多操作应用的反应器是困难的。
另一个相关的问题是负荷阻抗也受反应器自身结构如某些机械部件的尺寸和反应器内的某些材料的导电系数或介电常数的影响。(这些结构部件影响反应器的电特性,如杂散电容,其反过来影响负荷阻抗。)由于制造的偏差和材料的变化,这使得要在相同设计的不同反应器中保持统一是很困难的。结果,具有高系统Q和相应的小阻抗匹配空间,要产生显示相同的工艺窗口或提供相同性能的任意两个相同设计的反应器是困难的。
另一个问题是射频功率源使用的无效。由于传输到等离子体的功率量明显小于射频发生器产生的功率,因此众所周知,等离子体反应器会无效。结果,必然引起在发生器性能和可靠性权衡中的额外成本,该额外成本用于产生超过传输到等离子体中实际需要的功率的额外功率。
本说明书一般关于电容耦合反应器,其具有顶电极,该顶电极由VHF等离子体源功率射频源射频驱动,而非高频功率源驱动。我们已经发现在VHF源功率频率,与高频频率不同,调节顶电极至与等离子体共振是可行的,这可获得迄今尚未达到的稳定性和斜率,以及许多其它优点。
一种将工艺气体供给到电容耦合等离子体反应器的较好的方法是通过顶壁注入工艺气体。在本反应器中,顶壁是源功率电极,其通过同轴短管(stub)或等同的阻抗匹配元件耦合到VHF射频功率发生器。为了从顶壁注入工艺气体,顶壁电极也是“喷头”,具有一组小的气体注入口(gas injection port)的导电层,通过这些口注入工艺气体。在某些情况下,等离子体放电或“电弧放电”易于在顶壁气体注入口发生。这引起等离子体放电从气体注入口内表面除去顶电极或喷头材料的危险。物质,如金属类物质因此被引入到等离子体,并可能污染晶片或工件表面,并且损伤在此制造的微电子器件。
因此,有必要降低或消除在气体注入口激发等离子体(或本体等离子体(bulk plasma)外的任何其它地方)的倾向,特别是在具有顶电极/气体分布喷头组合的等离子体反应器中,该气体分布喷头连接到VHF等离子体源射频电源。
顶电极可由于与等离子体接触而遭受磨损,特别因为其既作为偏压功率(bias power)的阳极又作为源功率的阴极,并因此遭受射频和直流电流。如果找到一种方法避免电流直接通过顶电极,或避免电极与等离子体的直接接触,运行反应器的成本将降低。
在等离子体反应器中常有这样一个问题,即在等离子体外罩内的第二和第三谐波的发生。在本反应器中,当等离子体VHF源功率是顶电极施加的,等离子体偏压功率由高频信号施加到晶片支撑基座上。在高频频率,大部分射频功率消耗在外罩内,剩余功率保持在本体等离子体中。等离子体外罩是非线性负载,且因此产生施加到晶片支撑基座的高频偏置信号的第二和/或第三谐波。这样的谐波的存在以这样的方式改变等离子体行为,在该方式下,工艺性能在这样的谐波存在下受到损伤。特别地,避免蚀刻停止或过度蚀刻的工艺控制变得更困难,且蚀刻速率减少。有必要减少影响等离子体的谐波产生。
在本反应器中,同轴调谐短管的长度和VHF源功率信号的波长相关,其覆盖区域(footprint)比反应器余下的部分的大。因此在能够减小该覆盖区域而不牺牲同轴调谐短管的任何优点这方面具有优势。
发明内容
用于处理半导体工件的等离子体反应器包括反应室、顶电极、包括部分室壁的电极、射频功率发生器,该反应室具有室壁并包括用于夹持半导体工件的工件支撑件,该顶电极位于所述工件上方,射频功率发生器用于将发生器频率下的功率提供给顶电极并将室内的等离子体维持在期望的等离子体离子密度水平。顶电极具有电容以使顶电极和在室中形成的具有期望的等离子体密度的等离子体,在电极-等离子体共振频率下一起共振,发生器的频率至少接近电极-等离子体的共振频率。反应器进一步包括:形成于所述顶电极表面的绝缘层,该顶电极面对所述工件支撑件;电容性绝缘层,其位于所述射频功率发生器和所述顶电极之间,以及金属泡沫层,其覆盖所述顶电极表面并且接触该表面,该顶电极表面对并远离工件支撑件。绝缘层提供足够抑制在所述气体注入口内电弧放电的电容,该电容性绝缘层具有足够电容以阻止来自所述室内等离子体的电流流过所述顶电极,并且金属泡沫层具有足够厚度以抑制在所述气体注入孔(orifice)内的轴向电场。
附图说明
图1是体现本发明的等离子体反应器的侧视横截面图。
图2A和2B分别是图1同轴短管(stub)的图解描述和用作沿同轴短管位置的电压和电流驻波振幅的图解描述。
图3是在同轴短管上在输入功率接点处的电流的减小(subtraction)以响应高等离子体负荷阻抗而在较大的匹配空间中维持更恒定的输出VHF功率电平。
图4是在同轴短管上在输入功率接点处的电流的增加以响应低等离子体负荷阻抗而在较大的匹配空间中维持更恒定的输出VHF功率电平。
图5是描述用作图1的实施例的频率的低-Q反射系数的曲线图。
图6是描述在同轴短管上的输入功率接点处的电流分布与沿短管长度的驻波电流和电压的相互作用曲线图。
图7是图1的同轴短管的可选实施例。
图8表示本发明的另一个实施例。
图9是对应图8的放大图。
图10是图9的放大图。
图11是图8的另一个放大图。
图12表示本发明的另一个实施例。
图13是对应图12的俯视图。
图14是对应图13的反应器的可选实施例的俯视图。
具体实施方式
参考图1,等离子体反应器包括具有晶片支撑件105的反应室100,该晶片支撑件在室的底部以支撑半导体晶片110。半导体环115环绕晶片110,该半导体环115通过介电(石英)环120支撑在接地的室主体上。在一个实施例中,该介电环的厚度为10mm,介电常数为4。通过圆形导电顶电极在顶部限定室100,在距离晶片110预定间隙长度上方支撑铝顶电极,晶片110通过介质(石英)密封件设置在接地室主体127上。顶电极125还可是在其内表面上覆盖半金属材料(例如,Si或SiC)的金属(例如,铝),或者自身就是半金属材料。射频发生器150向电极125施加射频功率。来自发生器150的射频功率通过与发生器150匹配的同轴电缆162耦合到与电极125连接的同轴短管135。短管135具有特性阻抗、共振频率,并提供在电极125和50欧姆同轴电缆162或50欧姆射频功率发生器150的输出之间的阻抗匹配,下面将作全面详细描述。室主体连接到射频发生器150的射频返回(射频接地)。从顶电极125到射频接地间的射频路径受半导体环115,介电环120和介电密封件130的电容影响。晶片支撑件105,晶片110和半导体环115提供施加到电极125的射频功率的主要射频返回路径。
包括电极125,介电环120和介电密封件130的顶电极组件126的电容是180皮法,该电容是相对射频返回或地测量的。电极组件电容受电极面积,间隙长度(晶片支撑件和顶电极间的距离)的影响,并且受影响杂散电容,特别是密封件130和介电环120的介电值的因子影响,所述因子反过来受所采用的材料的介电常数和厚度的影响。更一般地,在特定的源功率频率,等离子体密度和操作压力下,电极组件的电容(无符号数或标量)大小等于或近似等于等离子体负电容(复数)的大小,如下面的讨论。
很多影响前述关系的因子在很大程度上是预定的,这是由于反应器所要执行的等离子体工艺要求、晶片尺寸、和在晶片上一致执行的加工要求的现实决定的。因此,等离子体电容是等离子体密度和源功率频率的函数,而电极电容是晶片支撑件比电极间隙(高度)、电极直径、和组件绝缘体的介电值的函数。等离子体密度、操作压力、间隙、和电极直径必须满足反应器要执行的等离子体工艺的要求。特别是,离子密度必须在一定的范围内。例如,硅和介电等离子体蚀刻工艺一般要求等离子体密度在109-1012离子每立方厘米的范围内。晶片电极间隙对8英寸晶片提供最佳等离子体离子分布的一致性,例如,如果间隙大约为2英寸。电极直径如果不比晶片直径大,可以至少是和其一样大。对通常的蚀刻和其它等离子体工艺,操作压力类似地具有实用的范围。
但是已经发现存在可选的获得上述优选关系的其它因素,特别是用于顶电极组件126的源频率的选择和电容的选择。在前述施加在电极上的尺寸约束和施加在等离子体上的约束(例如,密度范围)中,如果源功率频率选择为VHF频率,并且如果电极组件126的绝缘元件的介电值选择合适,则电极电容应可以与等离子体负电容的值匹配。这种选择在源功率频率和等离子体-电极共振频率之间获得匹配和近似匹配。
因此在一个方面,用于8英寸晶片的顶电极直径约为11英寸,间隙约为2英寸,等离子体密度和操作压力是典型用于上述蚀刻工艺的,用于密封件130的介电材料的介电常数为9,厚度的量级为1英寸,环115的内直径稍微超过10英寸而外直径约为13英寸,环120的介电常数为4,而厚度的量级为10毫米,VHF源功率频率为210MHz(尽管其它的VHF频率可能具有同等效力),并且源功率频率,等离子体电极共振频率和短管共振频率都是匹配或近似匹配的。
具体地,这三个频率互相稍微偏移,在源功率频率约为210MHz时,电极-等离子体共振频率约为200MHz,且短管频率约为220MHz,以获得失谐效应,这种效应可以有利地降低系统Q。系统Q的此类降低使反应器的执行对室内情况变化较不敏感,从而使整个工艺更稳定并能在更宽的工艺窗口实施。
同轴短管135的特定结构设计进一步有利于整个系统稳定、其宽的工艺窗口能力、以及一些其它好处。其包括内部柱状导体140和外部同心柱状导体145。例如,用具有相对介电常数为1的绝缘体147(图1中用端面线表示)填充内部和外部导体140、145之间的空间。内部和外部导体140、145可用例如镀镍铝形成。外部导体145的直径可约为4英寸,而内部导体140的直径约为1.5英寸。短管特性阻抗由内部和外部导体140、145的半径和绝缘体147的介电常数确定。一个实施例的短管135特性阻抗为65欧姆。更广泛地,短管特性阻抗超过源功率输出阻抗约20%-40%,和约30%。短管135轴长约为29英寸——在220MHz的四分之一波长——以在接近220MHz具有共振以在稍微偏离VHF源功率频率210MHz的同时,一般匹配。
在沿短管135的轴长的特定点处设置接头160以用于将来自射频发生器150的射频功率施加给短管135,下面将详细描述。发生器150的射频功率终端150b和射频返回终端150a在短管135上的接头160处分别连接到内部和外部同轴短管导体140、145。以公知的方式,通过发生器到短管同轴电缆162实现这些连接,同轴电缆162具有与发生器150(典型为50欧姆)的输出阻抗相匹配的特性阻抗。终端导体165在短管135的远端135a处一起短路内部和外部导体140、145,以使短管135在其远端135a被短路。在短管135的近端135b(未短路端),外部导体145通过环形导电壳或支撑175与室的主体连接,同时内部导体140通过导电圆柱或支撑176与电极125的中心连接。介电环180被夹持在导电圆柱176和电极125之间并将两者隔离开。
内部导体140可提供用于设施如工艺气体和冷却剂的管道(conduit)。不同于典型的等离子体反应器,该特征的主要优点是,气体管线(gas line)170和冷却剂管线(coolant line)173不横跨大的电势差。因此它们可用金属——成本较低且用于这一目的更可靠的材料制造。金属的气体管线170在顶电极125中或邻近顶电极125处,向气体入口172输送气体,同时金属冷却剂管线173在顶电极125内,向冷却剂通道或套管(jacket)174输送冷却剂。
因此,通过在射频发生器150和顶电极组件126之间这种特殊结构的短管匹配提供有效和共振阻抗转换,并处理等离子体负载、最小化反射功率以及提供非常宽的阻抗匹配空间以适应负荷阻抗中的宽变化。这样,提供了宽工艺窗口和工艺的灵活性,同时获得前所未有的功率利用效率,同时最小化或避免使用典型的阻抗匹配设备。如上所述,短管共振频率也偏移理想匹配,以进一步提高整体系统Q、系统稳定性和工艺窗口以及多进程的能力。
匹配电极一等离子体共振频率和VHF源功率频率
如上概述,一个主要特征是配置顶电极组件126,其用于在电极-等离子体共振频率下与等离子体共振,以及用于匹配(或近似匹配)源功率频率和电极-等离子体频率。电极组件126具有主要容抗,而等离子体电抗是频率、等离子体密度和其它参数的复变函数。(如下面将更详细描述的,根据电抗来分析一个等离子体,该电抗是一个复变函数,该复变函数包括虚数项并一般对应负电容)。电极-等离子体共振频率由电极组件126和等离子体的电抗确定(类似于电容器/电感器共振电路的共振频率由电容器和电感器的电抗确定)。因此,电极-等离子体共振频率不必为源功率频率,而应根据等离子体密度确定。因此,问题是找到一个源功率频率,在此频率,等离子体电抗足以使电极-等离子体共振频率等于或近似等于源功率频率,假设将实际限制约束在等离子体密度和电极尺寸的特定范围内。更困难的是,由于等离子体密度(其影响等离子体电抗)以及电极尺寸(其影响电极电容)必须满足某种工艺约束(constraint)。具体地,用于电介质和金属的等离子体蚀刻工艺,等离子体密度应在109-1012离子每立方厘米范围内,其是对等离子体电抗的约束。而且,例如通过约为2英寸的晶片到电极的间隙或高度,以及与晶片直径大小在同一数量级或更大的电极直径,来获得处理直径为8英寸晶片的更均匀等离子体离子密度分布,所述间隙或高度是对电极电容的一个约束。另一方面,对于处理直径为12英寸的晶片可采用不同的间隙长度(length)。
因此,在此实施例的一个特征中,通过匹配(或近似匹配)电极电容与等离子体负电容的大小,电极-等离子体共振频率和源功率频率至少近似匹配。对于上述列举的一般金属和介质蚀刻工艺情况(即,等离子体密度在109-1012离子每立方厘米,2英寸间隙和大约11英寸的电极直径),如果源功率频率是VHF频率则有匹配可能。其它情况(例如,不同晶片直径、不同等离子体密度等)可使用不同的频率范围以在实施反应器的此特征中实现匹配。如下面将详细描述的,在包括介质和金属等离子体蚀刻和化学气相淀积的几种主要应用中,在用于处理8英寸晶片的适宜等离子工作条件下,在一种具有上面描述的等离子体密度的典型工作示例中,等离子体电容在-50和-400皮法之间。在指导性的实施例中,通过使用11英寸的电极直径、约2英寸的间隙高度(电极到基座距离)、通过选择介电常数为9、厚度约为1英寸的密封件130的介电材料、以及介电常数为4、厚度约为10mm的用于环120的介电材料,来实现顶电极组件126的电容与此负等离子体电容的匹配。
电极组件126和等离子体一起在电极-等离子体共振频率共振,电极-等离子体共振频率至少近似匹配施加到电极125的源功率频率,假定它们的电容匹配如刚才所述的那样。我们发现对于有利的蚀刻等离子体工艺配置、环境和等离子体,该电极-等离子体共振频率和源功率频率可在VHF频率匹配或近似匹配;并且实现这样的频率匹配或近似匹配是非常有利的。在前面的实施例中,对应前面的等离子体负电容值的电极-等离子体共振频率约为200MHz,如下面将详细描述的。源功率频率为210MHz,源功率频率在其中近似匹配以轻微偏移上述电极-等离子体共振频率而实现下面将论述的其它优点。
等离子体电容是其它参数、等离子体电子密度的函数。这与等离子体离子密度有关,所述等离子体密度为提供优良的等离子体处理条件,以将等离子体密度保持在通常的109-1012离子每立方厘米所需的范围。这一密度与源功率频率和其它参数一起,确定等离子体负电容,因此此选择受期望的最优等离子体处理条件的约束,如下面将进一步详述的。但是顶电极组件电容受许多物理因素影响,这些因素包括例如,间隙长度(电极125和晶片之间的间隔距离);电极125面积;用于介质密封件130的介质损耗角正切范围;在电极125和接地的室主体127之间的介质密封件130的介电常数的选择;在半导体环115和室主体之间的介电环120的介电常数的选择;以及密封件130和环120的介电结构的厚度和环180的厚度和介电常数。这使得可通过选择这些和其它影响顶电极电容的物理因子而对电极组件电容做出一些调整。我们发现此调节范围足以获得顶电极组件电容与负等离子体电容值的匹配度。具体地,选择用于密封件130和环120的介电材料和尺寸以提供期望的介电常数和相应的介电值。尽管影响电极电容的一些相同的物理因素特别如间隙长度,受处理较大直径晶片的要求的实用性的规定和限制,但仍可获得电极电容和等离子体电容的匹配;具有在晶片的整个直径上的优良均匀的等离子体离子分布;具有离子密度对离子能量的良好控制。
因此,对于如上设定的对等离子体蚀刻工艺有利的等离子体离子密度范围;和对于适合于处理8英寸晶片的室尺寸,可获得电极组件126的电容,所述电容与-50到-400皮法的等离子体电容匹配,所述-50到-400皮法的等离子体电容通过使用直径为11英寸的电极,约为2英寸的间隙长度,和用于密封件130的介电常数为9的材料,以及用于环120的介电常数为4的材料获得。
给定等离子体电容以及匹配的顶电极电容的前述范围,用于210MHz的源功率频率的电极-等离子体共振频率约为200MHz。
以这种方式选择顶电极组件126的电容,然后将形成的电极-等离子体共振频率与源功率频率匹配的极大优点是,电极和近似源功率频率的等离子体的共振提供了更宽的阻抗匹配以及更宽的工艺窗口,从而对工作条件中的变化更不敏感,因此操作更加稳定。整个处理系统对操作条件中的偏差,例如,等离子体阻抗中的偏移(shift)更不敏感,因此在更加可靠的同时具有更大的工艺适应范围。如后面将在说明书中具体讨论的,通过在电极-等离子体共振频率和源功率频率之间的轻微偏移可进一步增强该优点。
                 为什么等离子体具有负电容
等离子体电容由等离子体的电容率(permittivity)ε控制,ε是复数,并且根据下面公式,其是自由空间的电容率ε0、等离子体电子频率pe、源功率频率以及中性电子(electron-neutral)碰撞频率en的函数:
ε=ε0[1-pe 2/((+ien))]其中i=(-1)1/2
(等离子体电子频率pe是等离子体电子密度的简单函数,并且在等离子体处理工艺的出版物中是公知常识。)
在一个指导性示例中,中性物质是氩,等离子体电子频率约为230MHz,室压在10mT到200mT范围的施加有充足的射频功率以使等离子体密度在109和1012每立方厘米之间的射频源功率频率约为210MHz。在这些典型有利于等离子体蚀刻工艺的条件下,由于通过前面公式定义的等离子体的有效电容率为负,等离子体一般具有负电容。根据这些条件,等离子体具有-50到-400皮法的负电容。然后正如我们在上述更一般的术语中所见,等离子体电容,作为等离子体电子密度(以及源功率频率和中性电子碰撞频率)的函数,一般趋向于受关键应用的特定等离子体工艺现实条件的限制,例如,介质蚀刻,金属蚀刻和CVD(化学气相沉积),趋向于到某种希望的范围,并趋向于在VHF源功率频率下具有负值。通过利用等离子体的这些特性,反应器的电极电容匹配以及频率匹配特性达到前所未有的工艺窗口能力(process window capability)、灵活性以及操作稳定性。
通过短管135提供阻抗变换(impedance transformation)
短管135在射频发生器150的50输出阻抗和由电极组件126与室中的等离子体结合所提供的负荷阻抗之间提供阻抗变化。对于这一阻抗匹配,必须使发生器-短管连接和短管-电极连接处很少或没有射频功率反射(至少没有超过射频发生器150的VSWR限制反射)。现在将描述如何完成这些。
在发生器150需要的VHF频率下,以及在适于等离子体蚀刻工艺的等离子体密度和室压下(即,分别为109-1012离子每立方厘米和10mT-200mT),等离子体自身的阻抗约为(0.3+(i)7),这里0.3是等离子体阻抗的实数部分,i=(-1)1/2,而7是等离子体阻抗的虚数部分。由电极-等离子体联合提供的负荷阻抗是等离子体阻抗和电极组件126电容的函数。如上所述,选择电极组件126的电容以在电极组件126和具有约200MHz的电极-等离子体共振频率的等离子体之间实现共振。由于短管135的共振频率被设定为电极-等离子体共振频率或接近电极-等离子体共振频率以使两者一起至少近似共振,因此在短管-电极界面处的射频功率反射为最小或被避免。
同时,由于沿短管135的轴向长度方向设置接头160以使在接头160处,在短管135中驻波电压与驻波电流的比值接近发生器150的输出阻抗或电缆162的特性阻抗(都约是50),因此在发生器-短管界面处的射频功率反射为最小或被避免。是如何设置接头160并达到这一点的下面将进行论述。
               短管的接头160的轴向定位
优选同轴短管135的轴向长度可以是“短管”频率(例如,220MHz)的1/4波长的整数倍,该“短管”频率如上所述为近似电极-等离子体共振频率。在一个实施例中,此倍数为2,以使同轴短管长度约为“短管”频率的1/2波长,或约为29英寸。
接头160沿短管135的长度特定轴向位置定位。在此位置,处于发生器150的输出频率的射频信号的驻波电压振幅与驻波电流之间的比值与匹配射频发生器150的输出阻抗的输入阻抗对应(例如,50欧姆)。这在图2A和2B中进行了描述,在此两图中,在短路外侧短管端135a,短管135中的电压和电流驻波分别具有零位和峰值。对于接头160期望的位置是在向内距离短路端一个A距离处,这里驻波电压与电流的比值相当于50欧姆。此位置已经为所属技术领域的技术人员使用,并且凭经验确定那里的驻波比值为50欧姆。提供与射频发生器输出阻抗(50)匹配的接头160的距离或位置A是短管135的特性阻抗的函数,稍后将在实施例中描述。当接头160被精确设置在距离A处,如果射频发生器是可维持不变的超过3∶1电压驻波比值(VSWR)功率传送的典型类型,则在负荷阻抗的实数部分中阻抗匹配空间容许9∶1的变化。
阻抗匹配空间可以极大地扩展以在负荷阻抗的实数部分中可容许接近60∶1的变化。该显著的效果是通过将接头160从位置A的精确的50W点处向同轴短管135的短路外端135a稍微偏移动来实现的。这一偏移的量可以,如为波长的5%(即,约为1.5英寸)。我们发现反应器中,在此轻微移动接头位置中,在接头160处的射频电流分布减小或增大了短管中的电流,使其更加适合补偿等离子体负荷阻抗的变动,如将参考图3和4进行的描述。这样的补偿足以将匹配空间从在负荷阻抗的实数部分中容许9∶1的振幅增加到容许60∶1的振幅。
当接点从A处的“匹配”位置移开时,认为此行为由于短管135中的驻波电流的相位的变化而变得对与电极-等离子体负荷阻抗的阻抗失配更加敏感。如上所述,在名义工作条件下,电极组件126与等离子体负电容匹配。此电容在VHF源功率频率(210MHz)下是-50到-400皮法。在此电容下,等离子体显示的等离子体阻抗为(0.3+i7)欧姆。因此,0.3欧姆是系统调谐等离子体阻抗的实数部分。当等离子体条件发生变化时,等离子体电容和阻抗变离它们的名义值。当等离子体电容变离电极125匹配的电容时,电极-等离子体共振的相发生变化,其影响短管135中的电流相位。当短管的驻波电流相位因此移动时,根据相位移动的方向,提供给接头160的射频发生器电流将增大或减小短管的驻波电流。接头160从在A的50位置处的位移被限定在波长的小比数范围内(例如,5%)。
图3描述当等离子体阻抗的实数部分由于等离子体变动而增加时在短管135中的驻波电流。在图3中,电流驻波的振幅被描述为沿短管135的轴向位置的函数。在驻波电流振幅中在水平轴上的位置0.1处的不连续对应于接头160的位置。在图3的曲线图中,由于等离子体阻抗的实数部分高于系统用于调谐(即,电极电容匹配负等离子体电容)的名义上的等离子体阻抗,因此发生阻抗失配。在这种情况下,在接头160处的电流减去短管135中的驻波电流。这种减小引起图3的曲线图中的不连续或零位,并减小了输送功率而抵消了增加的负载。这避免了由于较高负载(R)引起的输出功率(I2R)的相应增加。
图4描述了当等离子体阻抗的实数部分减小时,短管135中的驻波电流。在图4中,电流驻波振幅是作为沿短管135的轴向位置的函数绘出。在位置0.1处,在驻波电流振幅中的不连续标记了接头160的位置。在图4的曲线图中,等离子体阻抗的实数部分低于用于系统调谐的名义等离子体阻抗。在这种情况下,在接头160处的电流加到短管135中的驻波电流。这种增加提高输出功率并补偿了减小的负载,以避免由于减小负载(R),在输送功率I2R的相应减小,有了这种补偿,即可在负荷阻抗中容许更大的变化以使匹配空间显著地增加。
这种在负荷阻抗的实数部分中可容许60∶1的匹配空间的振幅的扩展增强了工艺窗口和反应器的可靠性。这是由于在特定工艺或应用中当工作条件变化时,或当反应器在用于不同应用的不同操作配方(operating recipe)下工作时,等离子体阻抗将变化,特别是阻抗的实数部分。在现有技术中,这种变化会很容易超过系统中使用的常规匹配电路的范围,以致无法再有效地控制输出功率以支持可行的工艺,并且可能导致工艺失败。在本发明的反应器中,可将输出功率维持在期望水平的负荷阻抗的实数部分的范围增大很多,以致原来会导致工艺失败的等离子体阻抗变化对实施这一方式的反应器没有影响或影响很小。因此,在特定工艺和应用过程中,本发明使反应器能够承受工作条件中的更大变化。可选地,本发明可使反应器用于包括更宽范围的工作条件的许多不同应用中并具有明显优点。
作为本发明的另一个优点,提供此扩展阻抗匹配的同轴短管135是不具有“活动件”的简单被动器件,所谓的“活动件”如常规阻抗匹配设备的可变电容/伺服系统或可变频率/伺服系统。因此其不贵并且比其替换的阻抗匹配设备要可靠得多。
           解谐操作和共振频率以展宽工艺窗口
根据另一方式,通过相互稍微偏移短管的共振频率、电极-等离子体共振频率和等离子体源功率频率降低系统Q以展宽工艺窗口。如上所述,短管共振频率为短管135的轴长为半波长的频率,电极-等离子体共振频率是电极组件12和等离子体一起共振的频率。在一个实施例中,短管135被截取为其共振频率为220MHz的长度,射频源功率发生器150选择为在210MHz下工作并且形成的电极-等离子体共振频率约为200MHz。
通过选择用于等离子体共振、短管共振以及源功率频率的这样三个不同频率,而不是三个一样的频率,系统有点“失谐”。因此具有较低的“Q”。使用较高VHF源功率频率同样按比例降低Q(除了根据适宜蚀刻的工作条件利用电极和等离子体电容的匹配)。
降低的系统Q展宽系统的阻抗匹配空间,以使其操作不易受等离子体条件变化或制造公差的偏差的影响。例如,电极-等离子体共振由于等离子体条件的变化而变化。Q较小,在短管135和对于阻抗匹配(在该实施例中前面描述的)所必须的电极-等离子体组合之间的共振随等离子体-电极共振中的给定变化的变化较小。结果,等离子体条件中的变化对阻抗匹配影响较小。特别是,等离子体操作条件中的给定偏差在射频发生器150的输出的VSWR中产生较小的增加。因此,可在等离子体工作条件更宽的窗口(压力、源功率电平、源功率频率、等离子体密度等)中操作反应器。而且,制造公差可放松可节约成本并在实现相同模式设计的反应器中实现统一的操作,这是明显优点。相关的优点在于相同的反应器具有足够宽的工艺窗口以用于执行不同的工艺配置以及不同的应用,如金属蚀刻、介质蚀刻和/或化学气相淀积。
            最小化短管特性阻抗以展宽工艺窗口
展宽调谐空间或降低系统Q的另一个选择是降低短管135的特性阻抗。然而,短管特性阻抗可以超过发生器输出阻抗,以保留足够的匹配空间。因此,系统Q可以减小到使短管135的特性阻抗超过信号发生器150的输出阻抗的量减小的程度。
同轴短管135的特性阻抗是内部导体140、外部导体145的半径和导体之间的绝缘体147的介电常数的函数。选择短管特性阻抗以在等离子体功率源150的输出阻抗和电极135的输入阻抗之间提供必需的阻抗变换。此特性阻抗处于最小特性阻抗和最大特性阻抗之间。改变短管135的特性阻抗来改变图2的波形,并因此改变接头160的期望的位置(即,它从短管135的最远端的位移A处)。短管135允许的最小化特性阻抗是图2的距离A为0时的值,以便接头160必须定位在与电极125相对的同轴短管135的最远端135a,以便在驻波电流和电压之间见到(see)50欧姆比值。该短管135允许的最大特性阻抗是图2的距离A等于短管135的长度时的值,以便接头160必须选择在邻近电极125的同轴短管135的近端135b处,以便在驻波电流和电压之间见到50欧姆比值。
在初始实施例中,同轴短管特性阻抗被选择大于(约30%)射频发生器150的输出阻抗,以提供足够的匹配空间。由于阻抗匹配条件通过选择接头点160位置获得,以满足下面方程,因此短管阻抗必须超过射频发生器输出阻抗:
Zgen=a2[Zstub 2plasma]
这里通过定位接头点确定a,并且a在0和1之间。(对应在远端135b和接头160之间的小部分短管135的电感与整个短管135的电感的比值。)由于a不能超过1,因此短管的特性阻抗必须超过发生器输出阻抗以找到前述方程的解。然而,由于系统Q直接与短管特性阻抗成比例,因此短管特性阻抗超过发生器特性阻抗的数值可以在某种程度上最小化,以保持实用的低Q。在示例性实施例中,短管的特性阻抗只超过发生器输出阻抗约15。
然而,在另一个实施例中,同轴短管特性阻抗可选择为小于等离子体功率源(发生器)输出阻抗以获得更大的功率效率,其中阻抗匹配有些降低。
             通过短管的阻抗变换获得提高的功率效率
如在本说明书中开始讨论的,适合等离子体蚀刻工艺的等离子体工作条件(例如,等离子体密度)导致具有极小实数(有功)部分(例如,小于3欧姆)和小虚数(无功)部分(例如,7欧姆)的等离子体阻抗。由于电极电容是使功率流入反应器那部分的主要阻抗,因此电容损耗在系统结合的电极-等离子体区域占主要部分。因此,在电极-等离子体结合中的功率损耗与在电极-等离子体结合上的电压成比例。相反,电感和电阻损耗在短管135占主要部分,这是因为短管135的电感和电阻是流入短管135的功率的阻抗的主要因素。因此短管135中的功率损耗与短管中的电流成比例。短管特性阻抗比由电极-等离子体结合提供的阻抗的实数部分大得多。因此,在较高的阻抗短管135中的电压将高于在较低的阻抗等离子体中的电压,而在较高的阻抗短管135中的电流将低于在较低的阻抗等离子体中的电流,其中电流高的电压低。因此,在短管135和等离子体-电极结合之间的阻抗变化在短管135中产生较高电压和较低电流(这里电阻和电感损耗是主要的并且这里这些损耗都被最小化)并且在等离子体/电极处产生对应的较低电压和较高电流(这里电容损耗是主要的并且这里这些损耗现在为最小)。以这种方式,系统中的整体功率损耗被最小化从而极大地提高了功率效率,一个明显的优点。在前面的实施例中,功率效率为95%或更高。
因此,结构如上描述的短管135不仅用于提供在很宽范围或窗口的工作条件下的阻抗匹配或在发生器和电极-等离子体阻抗之间的变换,而且还提供功率效率上的显著改进。
                        交叉接地
在晶片表面处的离子能量可独立于等离子体密度/顶电极功率而控制。这样的离子能量的独立控制通过对晶片施加高频频率偏压功率源来实现。此频率(典型为13.56MHz)明显低于对控制等离子体密度的顶电极施加的VHF功率。通过常规阻抗匹配电路210耦合到晶片支撑件105的偏压功率高频信号发生器210,对晶片施加偏压功率。偏压发生器200的功率电平控制接近晶片表面处的离子能量,并一般是等离子体源功率发生器150的功率电平的一部分。
如上所述,同轴短管135包括在外侧短管端,并在内部同轴短管导体140和外部同轴短管导体145之间提供短路的短路导体165。如图2中所示该短路导体165确定VHF驻波电流峰值和VHF驻波电压零位的位置。然而,由于短管共振和等离子体/电极共振的耦合,二者都处于或近似处于VHF源功率频率,因此短路导体165不会短路VHF施加功率。导体165确实表现为用于其它频率的接地短路,如施加到晶片的高频偏压功率源(从高频偏压发生器200)。其还短路掉(short out)较高的频率,例如在等离子体壳层中产生的VHF源功率频率的谐波(harmonics)。
晶片110和晶片支撑件105的结合,以及高频阻抗匹配电路210与匹配电路210连接的高频偏压功率源200提供非常低的阻抗或施加到顶电极125的VHF功率的近似接地短路。结果,系统交叉接地,通过顶电极125和短路同轴短管135,高频偏压信号返回地面,并且,通过穿过晶片的低阻抗路径(用于VHF),高频偏压阻抗匹配210与高频偏压功率发生器200,在顶电极135上的VHF功率信号被返回地面。
由于顶电极125的面积大,以及相对窄的电极到晶片间隙,在晶片平面和顶电极125平面之间的室侧壁的暴露部分,对施加到顶电极125的VHF功率的直接返回路径起到的作用很小或不起作用。实际上,室侧壁可通过使用磁隔离(magnetic isolation)或介质涂覆(dielectriccoating)或环形介质插入(dielectric insert)或可移动衬套(liner)与等离子体隔离。
为限定在垂直的电极到基座路径中以及远离室100其它部件如侧壁的来自顶电极125的VHF等离子体源功率的电流流动,有效的接地或在晶片110平面中的返回电极面积被扩大到超过晶片或晶片支撑件105的物理面积,以致其超过顶电极125的面积。这通过提供环状的半导体环115而实现,该半导体环115一般与晶片110共平面并围绕晶片110。半导体环115提供杂散电容至接地的室主体,并对来自顶电极的VHF功率信号,在晶片110的平面内,延伸“返回”电极的有效半径。半导体环115与接地的室主体通过介电环120绝缘。选择环120的厚度和介电常数以达到所需的通过晶片110与通过半导体环115的VHF接地电流比值。在一个实施例中,介电环120是石英,其介电常数为9,厚度为10毫米。
为限定来自偏压发生器200的高频等离子体偏压功率在晶片表面和电极125之间的垂直路径中的电流流动,并避免电流流到室的其它部位(例如,侧壁),顶电极135提供显著大于晶片区域或晶片支撑件105的有效的高频返回路径面积。在晶片支撑件105平面内的半导体环115在将高频偏压功率耦合到室中不起重要作用,以便用于耦合高频偏压功率的有效电极面积基本限定在晶片和晶片支撑件的面积。
                   等离子体稳定性的增强
通过消除将等离子体耦合到短路导体165的直流来增强等离子体的稳定性,短路导体165在短管135的背面穿过并连接内部和外部导体140、145。这是通过在同轴短管内部导体140和电极125之间提供薄电容环180来实现的。在图1的实施例中,环180夹在下部的电极125和导电环形内壳支撑176之间。在此处描述的示例性实施例中,电容环180的电容约为180皮法,根据选择的偏压频率,频率约为13MHz。具有电容值,电容环180不会阻碍上述交叉接地特性。在交叉接地特征中,在晶片基座上的高频偏压信号通过短管135返回到高频偏压发生器150的射频返回端,同时VHF来自电极125的源功率信号通过晶片基座被返回到VHF源功率发生器150的射频返回端。
图5是描述在VHF功率源和顶电极125之间作为频率函数的反射系数的曲线图。该图描述了反射系数在6dB下的很宽频率能带的存在,其是上面讨论的具有极大优点的低系统Q的表示。
图6描述作为沿同轴短管135的位置的函数的驻波电流(实线),其中接头160被设置在图2B中距离短管短路端距离A处。
图7描述反应器的可选实施例,其中同轴短管135的内部导体140是锥形的,其在邻近顶电极125的近短管端135b处的半径较大,而在远短管端135a的半径较小。此特征在由同轴短管135在接头160处的提供的低阻抗(例如,50)和由同轴短管135在顶电极125处提供的较高阻抗(例如,64)之间提供转换(transition)。同样,如图7所示,短管135不必是弯曲的但可以是直的。
期望工艺气体通过顶VHF源功率电极引入。为达到此目的,通过提供穿过顶电极的一排气体注入嘴(injection nozzle)或注入口,顶电极被赋予气体分布喷头的功能。因为中心导体被耦合到顶电极,工艺气体供给完全被从等离子体和电场保护起来。
在通过组合特征保持所有上述优点的同时,也避免了电弧放电和其它的电势问题,这些组合特征中的一个就是通过将顶电极电容性地与VHF调谐短管隔离,而使顶电极处于浮动直流电势状态。这是通过将介电膜放置在同轴调谐短管和顶电极之间实现的。此特征防止直流等离子体电流通过顶电极经调谐短管返回,也因此减少在顶电极内的气体注入孔中的电弧放电。
另一个减少电弧放电的特征是在等离子体和顶电极之间提供电容。为了这个目的,在顶电极的电极表面形成介电层,其面对等离子体。这可通过阳极化此类电极的表面实现,特别是电极中气体注入口的内表面。这个特征帮助消除等离子体在顶电极的气体注入口中的电弧放电。原因之一是阳极化的电极表面的电容提供电荷存储能力,其允许来自等离子体的射频电流的部分电荷被存储,而不是聚集到电极表面。在一定程度上,电荷因此偏离顶电极的气体注入口的表面,所以此处的等离子体激发得到避免。
除了避免等离子体在顶电极的气体注入口内电弧放电,电容性隔离顶电极的特征延长了电极的可使用寿命,这是因为在等离子体和电极之间其不产生净的直流电流,这是一个重要的优点。
为进一步减少等离子体在气体注入口电弧放电的危险,引入另一个特征,也就是在同轴调谐短管和电容层间的金属“泡沫”层,该电容层位于电极和同轴调谐短管之间。在一个实施例中,金属泡沫层的直径一般可与顶电极一起延伸。金属泡沫层是本领域内公知的商业上可得到的类型,其一般由具有随机微孔结构的铝基体构成。金属泡沫层的优点是其抑制电极附近的电场(即,在顶电极上方的高压罩(plenum)内),并因此减少顶电极上气体注入口内等离子体电放电的倾向。
金属泡沫层也用于阻挡引入的工艺气体以获得顶电极中的整个气体注入口阵列的均匀气体分布。顶壁中的气体注孔或口被分成径向内组和径向外组。一层金属泡沫层在第一气体源和口的外组之间阻挡气体,而另一层金属泡沫层在第二气体源和口的内组之间阻挡气体。工艺气体的径向分布流可通过独立调整两个气体源的气体流量来调整。
同轴调谐短管和顶电极提供用于施加到晶片支撑基座的高频偏压功率的接地低阻抗射频返回路径。然而,已经发现在同轴调谐短管和顶电极之间插入的新电容介电层可用于调整通过顶电极到特定高频频率的返回高频路径。选择VHF源功率频率(在顶电极上)的一个优点是如果调为高频频率,由于电容层(在顶电极和调谐短管之间的)是用于VHF频率的宽带的电短路,因此不会影响施加到顶电极的VHF信号。
起初,射频返回路径通过增加的电容层被调谐的窄高频频率通路集中在施加到晶片支撑基座的高频偏压源功率的频率。然而,通过选择该电容可解决外层产生谐波问题以调谐通过从顶电极到高频偏压功率信号的第二谐波高频返回路径。该选择的结果是在高频第二谐波显著影响本体等离子体之前,在接近顶电极的等离子体壳层中产生的高频第二谐波通过顶电极被分流到地。在一个实施例中通过此部件将蚀刻速率提高10%到15%。在该情况下,相信高频偏压信号的基频通过其它有效射频路径如室侧壁,返回到地。
如下面将详细描述的,选择用于在选择的高频频率下共振的该附加电容层(在顶电极和调谐短管之间)的电容不仅必须考虑在顶电极处的薄等离子体壳层而且还要考虑在晶片支撑基座处的厚等离子体壳层。
本发明的高效VHF等离子体源能保持足够高密度的等离子体以便其可用于周期性地彻底干洗室内部。如在说明书中采用的,术语“干洗”指不应用液态化学试剂而是应用等离子体的清洁工艺,以便真空外壳不必打开。由于用这种方法可彻底清除室的聚合物残余物,所以在晶片处理中,应将室表面保持在足够高的温度下以持续地蒸发其上淀积的任何聚合物沉积,以在整个处理过程中,保持室至少接近没有聚合物沉积。(相反,对于不能彻底清洁的反应器,必须控制等离子体条件以使沉积在室壁表面的聚合物连续粘附而不是将其清除,以避免污染工艺。)为了该目的,顶电极组件包括用于引入加热或冷却顶电极的液体的液体通路,及其外表面的温度控制。在处理过程中,等离子体条件(离子能量、壁温等)优选使室表面上无聚合物聚集。在清洁过程中,任何微小的聚集都被彻底地清除。
此类特征的一个优点是在在顶电极上或相邻顶电极上提供光学窗口,因为在处理期间,顶电极保持干净或没有聚合物淀积。因此,反应器性能可进行光学监视。因此,可选地顶电极包括接近其中心的光学窗口,并具有用于连接室外传感器的向上延伸的光纤线缆。等离子体工艺的光学监视器(optical monitor)可以用于执行终点(end-point)探测,例如,利用常规光测量技术,光学监视器可测量在等离子体蚀刻工艺中减小的层厚或在等离子体辅助(plasma assisted)化学气相沉积工艺中增加的层厚。
为解决从顶电极外露表面的材料进入等离子体并最终到达晶片或工件的污染问题,附加的外层被设置在顶电极下(等离子体面对的)表面上。该附加外层由与特定工艺相适应的材料构成。例如,在二氧化硅蚀刻工艺中,顶电极上的外层可为硅或碳化硅。一般地,在该外层设置前,顶电极等离子体面对的表面被阳极化,如上面提到的。
本发明另一发现是等离子体可显示比早先期望更大的电阻负荷阻抗变化和更小的电抗性负荷阻抗变化。特别是电阻负荷阻抗可变化到100∶1(而不是60∶1),而电抗负荷阻抗可仅仅变化20%(而不是35%)。该差异使同轴调谐短管的特性阻抗从65欧姆(高于射频发生器的50欧姆输出阻抗)减小到30欧姆(低于射频发生器的输出阻抗)。此减小在很小的效率变化下,获得了成比例增加的调谐空间。特别是,由于同轴短管特性阻抗的减小,在可通过调谐短管匹配的等离子体电阻负荷阻抗中的变化范围从60∶1增加到100∶1。同轴短管的特性阻抗通过其内导体和外导体半径确定。
为减小同轴调谐短管的覆盖区,用等效条线电路替代。同轴调谐短管的外导体成为作为覆盖反应器的金属盖的接地面,同时同轴调谐短管的中心导线成为条线导体。通过调整在条线导体和接地面(该盖)之间的距离来调整条线导体的特性阻抗。调谐器件(tuning device)的覆盖区被减小,由于,在同轴调谐短管沿直线延伸时,条线导体可在盖内侧环形卷绕,以此减小面积或覆盖区。同轴调谐短管的所有这些部件都保留在条线电路中。因此,以与上述的同轴调谐短管的长度的相同方式确定条线导体的长度。同样,沿条线导体长度用于提供连接VHF发生器的点或接头的位置与同轴调谐短管的接头一样。同样,条线导体是中空的并且各种设施(utilities)以与穿过同轴调谐短管中心导线的相同方式穿过条线导体提供。
                通过顶电极引入工艺气体
现参考图8和图9,顶电极125是气体分布喷头,因此在其面对工件支撑件105的下表面125a中,有大量气体注入口或小孔300。在示例性实施例中,口300的直径为0.01和0.03英寸之间并且他们的中心均匀间隔开约3/8英寸。在图8描述的实施例中,锥形金属外壳(conicalmetal housig)290的环形顶290a支撑同轴短管内导体140的近端140a,并且其环形底290b设置在铝顶电极125上。外壳290的锥形在顶电极125上方形成一大开放通风间(plenum),在开口中,各种用料可从中空同轴内导体140提供到顶电极125。如下面将更详细描述的,锥形外壳底290b邻近顶电极125的外周围,这使顶电极125的几乎所有上表面都可接近。
一般,口300由直径0.020的径向外组口302和直径0.010的径向内组口304组成。一般地,外组口302可延伸到晶片圆周外大约半个晶片直径,以保证在晶片边缘处的均匀气体流动。该特征的一个优点是工艺气体流的径向分布可以以这样的方式调整,以补偿图1-7的VHF电容耦合反应器产生大于晶片中心上和小于晶片边缘上的等离子体密度的趋势。在顶电极中的径向外金属泡沫层310覆盖孔302。覆盖外泡沫层310的径向外气体分布集管(manifold)或送气管(plenum)315经过轴向气体通道320,通过同轴调谐短管135的内导体140,耦合到气体供给线325。在顶电极125中的径向内铝泡沫层330覆盖口304。覆盖内泡沫层330的径向内气体分布集管或送气管335经过轴向气体通道340,通过同轴调谐短管135的内导体140,耦合到气体供给线345。该铝泡沫层310和330阻碍进入的工艺气体。工艺气体流量的径向分布通过单独选择在每一气体供给线325和345中的工艺气体流量来调整。
               气体注入口中的电弧放电的抑制
作为减少电弧放电一种方式,为在等离子体和顶电极之间提供一定的电容,顶电极下表面125a涂覆介电层。例如,顶电极125为铝并通过阳极化电极底面125a形成介电涂层。这样的阳极化不只在平坦的底表面125a形成非常薄的介电涂层而且还在气体注入口300的内表面上形成非常薄的介电涂层。该特征趋于通过提供电荷存储能力抑制在气体注入口中的电弧放电,该电荷存储能力可补偿流到顶电极125的射频等离子体电流。图10是对应图8的显示临近一个气体入口300所形成的精密结构的局部放大视图。特别地,通过阳极化形成的铝氧化层350覆盖电极底表面125a并覆盖气体注入口300的内表面。
为抑制接近顶电极125的电场,顶电极125的顶表面125b覆盖有较厚(0.25英寸)的铝泡沫层355。该厚铝泡沫层355易于在轴向(垂直)将电势保持在顶电极恒量附近,由此抑制导致在气体注入口300附近等离子体电弧放电的电场。
为防止D.C等离子体电流通过顶电极流到同轴短管中心导线140,在顶电极125和导电外壳290的底290b之间设置薄绝缘层360,导电壳290将顶电极125连接到同轴中心导线140。该特征使顶电极的D.C电势浮动。由此在顶电极125和导电外壳底290b之间形成电容器。通过底290b的面积和厚度以及薄绝缘层360的介电常数确定该电容器的电容。选择此电容器的电容以在特定高频频率下提供窄共振或低阻抗路径,同时提供穿过整个VHF带的射频短路。在该方式中,顶电极125提供用于施加到晶片支撑基座105的高频偏压功率的返回路径,但不影响顶电极125在VHF源功率频率下的行为。由此,阻止将流到顶电极的D.C等离子体电流,由于此D.C电流有利于电弧放电,因此在气体注入口300中的等离子体电弧放电被抑制。
总之,通过在顶电极125的底部或气体注入口300的内表面形成介电涂层350,通过在顶电极125的顶部提供铝泡沫层355,以及通过在顶电极125和导电壳290之间放置一薄的绝缘层360,在气体注入口300内的等离子体电弧放电被抑制。
               抑制等离子体壳层产生谐波
薄绝缘层360可以起到抑制施加到晶片支撑基座105的高频偏压信号的等离子体外层产生谐波的作用。谐波的出现降低工艺性能,特别是降低蚀刻速率。通过选择绝缘层360的电容确定(capacitance-determing)特性(即,介电常数和厚度),从穿过顶电极125和同轴内导体140的等离子体的返回路径被调整为在特定高频频率下共振(因此具有很高容差)。同时用于该共振频率的一个选择是施加到晶片支撑基座105的高频偏压信号的基频,本发明发现,通过选择此共振为偏压信号的第二谐波可将蚀刻速率提高10%到15%。获得这样有利的结果是由于通过等离子体壳层提供的非线性负载产生的谐波通过由顶电极和同轴中心导线140提供的低阻抗通过电容层360快速返回到地。
选择电容器层360的厚度以调整通过顶电极125到特定高频频率的返回路径,该选择受多个因素影响,包括在顶电极125处的薄等离子体壳层的电容、在晶片支撑基座105处的厚等离子体外壳层的电容以及等离子体自身的电容。如果给出特定等离子体工作条件,包括试验和误差,技术人员可采用多种常规技术以找到电容器层360的正确厚度以获得在选择高频频率的共振。
                  电极表面的温度控制
在氧化物蚀刻反应器中,聚合物淀积是严重问题,因为工艺气体必须能在包括工件上的含非氧化物的表面上,形成聚合物层,以获得在二氧化硅材料和不会被蚀刻的其它材料之间的合适的蚀刻选择性。在使用碳氟化合物气体的等离子体处理中,较简单的氟离子和氟基执行蚀刻,而在工件以及所有反应器室壁上,富碳物质淀积所有不含氧材料以外的聚合物。为避免工件被从室内表面落到等离子体中的聚合物颗粒的污染,这些表面必须保持足够低的温度,并且等离子体电子能量必须保持足够低以避免破坏室内表面的这种沉积。可选地,必须破坏室真空并执行化学清洗步骤以除去这种沉积,此步骤极大地降低了反应器生产率。
参照图1描述的电容耦合VHF源为高效的,因此可在非化学清洗步骤中,产生足够高的等离子体密度以彻底清除在晶片处理过程中室内表面沉积的任何聚合物残余物。在此清洗步骤中,通常的等离子体工艺气体可被更易挥发的气体(例如,易于产生具有非常高的游离的氟成分的等离子体)取代。由于没有液态化学试剂需要引入到室内,该室可保持封闭以便快速经常性地执行清洗步骤以保持室中没有聚合物沉积。因此,图8的反应器的一个工作模式是室表面温度和等离子体离子能量足够大以避免在室内表面上的聚合物聚集的工作模式。
出于该目的,图8的反应器包括在顶电极125上的通道670(用于热传导流体)。在图8的实施中,流体通道670在上铝泡沫层355和顶电极125的上表面之间形成。可选地,该通道可完全在顶电极125中内部形成。温度控制流体或气体从穿过中空的内部同轴导体140的流体供给线675提供给流体通道670。因此,顶电极125的温度可准确控制。通过这种电极温度的准确控制和控制其它等离子体处理参数,例如,等离子体离子能量,反应器可以沉积模式(deposition mode)(其中表面足够冷以聚集聚合物)或以耗尽模式(depletion mode)(其中表面足够热以使等离子体离子从表面撕裂聚合物由此避免聚合物聚集)工作。一种希望的模式是耗尽模式,因为该耗尽模式较好地避免了颗粒污染。
                 等离子体工艺的光学监视
由于可操作图8的反应器以使室内表面上没有聚合物沉积,可在顶电极125的底表面提供光学窗口680。光通道如光纤或光导管(lightpipe)685连接到光学窗口680的一端并穿过中空的内部同轴导体140。导光管685连接到在外端的常规光探测器。
借助此特征,终点检测和其它测试可使用这样的光学探测器执行。特别是探测器687使用公知的光学技术,测试工件或半导体晶片110上选择的层。在蚀刻工艺中,例如,在被蚀刻的材料厚度减小到,通过探测器687测定的预定厚度后,工艺停止。
                     防止污染
由于室内表面可保持没有聚合物沉积,它们始终暴露在等离子体下。特别是,铝顶电极125的下表面连续地受到等离子体的攻击,因此容易将铝掺杂到等离子体中,导致工件污染并因此使工艺失败。为防止这种问题,顶电极125被阳极化的底表面,涂覆有与工艺相容的材料如硅或碳化硅。因此,如图10和11A所示,薄的碳化硅薄膜覆盖铝顶电极125的阳极化的底表面。薄的硅和碳化硅薄膜690防止等离子体攻击电极125的铝材料。等离子体清除来自含硅薄膜690的材料,因此由于该物质(硅或碳)已存在等离子体和/或工件中能与工艺相容,所以引入到等离子体中的该物质不会污染工艺。在等离子体中提供硅,其中碳化硅已被蚀刻。碳在等离子体中,其中碳氟化合物气体被用作工艺蚀刻气体。
在可选实施例中,顶电极不被阳极化,并且碳化硅薄膜690形成在顶电极125的纯铝表面上。
              增加同轴调谐短管的调谐空间
等离子体可展示更大的电阻性负荷阻抗变化和更小的电抗性负荷阻抗变化。特别是电阻负荷阻抗可变化到100∶1(而不是60∶1),而电抗负荷阻抗可仅仅变化20%(而不是35%)。该差异使同轴调谐短管的特性阻抗从65欧姆(高于射频发生器的50欧姆输出阻抗)减小到30欧姆(低于射频发生器的输出阻抗)。此减小在很小的效率变化下,获得了成比例增加的调谐空间。特别是,由于同轴短管特性阻抗的减小,在可通过调谐短管匹配的等离子体电阻负荷阻抗中的变化范围从60∶1增加到100∶1。同轴短管的特性阻抗通过其内导体和外导体的半径确定。
                       结果
因此,本发明提供一种等离子体反应器,该反应器对工作条件的变化和/或制造公差的变化较不敏感。相信这些主要优点包括对工作条件的不敏感-即用于阻抗匹配的宽调谐或宽频率空间-归结于结合工作的多个反应器部件特征,其中包括具有在最期望的等离子体工艺密度下,具有等离子体负电容数值的匹配或近似匹配的顶反应电极,VHF源功率频率匹配或近似匹配等离子体-电极共振频率的使用;VHF源功率频率、等离子体-电极共振频率和短管共振频率之间的接近关系(close relationship);等离子体-电极共振频率、短管共振频率、和源功率频率互相偏移;以及共振短管匹配以将源功率耦合到顶电极的使用,例如,源功率输入接头160稍微偏离理想匹配位置。
偏移等离子体、短管和源功率频率通过实际上解谐系统来拓宽系统的调谐空间。使用短管匹配通过与更宽的频率范围匹配来拓宽调谐空间。使调谐短管接头点160偏移理想匹配点进一步优化系统以拓宽调谐空间,由于这个特征具有当输出功率下降时增加(add)电流,当输出功率增加时减小(subtract)电流的效果。用更高(VHF)源功率频率使系统Q减小或使调谐空间与源功率频率成比例地增加。更重要的是,该选择使电极-等离子体共振与源功率频率在适合蚀刻工艺的等离子体密度下匹配。
由于本发明使反应器在更宽工艺窗口的工作条件基本保持不变,其提供反应器的三种优点,此三种优点是:(a)在工艺条件偏差的更宽范围的可操作性,(b)在更宽范围应用(不同工艺配方(process recipe))的适应性,以及(c)在更宽范围内,其性能实质上不受制造公差的影响,以便反应器-到反应器的特性是一致的。
因此,已经获得更好的结果。特别是,系统Q在一些情况下,最小化至约5,以在相同模式的不同反应器中保持特征和性能的更高度的一致性,以改进工艺窗口。与只有2kW的源功率一致,获得1012离子每立方厘米数量级的高等离子体密度。系统支持源功率电平最低为10W的无变换的压力范围在10mT到200mT的等离子体。该匹配同轴短管的短路阻抗,短路掉寄生VHF等离子体壳层谐波,同时获得高于95%的功率效率,同轴短管与VHF等离子体和源功率频率近似而共振。该系统容许等离子体60∶1的电阻负载变化和1.3到0.75的电抗负载变化,同时将源功率SWR保持在小于3∶1。
相信这提高了容许负载变化的能力,因此拓宽了工艺窗口,这主要因为(a)通过在电极125和其导电支撑的介电值(dielectric value)的合适选择,以及VHF源功率频率的合适选择,实现了在上述设计的工作条件下的电极和等离子体电容的匹配;并且(b)具有最优接点定位的特别结构的同轴短管,通过在低负载情况下将接点电流增加进短管电流,而在高负载情况下从短管电流中分出。相信极高功率效率主要由于通过同轴短管提供的阻抗变化获得,这最小化了在发生器连接处和电极连接处的反射损耗,这是由于在短管共振频率和电极-等离子体共振频率之间获得了匹配,以及为在电阻损耗占主导地位的同轴短管中实现低电流和高电压,在电容损耗占主导地位的电极/等离子体处实现高电流低电压的最优接点定位。在避免或最小化常规阻抗匹配设备的需要的同时,可获得所有这些好处。
在已经对适于硅和金属蚀刻的本发明实施例进行了详细描述的同时,反应器也有利于等离子体工作条件的选择,而不仅仅是上面描述的那些,这些工作条件包括:不同离子密度、不同等离子体源功率电平、不同室压。这些变化将产生等离子体电容,需要不同的电极电容和不同的电极-等离子体共振频率,因此需要不同于上面描述的不同等离子体源功率频率和短管共振频率。同样,不同晶片直径和不同等离子体工艺如化学气相淀积,可以具有用于源功率和室压的不同操作模式。然而相信在这些不同的应用中,反应器一般将增强工艺窗口和稳定性,正如上面的实施例描述的那样。
                紧凑VHF固定调谐元件
参考关于图1-7的描述,图1和8的同轴调谐短管是在较大的调谐空间上提供阻抗匹配的固定调谐元件。然而,由于其延长的线性设计,其覆盖区实际上大于等离子体反应器室。在其中这方面不适合的那些位置,图1和8的同轴调谐短管被等同的条线电路取代,如图1 2、13、14中描述。VHF发生器50欧姆的同轴输出连接器(connector)的外部导体连接到覆盖反应器顶的外壳715的金属顶壁710。该导电顶壁710用作条线导体700面对的接地面(ground plane)。条线导体700的截面一般为椭圆,其较宽的侧面面对接地平顶(ground plane ceiling)710。条线导体的特性阻抗由其离接地平顶710的距离确定。条线导体700沿其整个长度距离接地平顶710的距离是均匀的。
在示例性实施例中,条线导体0.125英寸高、2.5英寸宽并设置在离接地平顶710下0.5英寸处。通过使条线导体700的较宽(2.5英寸)侧面面向接地平顶710,电流在条线导体700的整个2.5英寸宽度的分布更分散(distributed),由此减少在大部分电流出现的外表面中的电阻损耗。条线导体700的长度以与上面参照图1描述的确定同轴调谐短管135的长度的相同方式确定。而且,沿条线导体700的长度的射频接点160的设置也与上面参照图1描述的沿同轴调谐短管135的长度的设置射频接点的相同方式设置。最后,离顶电极125最远的图12的条线导体的端部短路接地,与图1的同轴短管内导体140的对应端一样。在条线导体700的情况,通过将远端700a连接到接地平顶710,实现短路接地,如图13中所示。
与图1-8的同轴调谐短管135相同、条线导体700的长度等于固定的调谐元件的共振频率的1/4波长,在这种情况下,条线电路包括条线导体700和接地平顶。因此,条线导体700的长度选择如上面参考图1-7描述的同轴调谐短管135长度的选择完全一样。在一个实施例中,该长度约为29英寸。图12的射频接点160在沿条线导体700长度上的特定点处将VHF发生器连接到条线电路,正如图1中的射频接点160获得沿同轴调谐短管135的对应连接一样。在图12的情况下,VHF发生器输出同轴连接器的中心导线在连接点160处被连接到条线导体,同时VHF发生器输出同轴导体的外部导体在上覆接头点的点,连接到接地平顶,接点连接到条线导体。确定沿条线导体700长度的图12的接头点160的位置与确定同轴短管的图1的接头的位置的方式相同,如上述参考图1详细描述的。有了此特征,包括条线导体70和接地平顶的条线电路以与图1的同轴调谐短管的相同方式工作,包括图1中描述的特征,其中通过将接头点160稍微偏离理论最优位置,阻抗匹配空间可容许100∶1的负荷阻抗变化。如上面图1的描述,接头160的理论最优位置是在沿调谐短管135长度(或,等同地,沿图12的条线导体700的长度)的一点处,在此处驻波电压和电流的比值等于VHF发生器的输出阻抗或其间连接的同轴电缆的特性阻抗。此发现参考图1的描述是通过将接头160偏离理论最优位置处约5%,阻抗匹配空间获得很大的扩展。因此,图12的条线导体电路提供图1的同轴调谐短管的所有优点和功能,并且进一步增加了紧凑的优点。
与图8的同轴短管的内导体140相同,图12的条线导体700是中空的以容纳连接到电极125的设施管线(utility line),并连接到锥形外壳290的顶表面290a。条线导体700(优于图1和8的同轴调谐短管)的优点是条线导体700可在外壳715中以环形方式延伸以在不延伸超过反应器室的“覆盖区”下实现其必须的长度。
确定条线导体的长度的方式与上面参考图1描述的确定同轴调谐短管的长度的方式一样。通过调整条线导体700离接地平顶710的位移确定条线导体700的阻抗。如上所述,此阻抗最好选择约为30欧姆,或小于VHF发生器的输出阻抗。沿条线导体700的长度接头160距离VHF发生器150的定位与上面参考图1描述的同轴调谐短管上的射频接头160的定位一样。条线导体700结合接地平顶710执行图1和8中的同轴调谐短管的相同的功能,并提供如上面参考图1描述的相同的性能优势。
虽然图13的俯视图显示的实施例的条线导体700是沿近似正方形的路径(具有圆形中心)卷绕的,图14描述的另一个实施例中,条线导体700是环形卷绕的。
           通过调谐元件提供的设施(utilities)
如上面参照图8和12的描述,图8的同轴调谐短管内导体140和图12的条线导体700都是中空的以容纳顶电极的各种设施的线路,如图8和12中显示的,外部气体供给线325连接到外部气流控制器800,内部气体供给线345连接到内部气流控制器810,光纤或光导管685连接到光探测器687,并且加热/冷却线675连接到加热/冷却源控制器830。
固定的调谐元件135或者是同轴调谐短管(如图1和8的实施例中)或者是条线电路(如图12和14的实施例中)。天线设计者(antennadesigner)将认可两个实施例中的固定调谐元件提供的阻抗匹配具有相同功能,该阻抗匹配是在射频发生器的特性输出阻抗和电极/等离子体结合的阻抗之间匹配。两个实施例的固定调谐元件(或,等同地,固定阻抗匹配元件)共享共有的结构特征,包括使用中心导线(图12中的条线导体或图8中的内部同轴导体)和接地导体(图21中的接地平顶或图8的接地外部同轴导体)。在两种情况下,阻抗匹配元件的特性阻抗通过两个导体之间的距离确定,而阻抗匹配元件的输出阻抗通过沿中心导线到射频发生器的连接的位置来确定。同样,中心导线是中空的,因此用作用于气体供给线和热导流体(heat-conductive fluid)供给线的射频屏蔽导管。最重要的公有特征是两个实施例的阻抗匹配元件在结构上都是物理固定的,因此需要不移动部件或智能控制器,这是显著的优点。其它相关优点已经描述。因此,两个实施例的固定阻抗匹配元件可通称为具有空心的中心导线的固定双导体阻抗匹配元件。
虽然参照多个优选实施例对本发明进行了说明,应该理解在不偏离本发明真实精神和范围的情况下,可对本发明做各种改变和改进。

Claims (70)

1.处理半导体工件的等离子体反应器,包括:
反应室,其具有室壁并包括用于夹持所述半导体工件的工件支撑件;
顶电极,其在所述工件支撑件的上方,所述电极包括所述室壁的一部分,所述电极中具有多个气体注入孔,所述气体注入孔一般面对所述工件支撑件;
射频功率发生器,用于在所述发生器的频率向所述顶电极提供功率并能在所述室中将等离子体维持在期望的等离子体离子密度水平;
所述顶电极,具有同等离子体在电极-等离子体共振频率形成共振的电抗,所述电极-等离子体共振频率是所述发生器的所述频率或接近所述发生器的所述频率;
第一绝缘层,其在所述顶电极表面形成,所述顶电极面对所述工件支撑件。
2.如权利要求1所述的反应器,进一步包括:
在所述射频功率发生器和所述顶电极间的第二电容性绝缘层。
3.如权利要求2所述的反应器,进一步包括:
金属泡沫层,其覆盖所述顶电极表面且与其接触,所述顶电极面对并远离所述工件支撑件。
4.如权利要求1所述的反应器,进一步包括含硅涂层,其覆盖在所述第一绝缘层上。
5.如权利要求4所述的反应器,其中所述含硅涂层包括硅或碳化硅中的一种。
6.如权利要求3所述的反应器,其中所述第一绝缘层提供的电容足以抑制所述气体注入口内的电弧放电。
7.如权利要求6所述的反应器,其中所述第二电容性绝缘层具有足够大的电容以阻挡来自所述室内等离子体的直流电流流过所述顶电极。
8.如权利要求7所述的反应器,其中所述金属泡沫层具有足够厚的厚度以抑制所述气体注入孔内的轴向电场。
9.如权利要求1所述的反应器,其中所述顶电极包括铝,且所述绝缘层是由阳极氧化形成的。
10.如权利要求2所述的反应器,其中所述第二电容性绝缘层形成电容,其提供用于等离子体壳层生成谐波的通过所述顶电极的低阻抗接地路径。
11.如权利要求1所述的反应器,进一步包括:
所述顶电极的气体入口;
在所述气体入口和至少第一套所述气体注入孔之间的所述顶电极内的气体阻挡层。
12.如权利要求11所述的反应器,其中所述气体阻挡层包括金属泡沫层。
13.如权利要求9所述的反应器,进一步包括:在所述顶电极内的热控制流体通道。
14.如权利要求13所述的反应器,进一步包括:光学窗口,其在一般面对所述晶片支撑件的所述顶电极中,以及光载介质,其耦合到所述窗口并延伸通过所述顶电极。
15.如权利要求1所述的反应器,其中所述等离子体具有电抗并且所述电极的电抗对应所述等离子体的电抗。
16.如权利要求15所述的反应器,其中所述电极的电抗与所述等离子体的电抗共轭。
17.如权利要求15所述的反应器,其中所述等离子体的电抗包括负电容,并且其中所述电极的电容与所述等离子体的所述负电容量级相同。
18.如权利要求1所述的反应器,其中所述射频发生器的所述频率和所述电极-等离子体的共振频率是VHF频率。
19.如权利要求18所述的反应器,其中所述等离子体电抗是所述等离子体离子密度的函数,并且所述等离子体离子密度支持所述反应器选择的等离子体工艺。
20.如权利要求19所述的反应器,其中所述等离子体工艺是等离子体蚀刻工艺,并且其中所述等离子体离子密度在约109离子每立方厘米到约1012离子每立方厘米的范围。
21.如权利要求1所述的反应器,进一步包括:固定阻抗匹配元件,其连接在所述发生器和所述顶电极之间,所述固定阻抗匹配元件具有匹配元件共振频率。
22.如权利要求21所述的反应器,其中所述匹配元件共振频率和所述电极-等离子体共振频率互相偏移,并且所述发生器的频率处于所述电极-等离子体共振频率和所述匹配元件共振频率之间。
23.如权利要求22所述的反应器,其中所述发生器的所述频率,所述等离子体频率和所述匹配元件共振频率都是VHF频率。
24.如权利要求21所述的反应器,其中所述固定阻抗匹配元件包括:
条线电路,其具有临近所述顶电极的近端,所述近端用于将所述射频功率发生器的功率耦合到所述顶电极,并且提供它们之间阻抗转换,所述条线电路包括:
条线导体,其一般在所述顶电极上方并且连接到所述顶电极的近端;
接地面导体,其在所述顶电极上方沿其长度方向与所述内导体间隔开,并且连接到所述射频功率发生器的射频返回电势;
接头,其沿所述条线导体长度处于一个选择位置,所述接头包括:在所述条线导体和所述射频功率发生器的输出端之间的连接。
25.如权利要求24所述的反应器,其中所述接地面导体包括上覆所述顶电极的外壳的顶壁,在所述外壳中并且所述顶壁下沿环绕路径形成的所述条线导体。
26.如权利要求25所述的反应器,其中所述条线导体是中空的,所述反应器进一步包括:
气体供给管线,其延伸通过所述中空条线导体,用于向所述顶电极中的气体注入孔供应工艺气体。
27.如权利要求26所述的反应器,进一步包括:
流体通道,其位于所述顶电极内或其上,用于容纳热导流体流;
流体供应线,其延伸通过所述中空条线状导体,用于供应热导流体到所述流体通道。
28.如权利要求24所述的反应器,进一步包括:短路导体,其将所述条线状导体远端连到所述接地面导体。
29.如权利要求25所述的反应器,其中在所述近端和远端之间的所述条线状导体的长度等于所述条线状电路的所述匹配元件共振频率的四分之一波长的整数倍。
30.如权利要求29所述的反应器,其中所述整数倍是两倍,由此,所述条线状导体的所述长度是所述匹配元件共振频率的半波长。
31.如权利要求30所述的反应器,其中所述射频功率发生器的所述频率、所述匹配元件共振频率和所述电极-等离子体共振频率都是VHF频率,它们互相偏移。
32.如权利要求25所述的反应器,其中所述选择的位置是沿所述条线状导体长度方向上的位置,在此位置,在所述条线状电路中的驻波电压与驻波电流的比值至少约等于所述射频功率发生器的输出阻抗。
33.如权利要求25所述的反应器,其中所述接头的所选的位置偏离理想位置,在此理想位置,所述比值等于所述输出阻抗,只要在所述顶电极的所述负荷阻抗降到标称水平以下,所述偏离足够实现在所述接头中的电流的增加,并且只要在所述顶电极的所述负荷阻抗增加超过标称水平,所述偏离足够实现在所述接头中的电流的减小。
34.如权利要求33所述的反应器,其中所述对所述理想位置的偏离量约为所述射频发生器的VHF频率的一个波长的5%。
35.如权利要求34所述的反应器,其中所述对所述理想位置的偏离量足以使在电阻性匹配空间中实现至少6∶1的增加。
36.如权利要求24所述的反应器,其中所述条线状电路所述特性
阻抗比所述射频功率发生器的所述输出阻抗约小30%。
37.如权利要求21所述的反应器,其中所述条线状电路具有特性阻抗,其比所述射频功率发生器的输出阻抗小。
38.如权利要求21所述的反应器,进一步包括:绝缘密封件,其在所述顶电极和所述室壁余下部分之间,所述绝缘密封件的介电常数和所述顶电极的面积的值足以使在所述室内的等离子体与所述顶电极在所述电极-等离子体共振频率,共振。
39.如权利要求25所述的反应器,其中所述条线状导体具有椭圆形的横截面,所述条线状导体的所述椭圆形主表面面对所述接地面导体。
40.如权利要求21所述的反应器,进一步包括:高频偏压功率发生器和连接在所述高频偏压功率发生器和所述晶片支撑件之间的阻抗匹配电路,其中连到所述电极的所述射频功率发生器的频率、所述电极-等离子体共振频率和所述匹配元件共振频率是VHF频率。
41.如权利要求40所述的反应器,其中所述晶片支撑件为VHF功率提供射频返回路径,所述VHF功率从所述顶电极耦合到所述室。
42.如权利要求40所述的反应器,进一步包括:
薄绝缘层,其在所述顶电极和所述条线状导体间,所述薄绝缘层提供足够大电容以阻挡直流电流从所述室内的等离子体流过所述顶电极。
43.如权利要求42所述的反应器,其中由所述薄绝缘层提供的所述电容在选择的高频,形成一个共振,以便电流从所述室经过所述顶电极流到所述条线状导体。
44.如权利要求43所述的反应器,其中所述共振的所述选择的高频等于所述高频偏压功率发生器的基频的等离子体壳层产生的谐波。
45.如权利要求22所述的反应器,进一步包括:半导性环状环,所述环状环围绕所述晶片的周边,所述环延伸提供给从所述顶电极耦合到所述室的VHF功率有效的返回电极面积。
46.如权利要求45所述的反应器,进一步包括:支撑所述环并将所述环与所述室壁绝缘的绝缘环面,所述环的介电常数决定VHF功率返回电流在所述晶片支撑件和所述半导体环间的分配。
47.如权利要求46所述的反应器,其中用于VHF功率从所述顶电极耦合到所述室的有效返回电极面积超出所述顶电极的面积。
48.如权利要求25所述的反应器,其中所述顶电极和所述条线状电路的组合为从所述晶片支撑件耦合到所述室的高频功率提供射频返回路径,所述顶电极具有大于所述晶片支撑件的面积。
49.如权利要求48所述的反应器,进一步包括:电容性元件,其在所述顶电极和所述固定阻抗匹配元件之间,所述电容性元件具有足够电容以在所述等离子体和所述固定阻抗匹配元件之间提供直流隔离。
50.如权利要求49所述的反应器,其中所述电容元件的电容使所述射频返回路径在选择的高频共振。
51.如权利要求50所述的反应器,其中所述频率是偏压功率发生器基频的谐波。
52.一种用于处理半导体工件的等离子体反应器,其包括:
反应室,其具有室壁并包括用于夹持所述半导体工件的工件支撑件;
顶电极,其在所述工件支撑件的上方,所述电极包括所述室壁的一部分;
射频功率发生器,其在所述发生器的频率,向所述顶电极供应功率,并且能够将所述室内的等离子体保持在期望的等离子体离子密度水平;
所述顶电极具有电容,以便所述顶电极和形成在所述室中的等离子体在期望的等离子体离子密度,在电极共振频率共振,所述发生器的所述频率至少在所述电极-等离子体共振频率附近;
绝缘层,其形成于所述顶电极表面,所述顶电极面对所述工件支撑件;
电容性绝缘层,其在所述射频功率发生器和所述顶电极之间;
金属泡沫层,其覆盖所述顶电极且与其接触,所述顶电极面对并远离工件支撑件。
53.如权利要求52所述的反应器,其中:
所述绝缘层提供的电容足够抑制所述气体注入口内的电弧放电;
所述电容性绝缘层具有足够大的电容以阻挡来自所述室内等离子体的直流电流流过所述顶电极;以及
所述金属泡沫层具有足够厚的厚度以抑制所述气体注入孔内的轴向电场。
54.如权利要求53所述的反应器,进一步包括:覆盖在所述绝缘层上的含硅涂层。
55.如权利要求54所述的反应器,其中所述含硅涂层包括硅或碳化硅中的一种。
56.如权利要求53所述的等离子反应器,进一步包括:固定阻抗匹配元件,其连接在所述发生器和所述顶电极之间,所述固定阻抗匹配元件具有匹配元件共振频率。
57.如权利要求56所述的反应器,其中所述固定阻抗匹配元件包括条线状电路。
58.如权利要求56所述的反应器,其中所述固定阻抗匹配元件包括同轴调谐短管。
59.如权利要求56所述的等离子体反应器,其中所述发生器的所述频率位于所述电极-等离子体共振频率和所述匹配元件共振频率之间。
60.如权利要求39所述的等离子体反应器,其中每个所述的频率是VHF频率。
61.一种处理半导体工件的等离子体反应器,其包括:
反应室,其具有室壁,并包括用于夹持所述半导体工件的工件支撑件;
顶电极,其在所述工件支撑件之上;
射频功率发生器和耦合在所述顶电极和所述射频功率发生器之间的阻抗匹配元件;
绝缘层,其形成在所述顶电极表面,所述顶电极面对所述工件支撑件;
电容性绝缘层,其在所述阻抗匹配元件和所述顶电极之间;
金属泡沫层,其覆盖所述顶电极并与其接触,所述顶电极面对并远离所述工件支撑件。
62.如权利要求61所述的反应器,其中:
所述绝缘层提供的电容足够抑制在所述气体注入口内的电弧放电;
所述电容性绝缘层具有足够的电容以阻挡来自所述室内等离子体的直流电流流过所述顶电极,同时,在所述射频功率发生器的频率,提供低阻抗路径;以及
所述金属泡沫层具有足够厚的厚度以抑制所述气体注入孔内的轴向电场。
63.如权利要求61所述的反应器,进一步包括:含硅涂层,其覆盖所述绝缘层。
64.如权利要求63所述的反应器,其中所述含硅涂层包括硅或碳化硅中的一种。
65.如权利要求61所述的反应器,其中所述固定阻抗匹配元件包括条线状电路。
66.如权利要求61所述的反应器,其中所述固定阻抗匹配元件包括同轴调谐短管。
67.如权利要求65所述的反应器,其中所述条线状电路包括中空条线状导体,所述中空条线状导体连到所述射频功率发生器并延伸至在所述顶电极上的所述电容性绝缘层,所述反应器进一步包括:
至少一条气体供给管线,所述气体供给管线延伸通过所述中空条线状导体,并且被耦合到所述顶电极的所述气体注入孔。
68.如权利要求66所述的反应器,其中所述同轴调谐短管包括中空内部导体,所述中空内部导体连到所述射频功率发生器并延伸至在所述顶电极上的所述电容性绝缘层,所述反应器进一步包括:
至少一个气体供给管线延伸,其通过所述中空内部导体并被耦合到所述顶电极的所述气体注入孔。
69.一种用于处理半导体工件的等离子体反应器,其包括:
反应室,其具有室壁和用于夹持所述半导体工件的工件支撑件;
顶电极,其在所述工件支撑件上方,所述电极具有多个气体注入孔,所述气体注入孔一般面对所述工件支撑件;
射频功率发生器和阻抗匹配元件,所述阻抗匹配元件耦合在所述顶电极和所述射频功率发生器之间;
绝缘层,其在所述顶电极表面上形成,所述顶电极面对所述工件支撑件;
电容性绝缘层,其在所述射频功率发生器和所述顶电极之间,因此,所述顶电极从所述等离子体和所述射频功率发生器电容性地隔离。
70.一种用于处理半导体工件的等离子体反应器,其包括:
反应室,其具有室壁和用于夹持所述半导体工件的工件支撑件;
顶电极,其在所述工件支撑件上方,所述电极具有绝缘表面和多个气体注入孔,所述气体注入孔一般面对所述工件支撑件;
射频功率发生器,其用于在所述发生器频率,供应功率至所述顶电极,并且能够在期望的等离子体离子密度水平,将等离子体维持在所述室内;
固定阻抗匹配元件,其连接在所述发生器和顶电极之间;
电容性绝缘层,其在所述匹配元件和所述顶电极之间;
金属泡沫层,其覆盖所述顶电极并且与其接触,所述顶电极面对并远离所述工件支撑件。
CNB028255283A 2001-12-19 2002-09-25 抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器 Expired - Lifetime CN100341107C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/028,922 US7030335B2 (en) 2000-03-17 2001-12-19 Plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US10/028,922 2001-12-19

Publications (2)

Publication Number Publication Date
CN1606794A CN1606794A (zh) 2005-04-13
CN100341107C true CN100341107C (zh) 2007-10-03

Family

ID=21846242

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB028255283A Expired - Lifetime CN100341107C (zh) 2001-12-19 2002-09-25 抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器

Country Status (7)

Country Link
US (1) US7030335B2 (zh)
EP (1) EP1459351A2 (zh)
JP (1) JP4902941B2 (zh)
KR (1) KR100903535B1 (zh)
CN (1) CN100341107C (zh)
TW (1) TW589659B (zh)
WO (1) WO2003055287A2 (zh)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8617351B2 (en) 2002-07-09 2013-12-31 Applied Materials, Inc. Plasma reactor with minimal D.C. coils for cusp, solenoid and mirror fields for plasma uniformity and device damage reduction
US8048806B2 (en) 2000-03-17 2011-11-01 Applied Materials, Inc. Methods to avoid unstable plasma states during a process transition
US20070048882A1 (en) * 2000-03-17 2007-03-01 Applied Materials, Inc. Method to reduce plasma-induced charging damage
US7196283B2 (en) * 2000-03-17 2007-03-27 Applied Materials, Inc. Plasma reactor overhead source power electrode with low arcing tendency, cylindrical gas outlets and shaped surface
TWI283899B (en) 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
JP4370789B2 (ja) * 2002-07-12 2009-11-25 東京エレクトロン株式会社 プラズマ処理装置及び可変インピーダンス手段の校正方法
JP4584565B2 (ja) * 2002-11-26 2010-11-24 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JP4753276B2 (ja) * 2002-11-26 2011-08-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US7534363B2 (en) * 2002-12-13 2009-05-19 Lam Research Corporation Method for providing uniform removal of organic material
US7169231B2 (en) * 2002-12-13 2007-01-30 Lam Research Corporation Gas distribution system with tuning gas
US7901952B2 (en) 2003-05-16 2011-03-08 Applied Materials, Inc. Plasma reactor control by translating desired values of M plasma parameters to values of N chamber parameters
US7910013B2 (en) 2003-05-16 2011-03-22 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of source power, bias power and chamber pressure
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
TW200520632A (en) * 2003-09-05 2005-06-16 Tokyo Electron Ltd Focus ring and plasma processing apparatus
US7658816B2 (en) * 2003-09-05 2010-02-09 Tokyo Electron Limited Focus ring and plasma processing apparatus
US20070158188A1 (en) * 2004-06-15 2007-07-12 Ivanov Eugene Y Metal foam shield for sputter reactor
US20060051965A1 (en) * 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US7305311B2 (en) * 2005-04-22 2007-12-04 Advanced Energy Industries, Inc. Arc detection and handling in radio frequency power applications
JP5116667B2 (ja) * 2005-06-10 2013-01-09 バード テクノロジーズ グループ インク. 半導体プラズマ発生システムにおける電力潮流を解析するシステムと方法
US8092638B2 (en) * 2005-10-11 2012-01-10 Applied Materials Inc. Capacitively coupled plasma reactor having a cooled/heated wafer support with uniform temperature distribution
US7988872B2 (en) 2005-10-11 2011-08-02 Applied Materials, Inc. Method of operating a capacitively coupled plasma reactor with dual temperature control loops
US8157951B2 (en) * 2005-10-11 2012-04-17 Applied Materials, Inc. Capacitively coupled plasma reactor having very agile wafer temperature control
US8034180B2 (en) 2005-10-11 2011-10-11 Applied Materials, Inc. Method of cooling a wafer support at a uniform temperature in a capacitively coupled plasma reactor
US8608900B2 (en) * 2005-10-20 2013-12-17 B/E Aerospace, Inc. Plasma reactor with feed forward thermal control system using a thermal model for accommodating RF power changes or wafer temperature changes
JP5064707B2 (ja) * 2006-03-30 2012-10-31 東京エレクトロン株式会社 プラズマ処理装置
US8034213B2 (en) * 2006-03-30 2011-10-11 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US20070227666A1 (en) * 2006-03-30 2007-10-04 Tokyo Electron Limited Plasma processing apparatus
US7932181B2 (en) * 2006-06-20 2011-04-26 Lam Research Corporation Edge gas injection for critical dimension uniformity improvement
US7758763B2 (en) * 2006-10-31 2010-07-20 Applied Materials, Inc. Plasma for resist removal and facet control of underlying features
US8217299B2 (en) * 2007-02-22 2012-07-10 Advanced Energy Industries, Inc. Arc recovery without over-voltage for plasma chamber power supplies using a shunt switch
US20090023294A1 (en) 2007-07-16 2009-01-22 Applied Materials, Inc. Method for etching using advanced patterning film in capacitive coupling high frequency plasma dielectric etch chamber
US7777599B2 (en) * 2007-11-02 2010-08-17 Applied Materials, Inc. Methods and apparatus for controlling characteristics of a plasma
US8742284B2 (en) * 2007-11-06 2014-06-03 Institute Of Nuclear Energy Research, Atomic Energy Council Steam plasma torch
US9591738B2 (en) 2008-04-03 2017-03-07 Novellus Systems, Inc. Plasma generator systems and methods of forming plasma
US8002945B2 (en) * 2008-05-29 2011-08-23 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8018164B2 (en) * 2008-05-29 2011-09-13 Applied Materials, Inc. Plasma reactor with high speed plasma load impedance tuning by modulation of different unmatched frequency sources
US20090294275A1 (en) * 2008-05-29 2009-12-03 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of a source power or bias power rf generator
US8357264B2 (en) * 2008-05-29 2013-01-22 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US8337661B2 (en) * 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US8324525B2 (en) * 2008-05-29 2012-12-04 Applied Materials, Inc. Method of plasma load impedance tuning for engineered transients by synchronized modulation of a source power or bias power RF generator
US7967944B2 (en) * 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
KR101606734B1 (ko) 2008-07-07 2016-03-28 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 인시츄 아킹 이벤트들을 검출하기 위한 패시브 용량성-커플링된 정전식 (cce) 프로브 장치
US9326371B2 (en) * 2008-09-10 2016-04-26 Dell Products, Lp System and method for stub tuning in an information handling system
US8395078B2 (en) 2008-12-05 2013-03-12 Advanced Energy Industries, Inc Arc recovery with over-voltage protection for plasma-chamber power supplies
JP5479867B2 (ja) * 2009-01-14 2014-04-23 東京エレクトロン株式会社 誘導結合プラズマ処理装置
EP2219205B1 (en) 2009-02-17 2014-06-04 Solvix GmbH A power supply device for plasma processing
US8382939B2 (en) * 2009-07-13 2013-02-26 Applied Materials, Inc. Plasma processing chamber with enhanced gas delivery
US9850576B2 (en) * 2010-02-15 2017-12-26 Applied Materials, Inc. Anti-arc zero field plate
JP5582823B2 (ja) * 2010-02-26 2014-09-03 東京エレクトロン株式会社 自動整合装置及びプラズマ処理装置
US20110226739A1 (en) * 2010-03-19 2011-09-22 Varian Semiconductor Equipment Associates, Inc. Process chamber liner with apertures for particle containment
EP2602356A1 (en) * 2010-08-06 2013-06-12 Mitsubishi Heavy Industries, Ltd. Vacuum processing apparatus and plasma processing method
US8920597B2 (en) * 2010-08-20 2014-12-30 Applied Materials, Inc. Symmetric VHF source for a plasma reactor
US8552665B2 (en) 2010-08-20 2013-10-08 Advanced Energy Industries, Inc. Proactive arc management of a plasma load
KR101504850B1 (ko) * 2010-09-09 2015-03-20 도쿄엘렉트론가부시키가이샤 마이크로파 도입 기구, 마이크로파 플라즈마원 및 마이크로파 플라즈마 처리 장치
KR101108878B1 (ko) * 2010-10-20 2012-01-30 주식회사 원익아이피에스 샤워헤드 어셈블리 및 이를 구비한 기판 처리장치
CN101974739B (zh) * 2010-11-19 2012-07-04 理想能源设备有限公司 等离子体增强化学气相沉积装置
TWI792087B (zh) 2011-05-05 2023-02-11 日商半導體能源研究所股份有限公司 半導體裝置及其製造方法
DE102012204689A1 (de) * 2012-03-23 2013-09-26 Krones Ag Absaugventil in Plasmabeschichtungsvorrichtung
US9196514B2 (en) * 2013-09-06 2015-11-24 Applied Materials, Inc. Electrostatic chuck with variable pixilated heating
KR102298032B1 (ko) * 2013-09-30 2021-09-02 어플라이드 머티어리얼스, 인코포레이티드 고 주파수 무선 주파수에 대한 전극 임피던스를 튜닝하고 저 주파수 무선 주파수를 접지로 종단하기 위한 장치 및 방법
JP6423706B2 (ja) * 2014-12-16 2018-11-14 東京エレクトロン株式会社 プラズマ処理装置
WO2016142383A1 (de) * 2015-03-10 2016-09-15 Oerlikon Metco Ag, Wohlen Verfahren zur vermeidung von überlast an der brennerdüse eines plasmabrenners
US10475626B2 (en) * 2015-03-17 2019-11-12 Applied Materials, Inc. Ion-ion plasma atomic layer etch process and reactor
KR20180073700A (ko) * 2015-11-16 2018-07-02 도쿄엘렉트론가부시키가이샤 진보된 광학 센서 및 플라즈마 챔버용 방법
JP6974668B2 (ja) 2016-03-31 2021-12-01 東京エレクトロン株式会社 ウェハレスドライクリーニング発光分光法を使用するドライエッチングプロセス特徴の制御
US10403474B2 (en) 2016-07-11 2019-09-03 Lam Research Corporation Collar, conical showerheads and/or top plates for reducing recirculation in a substrate processing system
DE102016215598A1 (de) * 2016-08-19 2018-02-22 Siemens Aktiengesellschaft Elektroenergieübertragungseinrichtung sowie Lebenszyklusmanagement
US10453653B2 (en) 2016-09-02 2019-10-22 Tokyo Electron Limited Endpoint detection algorithm for atomic layer etching (ALE)
JP7112620B2 (ja) 2016-11-18 2022-08-04 東京エレクトロン株式会社 製造プロセスにおける粒子によって誘発されるアークの検出のための方法および装置
US20180197722A1 (en) * 2017-01-10 2018-07-12 Lam Research Corporation Cathode with improved rf power efficiency for semiconductor processing equipment with rf plasma
JP6950196B2 (ja) * 2017-02-16 2021-10-13 三菱マテリアル株式会社 プラズマ処理装置用電極板およびプラズマ処理装置用電極板の再生方法
CN110431655A (zh) 2017-03-17 2019-11-08 东京毅力科创株式会社 用于蚀刻度量改进的表面改性控制
CN107256819B (zh) * 2017-06-16 2019-02-12 上海集成电路研发中心有限公司 一种离子注入机的靶盘装置
US10170287B1 (en) 2017-10-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Techniques for detecting micro-arcing occurring inside a semiconductor processing chamber
US11664206B2 (en) * 2017-11-08 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Arcing protection method and processing tool
KR102644960B1 (ko) 2017-11-29 2024-03-07 코멧 테크놀로지스 유에스에이, 인크. 임피던스 매칭 네트워크 제어를 위한 리튜닝
US10847337B2 (en) * 2018-01-24 2020-11-24 Applied Materials, Inc. Side inject designs for improved radical concentrations
US10892142B2 (en) 2018-03-16 2021-01-12 Samsung Electronics Co., Ltd. System for fabricating a semiconductor device
CN109490721A (zh) * 2018-09-11 2019-03-19 惠科股份有限公司 一种监控装置和监控方法
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11114279B2 (en) * 2019-06-28 2021-09-07 COMET Technologies USA, Inc. Arc suppression device for plasma processing equipment
US11527385B2 (en) 2021-04-29 2022-12-13 COMET Technologies USA, Inc. Systems and methods for calibrating capacitors of matching networks
US11596309B2 (en) 2019-07-09 2023-03-07 COMET Technologies USA, Inc. Hybrid matching network topology
US11107661B2 (en) 2019-07-09 2021-08-31 COMET Technologies USA, Inc. Hybrid matching network topology
US10910201B1 (en) 2019-08-22 2021-02-02 Tokyo Electron Limited Synthetic wavelengths for endpoint detection in plasma etching
US11830708B2 (en) 2020-01-10 2023-11-28 COMET Technologies USA, Inc. Inductive broad-band sensors for electromagnetic waves
US11887820B2 (en) 2020-01-10 2024-01-30 COMET Technologies USA, Inc. Sector shunts for plasma-based wafer processing systems
US11670488B2 (en) 2020-01-10 2023-06-06 COMET Technologies USA, Inc. Fast arc detecting match network
US11521832B2 (en) 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11605527B2 (en) 2020-01-20 2023-03-14 COMET Technologies USA, Inc. Pulsing control match network
US11373844B2 (en) 2020-09-28 2022-06-28 COMET Technologies USA, Inc. Systems and methods for repetitive tuning of matching networks
US11923175B2 (en) 2021-07-28 2024-03-05 COMET Technologies USA, Inc. Systems and methods for variable gain tuning of matching networks
CN116417321A (zh) * 2021-12-31 2023-07-11 中微半导体设备(上海)股份有限公司 一种测温结构、上电极组件及等离子体处理装置
US11657980B1 (en) 2022-05-09 2023-05-23 COMET Technologies USA, Inc. Dielectric fluid variable capacitor

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN86104365A (zh) * 1985-11-15 1987-05-20 安达尔公司 改进的电弧汽相淀积方法及设备
WO2001071765A2 (en) * 2000-03-17 2001-09-27 Applied Materials, Inc. Plasma reactor with overhead rf electrode tuned to the plasma

Family Cites Families (119)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US37580A (en) * 1863-02-03 Improvement in sewing-machines
FR1402020A (fr) * 1964-04-27 1965-06-11 Csf Perfectionnements aux sources d'ions
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS6329520A (ja) * 1986-07-23 1988-02-08 Hitachi Ltd プラズマ処理装置
US4859908A (en) * 1986-09-24 1989-08-22 Matsushita Electric Industrial Co., Ltd. Plasma processing apparatus for large area ion irradiation
US5006760A (en) * 1987-01-09 1991-04-09 Motorola, Inc. Capacitive feed for plasma reactor
DE3708716C2 (de) * 1987-03-18 1993-11-04 Hans Prof Dr Rer Nat Oechsner Hochfrequenz-ionenquelle
US4973883A (en) * 1987-05-01 1990-11-27 Semiconductor Energy Laborator Co., Ltd. Plasma processing apparatus with a lisitano coil
JPS6424829U (zh) * 1987-08-04 1989-02-10
US5115167A (en) * 1988-04-05 1992-05-19 Mitsubishi Denki Kabushiki Kaisha Plasma processor
US5055853A (en) * 1988-10-03 1991-10-08 Garnier Robert C Magnetic frill generator
US5107170A (en) * 1988-10-18 1992-04-21 Nissin Electric Co., Ltd. Ion source having auxillary ion chamber
US5089083A (en) * 1989-04-25 1992-02-18 Tokyo Electron Limited Plasma etching method
US5225024A (en) * 1989-05-08 1993-07-06 Applied Materials, Inc. Magnetically enhanced plasma reactor system for semiconductor processing
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4990229A (en) * 1989-06-13 1991-02-05 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
US5122251A (en) * 1989-06-13 1992-06-16 Plasma & Materials Technologies, Inc. High density plasma deposition and etching apparatus
DE3923661A1 (de) * 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung fuer die anpassung der impedanz einer plasmastrecke an einen hochfrequenzgenerator
US5300460A (en) * 1989-10-03 1994-04-05 Applied Materials, Inc. UHF/VHF plasma for use in forming integrated circuit structures on semiconductor wafers
US5210466A (en) * 1989-10-03 1993-05-11 Applied Materials, Inc. VHF/UHF reactor system
US5032202A (en) * 1989-10-03 1991-07-16 Martin Marietta Energy Systems, Inc. Plasma generating apparatus for large area plasma processing
US5223457A (en) * 1989-10-03 1993-06-29 Applied Materials, Inc. High-frequency semiconductor wafer processing method using a negative self-bias
US5312778A (en) * 1989-10-03 1994-05-17 Applied Materials, Inc. Method for plasma processing using magnetically enhanced plasma chemical vapor deposition
JPH04901A (ja) * 1990-04-18 1992-01-06 Mitsubishi Electric Corp プラズマ装置の高周波給電方法及び装置
JPH0436482A (ja) * 1990-05-30 1992-02-06 Mitsubishi Electric Corp プラズマ処理装置
JPH04247878A (ja) * 1990-07-31 1992-09-03 Applied Materials Inc Vhf/uhf反応装置
US5707486A (en) * 1990-07-31 1998-01-13 Applied Materials, Inc. Plasma reactor using UHF/VHF and RF triode source, and process
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5376211A (en) * 1990-09-29 1994-12-27 Tokyo Electron Limited Magnetron plasma processing apparatus and processing method
US5246532A (en) * 1990-10-26 1993-09-21 Mitsubishi Denki Kabushiki Kaisha Plasma processing apparatus
JP2501948B2 (ja) * 1990-10-26 1996-05-29 三菱電機株式会社 プラズマ処理方法及びプラズマ処理装置
US5195045A (en) * 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
CA2102201A1 (en) * 1991-05-21 1992-11-22 Ebrahim Ghanbari Cluster tool soft etch module and ecr plasma generator therefor
US5432315A (en) * 1991-05-31 1995-07-11 Hitachi, Ltd. Plasma process apparatus including ground electrode with protection film
US6036877A (en) * 1991-06-27 2000-03-14 Applied Materials, Inc. Plasma reactor with heated source of a polymer-hardening precursor material
US5198725A (en) * 1991-07-12 1993-03-30 Lam Research Corporation Method of producing flat ecr layer in microwave plasma device and apparatus therefor
KR0184675B1 (ko) * 1991-07-24 1999-04-15 이노우에 쥰이치 챔버내의 전극에 있어서의 실제의 rf파워를 검출 및 제어 가능한 플라즈마 처리장치
US5849136A (en) * 1991-10-11 1998-12-15 Applied Materials, Inc. High frequency semiconductor wafer processing apparatus and method
US5279669A (en) * 1991-12-13 1994-01-18 International Business Machines Corporation Plasma reactor for processing substrates comprising means for inducing electron cyclotron resonance (ECR) and ion cyclotron resonance (ICR) conditions
US5175472A (en) 1991-12-30 1992-12-29 Comdel, Inc. Power monitor of RF plasma
JPH05299382A (ja) * 1992-04-21 1993-11-12 Mitsubishi Electric Corp プラズマ処理装置およびその方法
US5273610A (en) 1992-06-23 1993-12-28 Association Institutions For Material Sciences, Inc. Apparatus and method for determining power in plasma processing
US5325019A (en) 1992-08-21 1994-06-28 Sematech, Inc. Control of plasma process by use of harmonic frequency components of voltage and current
JPH06151373A (ja) * 1992-11-12 1994-05-31 Canon Inc 半導体デバイス製造装置
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
US5537004A (en) * 1993-03-06 1996-07-16 Tokyo Electron Limited Low frequency electron cyclotron resonance plasma processor
TW249313B (zh) * 1993-03-06 1995-06-11 Tokyo Electron Co
JP3236111B2 (ja) * 1993-03-31 2001-12-10 キヤノン株式会社 プラズマ処理装置及び処理方法
US5662770A (en) * 1993-04-16 1997-09-02 Micron Technology, Inc. Method and apparatus for improving etch uniformity in remote source plasma reactors with powered wafer chucks
EP0634778A1 (en) * 1993-07-12 1995-01-18 The Boc Group, Inc. Hollow cathode array
US5849372A (en) * 1993-09-17 1998-12-15 Isis Innovation Limited RF plasma reactor and methods of generating RF plasma
US5467013A (en) 1993-12-07 1995-11-14 Sematech, Inc. Radio frequency monitor for semiconductor process control
US5463525A (en) * 1993-12-20 1995-10-31 International Business Machines Corporation Guard ring electrostatic chuck
JP3279038B2 (ja) * 1994-01-31 2002-04-30 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
JP3365067B2 (ja) * 1994-02-10 2003-01-08 ソニー株式会社 プラズマ装置およびこれを用いたプラズマ処理方法
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JP3251762B2 (ja) * 1994-03-28 2002-01-28 東京エレクトロン株式会社 接合部の形成方法及び処理装置の部材間の接合方法
US5685914A (en) * 1994-04-05 1997-11-11 Applied Materials, Inc. Focus ring for semiconductor wafer processing in a plasma reactor
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
CN1269196C (zh) * 1994-06-15 2006-08-09 精工爱普生株式会社 薄膜半导体器件的制造方法
US5587038A (en) * 1994-06-16 1996-12-24 Princeton University Apparatus and process for producing high density axially extending plasmas
AU2003195A (en) * 1994-06-21 1996-01-04 Boc Group, Inc., The Improved power distribution for multiple electrode plasma systems using quarter wavelength transmission lines
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
IT1269413B (it) * 1994-10-21 1997-04-01 Proel Tecnologie Spa Sorgente di plasma a radiofrequenza
US5576629A (en) 1994-10-24 1996-11-19 Fourth State Technology, Inc. Plasma monitoring and control method and system
JP2956494B2 (ja) * 1994-10-26 1999-10-04 住友金属工業株式会社 プラズマ処理装置
US5605637A (en) * 1994-12-15 1997-02-25 Applied Materials Inc. Adjustable dc bias control in a plasma reactor
US5792376A (en) * 1995-01-06 1998-08-11 Kabushiki Kaisha Toshiba Plasma processing apparatus and plasma processing method
JP3778299B2 (ja) * 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
US6270617B1 (en) * 1995-02-15 2001-08-07 Applied Materials, Inc. RF plasma reactor with hybrid conductor and multi-radius dome ceiling
US5674321A (en) * 1995-04-28 1997-10-07 Applied Materials, Inc. Method and apparatus for producing plasma uniformity in a magnetic field-enhanced plasma reactor
US5710486A (en) * 1995-05-08 1998-01-20 Applied Materials, Inc. Inductively and multi-capacitively coupled plasma reactor
JP3169337B2 (ja) * 1995-05-30 2001-05-21 キヤノン株式会社 光起電力素子及びその製造方法
US5997962A (en) * 1995-06-30 1999-12-07 Tokyo Electron Limited Plasma process utilizing an electrostatic chuck
US5907221A (en) * 1995-08-16 1999-05-25 Applied Materials, Inc. Inductively coupled plasma reactor with an inductive coil antenna having independent loops
US6089182A (en) * 1995-08-17 2000-07-18 Tokyo Electron Limited Plasma processing apparatus
KR100226366B1 (ko) * 1995-08-23 1999-10-15 아끼구사 나오유끼 플라즈마장치 및 플라즈마 처리방법
TW279240B (en) * 1995-08-30 1996-06-21 Applied Materials Inc Parallel-plate icp source/rf bias electrode head
JPH09106898A (ja) * 1995-10-09 1997-04-22 Anelva Corp プラズマcvd装置、プラズマ処理装置及びプラズマcvd方法
US5965034A (en) * 1995-12-04 1999-10-12 Mc Electronics Co., Ltd. High frequency plasma process wherein the plasma is executed by an inductive structure in which the phase and anti-phase portion of the capacitive currents between the inductive structure and the plasma are balanced
US6095084A (en) * 1996-02-02 2000-08-01 Applied Materials, Inc. High density plasma process chamber
JP3360265B2 (ja) * 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
JP3238082B2 (ja) * 1996-05-16 2001-12-10 シャープ株式会社 電子デバイス製造装置
US5863376A (en) * 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
JP3559920B2 (ja) * 1996-07-29 2004-09-02 東京エレクトロン株式会社 プラズマ処理装置
JPH10134953A (ja) * 1996-10-28 1998-05-22 Sharp Corp 高周波解凍装置
JPH10134996A (ja) * 1996-10-31 1998-05-22 Nec Corp プラズマ処理装置
US6113731A (en) * 1997-01-02 2000-09-05 Applied Materials, Inc. Magnetically-enhanced plasma chamber with non-uniform magnetic field
US6152071A (en) * 1996-12-11 2000-11-28 Canon Kabushiki Kaisha High-frequency introducing means, plasma treatment apparatus, and plasma treatment method
US6115200A (en) * 1997-02-03 2000-09-05 International Business Machines Corporation Method and apparatus for preventing write operations in the presence of post-shock motion
JP3650248B2 (ja) * 1997-03-19 2005-05-18 東京エレクトロン株式会社 プラズマ処理装置
JP3582287B2 (ja) * 1997-03-26 2004-10-27 株式会社日立製作所 エッチング装置
TW376547B (en) * 1997-03-27 1999-12-11 Matsushita Electric Ind Co Ltd Method and apparatus for plasma processing
US6174450B1 (en) 1997-04-16 2001-01-16 Lam Research Corporation Methods and apparatus for controlling ion energy and plasma density in a plasma processing system
US6155200A (en) 1997-07-08 2000-12-05 Tokyo Electron Limited ECR plasma generator and an ECR system using the generator
US6110395A (en) * 1997-08-26 2000-08-29 Trikon Technologies, Inc. Method and structure for controlling plasma uniformity
JP2929284B2 (ja) * 1997-09-10 1999-08-03 株式会社アドテック 高周波プラズマ処理装置のためのインピーダンス整合及び電力制御システム
US6291999B1 (en) 1997-09-30 2001-09-18 Daihen Corp. Plasma monitoring apparatus
US5971591A (en) 1997-10-20 1999-10-26 Eni Technologies, Inc. Process detection system for plasma process
US6041734A (en) * 1997-12-01 2000-03-28 Applied Materials, Inc. Use of an asymmetric waveform to control ion bombardment during substrate processing
US6251216B1 (en) * 1997-12-17 2001-06-26 Matsushita Electronics Corporation Apparatus and method for plasma processing
JP3565311B2 (ja) * 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US5929717A (en) 1998-01-09 1999-07-27 Lam Research Corporation Method of and apparatus for minimizing plasma instability in an RF processor
US6449568B1 (en) 1998-02-27 2002-09-10 Eni Technology, Inc. Voltage-current sensor with high matching directivity
JP4130255B2 (ja) * 1998-04-08 2008-08-06 キヤノンアネルバ株式会社 プラズマ処理装置
US6182603B1 (en) * 1998-07-13 2001-02-06 Applied Komatsu Technology, Inc. Surface-treated shower head for use in a substrate processing chamber
JP3818561B2 (ja) * 1998-10-29 2006-09-06 エルジー フィリップス エルシーディー カンパニー リミテッド シリコン酸化膜の成膜方法および薄膜トランジスタの製造方法
US6213050B1 (en) * 1998-12-01 2001-04-10 Silicon Genesis Corporation Enhanced plasma mode and computer system for plasma immersion ion implantation
US6188564B1 (en) * 1999-03-31 2001-02-13 Lam Research Corporation Method and apparatus for compensating non-uniform wafer processing in plasma processing chamber
JP3374796B2 (ja) * 1999-08-06 2003-02-10 松下電器産業株式会社 プラズマ処理方法及び装置
US6262538B1 (en) * 1999-08-26 2001-07-17 International Business Machines Corporation High density plasma tool with adjustable uniformity and stochastic electron heating for reduced gas cracking
TW514996B (en) * 1999-12-10 2002-12-21 Tokyo Electron Ltd Processing apparatus with a chamber having therein a high-corrosion-resistant sprayed film
US6451703B1 (en) * 2000-03-10 2002-09-17 Applied Materials, Inc. Magnetically enhanced plasma etch process using a heavy fluorocarbon etching gas
US6894245B2 (en) * 2000-03-17 2005-05-17 Applied Materials, Inc. Merie plasma reactor with overhead RF electrode tuned to the plasma with arcing suppression
US6462481B1 (en) * 2000-07-06 2002-10-08 Applied Materials Inc. Plasma reactor having a symmetric parallel conductor coil antenna
JP3565774B2 (ja) * 2000-09-12 2004-09-15 株式会社日立製作所 プラズマ処理装置及び処理方法
US20020139477A1 (en) * 2001-03-30 2002-10-03 Lam Research Corporation Plasma processing method and apparatus with control of plasma excitation power

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN86104365A (zh) * 1985-11-15 1987-05-20 安达尔公司 改进的电弧汽相淀积方法及设备
WO2001071765A2 (en) * 2000-03-17 2001-09-27 Applied Materials, Inc. Plasma reactor with overhead rf electrode tuned to the plasma

Also Published As

Publication number Publication date
EP1459351A2 (en) 2004-09-22
TW589659B (en) 2004-06-01
KR100903535B1 (ko) 2009-06-23
JP2006502529A (ja) 2006-01-19
US7030335B2 (en) 2006-04-18
WO2003055287A2 (en) 2003-07-03
WO2003055287A3 (en) 2004-02-19
US20020108933A1 (en) 2002-08-15
CN1606794A (zh) 2005-04-13
JP4902941B2 (ja) 2012-03-21
KR20040068307A (ko) 2004-07-30

Similar Documents

Publication Publication Date Title
CN100341107C (zh) 抑制电弧放电的对应等离子体射频顶电极调谐的等离子体反应器
CN1314072C (zh) 抑制电弧放电的对应等离子体喷头rf顶电极调谐的merie等离子体反应器
KR100854620B1 (ko) 낮은 아킹 경향, 원통형 가스 출구들, 및 형상 표면을 갖는플라즈마 리액터 오버헤드 전원 전극
US20190221463A1 (en) Process kit components for use with an extended and independent rf powered cathode substrate for extreme edge tunability
TWI791027B (zh) 具有低頻射頻功率分佈調節功能的電漿反應器
TWI771541B (zh) 具有低頻射頻功率分佈調節功能的等離子反應器
US7837828B2 (en) Substrate supporting structure for semiconductor processing, and plasma processing device
US20040027781A1 (en) Low loss RF bias electrode for a plasma reactor with enhanced wafer edge RF coupling and highly efficient wafer cooling
JPH11317299A (ja) 高周波放電方法及びその装置並びに高周波処理装置
US10012248B2 (en) Annular baffle
US20100089319A1 (en) Rf return path for large plasma processing chamber
CN1440048A (zh) 晶片处理装置和晶片平台以及晶片处理方法
KR101097386B1 (ko) 원격 플라즈마 발생기를 지지하는 거치대
CN211350572U (zh) 等离子体反应器
CN114171364A (zh) 半导体工艺设备

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20071003