CN100386472C - 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件 - Google Patents

作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件 Download PDF

Info

Publication number
CN100386472C
CN100386472C CNB018204090A CN01820409A CN100386472C CN 100386472 C CN100386472 C CN 100386472C CN B018204090 A CNB018204090 A CN B018204090A CN 01820409 A CN01820409 A CN 01820409A CN 100386472 C CN100386472 C CN 100386472C
Authority
CN
China
Prior art keywords
flow rate
film
reactor
precursor gas
chemical vapor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB018204090A
Other languages
English (en)
Other versions
CN1479804A (zh
Inventor
阿尔弗雷德·戈利尔
戴维·R·梅戴洛斯
维施努柏·V·帕特尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US09/769,089 external-priority patent/US6441491B1/en
Priority claimed from US09/938,949 external-priority patent/US6756323B2/en
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1479804A publication Critical patent/CN1479804A/zh
Application granted granted Critical
Publication of CN100386472C publication Critical patent/CN100386472C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y40/00Manufacture or treatment of nanostructures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

在此公开利用化学汽相淀积(“PECVD”)处理在平行板化学汽相淀积处理中制造包括Si、C、O和H原子的热稳定超低介电常数膜的方法。进一步公开通过该方法制备的包含热稳定超低介电常数材料的绝缘层的电子设备。为了能够制造热稳定超低介电常数材料,使用特定的前驱物材料,例如环硅氧烷和例如四甲基环四硅氧烷和环戊烯氧化物这样包含环状结构的有机分子。为了稳定在PECVD反应器中的等离子体,从而提高淀积膜的均匀性,CO2被添加到TMCTS中作为运载气体,环状CO2或CO2和O2的混合物被添加到PECVD中。

Description

作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
技术领域
本发明一般涉及一种用于制造具有相关的超低介电常数(或者超低-k)的介电材料的方法,以及包含这种介电材料的电子器件。更加具体来说,本发明涉及一种用于制造热稳定超低k膜的方法,该膜被用作为在超大规模集成(“ULSI”)线的后端(“BEOL”)布线结构中的层内和层间电介质,以及用这种方法所形成的电子结构。
背景技术
最近几年,用于ULSI电路中的电子器件的尺寸不断缩小,导致BEOL金属化电阻的增加,以及层内和层间电介质的电容增加。这种组合效果增加在ULSI电子器件中的信号延迟。为了提高在ULSI电路的开关性能,需要低介电常数(k)绝缘体特别是k值远小于氧化硅的绝缘体来减小电路。具有低k值的介电材料(即,电介质)已经可以在市场上获得。例如,一种这样的材料是聚四氟乙烯(“PTFE”),其具有2.0的k值。但是,当暴露在超过300~350℃的温度下时,这些介电材料不是热稳定的。在ULSI芯片中的这些电介质需要至少400℃的热稳定性。从而,这些电介质在集成过程中是无用的。
已经被考虑应用于ULSI中的低k材料包括包含Si、C、O的聚合物,例如甲基硅氧烷、甲基硅倍半烷以及其它有机物和无机聚合物。例如,论文(N.Hacker等人的″Properties of new low dielectric constantspin-on silicon oxide based dielectrics.″Mat.Res.Soc.Symp.Proc.476(1997):25)描述了一些满足热稳定要求的材料,当通过自旋技术制备薄膜时,其中一些材料在到达集成在互连结构中的厚度时容易传递裂纹。另外,其前驱物材料的成本很高并且不能够用于大规模生产。与此相反,大多数超大规模集成(″VLSI″)和ULSI芯片的制造步骤通过等离子体增强化学或物理汽相淀积技术而执行。能够通过使用容易获得的处理设备利用等离子体增强化学汽相淀积(″PECVD″)来制造低k材料将简化在制造工艺中材料的集成,减小制造成本,并且产生较少的有害废物。被转让给本发明的共同受让人并且被包含于此以供参考的一个共同未决专利申请(在1998年6月19日递交的美国专利申请序号No.09/107,567,“Hydrogenated Oxidized Silicon Carbon Material”)描述了一种超低介电常数材料,其中包含Si、C、O和H原子具有不大于3.6的介电常数,并且表现出非常低的裂纹传递速度。
被转让给本发明的共同受让人并且被包含于此以供参考的另一个共同未决申请(在1999年5月16日递交的美国专利申请序号No.09/320,495,“Multiphase Low Dielectric Constant Material and Methodof Deposition”)描述一种双相材料,其中包含Si、C、O和H原子,一相主要包括C和H原子,并且具有不大于3.2的介电常数。应当指出,这种材料的介电常数的继续减小将进一步改进包含这种电介质的电子器件的性能。
考虑到上文所述,在此还需要开发一种介电材料,其具有不大于大约2.8的介电常数并且防止破裂。
发明内容
因此本发明的一个目的是提供一种用于制造超低介电常数材料的方法,该材料具有不大于大约2.8的介电常数。最好,该超低k材料的介电常数在大约1.5至大约2.5的范围内,并且最好,该介电常数在大约2.0至大约2.25的范围内。应当指出,除非特别说明,所有介电常数都是相对于真空的数值。
本发明的另一个目的是提供一种用于从至少两种前驱物的混合物制造包括Si、C、O和H原子的超低介电常数材料的方法,其中第一前驱物选自具有环状结构的包括SiCOH成分的分子,第二前驱物是选自具有环状结构的分子的一种有机分子。
本发明的另一个目的是提供一种用于在平行板等离子体增强化学汽相淀积(″PECVD″)反应器中制造超低介电常数膜的方法。
本发明的另一个目的是提供一种用于制造超低介电常数材料的改进方法,其通过在存在有CO2或CO2和O2的情况下在一个基片上的淀积一层薄膜,从而提高该淀积薄膜的均匀性以及稳定在PECVD反应器中的等离子体。
本发明的另一个目的是提供一种用于制造用于电子结构中作为在线的后端(back-end-of-the-line″BEOL″)互连结构中的层内或层间电介质的超低介电常数材料。
本发明的另一个目的是提供一种具有低内部应力以及不大于大约2.8的介电常数的热稳定超低介电常数材料。用于超低k材料的介电常数优选在大约1.5至大约2.5的范围内,并且最好,该介电常数在大约2.0至大约2.25的范围内。
本发明的另一个目的是提供一种包含绝缘材料层作为在线的后端(″BEOL″)布线结构中的层内或层间电介质的电子结构,其中至少两个绝缘材料的层面,包括本发明的超低介电常数材料。
本发明的另一个目的是提供一种电子结构,其具有本发明的超低介电常数材料的层面,作为在线的后端(″BEOL″)布线结构中的层内或层间电介质,并且进一步包含至少一个电介质覆盖层,作为反应离子蚀刻(″RIE″)掩膜抛光停止层或扩散阻挡层。
根据本发明,在此提供一种用于制造热稳定介电材料的方法,其具有包括Si、C、O和H原子的基质以及原子级的纳米孔。一个优选实施例中,该介电材料具有基本上由Si、C、O和H所构成的基质。本发明进一步提供一种用于制造该介电材料的方法,该方法使包含Si、C、O和H的第一前驱气体与包含C、H以及优选的O、F和N的至少第二前驱气体在等离子体增强化学汽相淀积(″PECVD″)反应器中发生反应,而制造该介电材料。本发明进一步把第一前驱气体与CO2混合,或者把第一和第二前驱气体与CO2以及O2相混合,从而稳定在PECVD反应器中的等离子体,并且提高淀积在该基片上的电介质薄膜的均匀度。本发明还提供一种电子结构(即,基片),其具有绝缘材料的层面,作为用于线的后端(″BEOL″)布线结构的层内或层间电介质,其中该绝缘材料可以是本发明的超低k膜。
在一个优选实施例中,在此提供一种用于制造热稳定超低介电常数(超低k)薄膜的方法,其中包括如下步骤:提供一个等离子体增强化学汽相淀积(″PECVD″)反应器;把一个电子结构(即,基片)置于该反应器中;使包括Si、C、O和H的第一前驱气体流到该反应器中;使包括C、H以及优选的O、F和N的第二前驱气体流到该反应器中;把超低k膜淀积在该基片上。该淀积步骤可以在具有CO2或CO2和O2的情况下执行。最好,第一前驱物选自具有环状结构的分子,包括SiCOH成分,例如1,3,5,7-四甲基环四硅氧烷(″TMCTS″或″C4H16O4Si4″)。第二前驱物可以是选自具有环状结构的分子的一种有机分子。特别有用为包含稠环的种类,至少一种包含杂环原子,优选为氧。在这些种类中,最适合的是包含传递的大的环应力的环形尺寸,即3或4个原子和/或7或更多的原子的环。特别引人注目的是被称为草酸双环的一类组合物,例如环戊烯氧化物(″CPO″或″C5H8O″)。
可选地,本发明的淀积膜可以在不小于大约300℃的温度下进行至少大约0.25小时的时间段的热处理。本方法进一步包括提供一个平行板反应器的步骤,该平行板反应器具有大约300cm2和大约700cm2的基片夹头的导电面积,以及在该基片和顶部电极之间的间隙在大约1cm和大约10cm之间。高频RF功率被施加到一个电极,其频率在大约12MHZ和大约15MHZ之间。可选地,一个附加的低频功率可以被施加到一个电极。该热处理步骤可以在不高于大约300℃的温度下在第一时间周期内进行,然后在不低于大约380℃的温度下在第二时间周期内进行。第二时间周期大于第一时间周期。第二时间周期可以至少为大约第一时间周期的10倍。
用于本发明的超低介电常数膜的淀积步骤可以进一步包括如下步骤:把基片温度设置在大约25℃和大约400℃之间;把高频RF功率密度设置在大约0.05W/cm2和大约2.0W/cm2之间;把第一前驱物流率设置在大约5sccm和大约1000sccm之间;把第二前驱物的流率设置在大约5sccm和大约1000sccm之间;把反应器气压设置在大约50毫乇和大约5000毫乇之间;以及把高频RF功率设置在大约15W和大约500W之间。可选地,可以被添加到等离子体的超低频功率在大约10W和大约300W之间。当基片夹头的导电面积被改变因子X时。施加到该基片夹头的RF功率也改变因子X。
在另一个优选实施例中,在此提供一种用于制造超低k膜的方法,其中包括如下步骤:提供一个具有等离子体增强的平行板型化学汽相淀积反应器;把一个预处理晶片置于基片夹头上,该基片夹头具有大约300cm2和大约700cm2的导电面积,并且使该晶片和顶部电极之间的间隙在大约1cm和大约10cm之间;使包括环硅氧烷分子的第一前驱气体流入反应器;使包括具有包含C、H和O原子的环状结构的有机分子的第二前驱气体流过;以及把超低k膜淀积在该晶片上。该淀积步骤可以在存在有CO2或者CO2和O2的情况下执行。该处理可以进一步包括在以不低于大约300℃的温度下在该淀积步骤之后的对该薄膜执行至少大约0.25小时的热处理。该处理可以进一步包括把RF功率施加到该晶片上的步骤。该热处理步骤可以进一步在不高于大约300℃的温度下在第一时间周期内执行,然后在不低于大约380℃的温度下在第二时间周期内执行,第二时间周期大于第一时间周期。第二时间周期可以是至少大约第一时间周期的10倍。
所使用的环硅氧烷前驱物可以是四甲基环四硅氧烷(″TMCTS″)并且该有机前驱物可以是环戊烯氧化物(″CPO″)。用于超低k膜的淀积步骤可以进一步包括把晶片温度设置在大约25℃和大约400℃之间;把RF功率密度设置在大约0.05W/cm2和大约2.0W/cm2之间;把环硅氧烷的流率设置在大约5sccm和大约1000sccm之间,优选设置在25sccm和200sccm之间;把有机前驱物的流率设置在大约5sccm和大约1000sccm之间,优选设置在25sccm和200sccm之间;以及把反应器气压设置在大约50毫乇和大约5000毫乇之间。另外,该淀积步骤可以进一步包括把环戊烯氧化物与四甲基环四硅氧烷的流率比值设置在大约0.1和大约0.7之间,最好在0.2和0.4之间。基片夹头的导电面积可以被改变因子X,这导致RF功率改变相同的因子X。
在另一个优选实施例中,在此提供一种用于制造热稳定超低k电介质膜的方法,其中包括如下步骤:提供一种等离子体增强的平行板型化学汽相淀积反应器;把一个晶片置于基片夹头上,该基片夹头具有在大约300cm2和大约700cm2之间的导电面积,并且使该晶片和顶部电极之间的间隙保持大约1cm和大约10cm之间,例如1~7cm;使环硅氧烷与环状有机分子的前驱气体混合物流到反应器中在晶片上方,其保持在室温和大约400℃之间,最好在大约60℃和大约200℃之间,总流率在大约25sccm和大约500sccm之间,并且保持反应器气压在大约100毫乇和大约5000毫乇之间,例如1000~4000毫乇;在大约0.25W/cm2和大约0.8W/cm2的RF功率密度下把一个电介质薄膜淀积在该晶片上;以及在不小于大约300℃的温度下对超低k膜进行至少大约0.25小时的退火。该淀积可以在存在有CO2或者CO2和O2的情况下进行。本发明的方法可以进一步包括如下步骤:在不高于大约300℃的温度下在第一时间周期内对该薄膜进行退火,然后在不低于大约380℃的温度下在第二时间周期内对该薄膜进行退火,其中第二时间周期大于第一时间周期。第二时间周期可以被设置为至少大约第一时间周期的10倍。环硅氧烷前驱物可以是四甲基环四硅氧烷(″TMCTS″),并且该环状有机前驱物可以是环戊烯氧化物(″CPO″)。
本发明进一步涉及一种电子结构,其具有绝缘材料层作为在线的后端(″BEOL″)互连体中的层内或层间电介质,其中包括一个预处理半导体基片,其具有嵌入在第一绝缘材料层中的第一金属区域、嵌入在本发明的超低k电介质的第二绝缘材料层中的第一导体区域,该超低k电介质包括Si、C、O和H以及多个纳米尺寸孔,并且具有不大于大约2.8的介电常数,第二绝缘材料层紧密接触第一绝缘材料层,第一导体区域电连接第一金属区域,以及第二导体区电连接第一导体区域,并且被嵌入在包括超低k电介质的第三绝缘材料层中,第三绝缘材料层紧密接触第二绝缘材料层。该电子结构进一步包括位于第二绝缘材料层和第三绝缘材料层之间的电介质覆盖层。该电子结构可以进一步包括在第二绝缘材料层和第三绝缘材料层之间的第一电介质覆盖层,以及在第三绝缘材料层上方的第二电介质覆盖层。
该电介质覆盖材料可以选自氧化硅、氮化硅、氧氮化硅、难熔金属硅氮化物(在此该难熔金属选自Ta、Zr、Hf和W)、碳化硅、碳掺杂氧化物或SiCOH以及它们的氢化物。第一和第二电介质覆盖层可以选自相同组的介电材料。第一绝缘材料层可以是氧化硅或氮化硅或这些材料的掺杂品种,例如磷硅酸盐玻璃(″PSG″)或硼磷硅酸盐玻璃(″BPSG″)。该电子结构可以进一步包括淀积在至少一个第二和第三绝缘材料层上的介电材料的扩散阻挡层。该电子结构可以进一步包括在第二绝缘材料层上方的电介质,其作为反应离子蚀刻(″RIE″)硬膜和抛光停止层以及在该电介质RIE硬膜上方的扩散阻挡层和抛光停止层。该电子结构可以进一步包括在第二绝缘材料层上方的第一电介质RIE硬膜/抛光停止层、在第一电介质抛光停止层上方的第一电介质RIE硬膜/扩散阻挡层、在第三绝缘材料层上方的第二电介质RIE硬膜/抛光停止层、以及在第二电介质抛光停止层上方的第二电介质扩散阻挡层。该电子结构可以进一步包括与上文所述相同材料的电介质覆盖层,其在超低k电介质的层间电介质和超低k电介质的层内电介质之间。
附图说明
从下文的详细描述和附图中本发明的上述目的、特点和优点将变得更加清楚,其中:
图1示出作为用于第二前驱物的优选组合物的二环醚也称为草酸双环的一般电子结构。在该一般示意图中,该组合物包括两个环,其中一个环包含一个氧原子。每个环的尺寸由在每个环中的重复亚甲基的数目m和n所决定。在一个优选的环戊烯氧化物的情况中,m=0和n=2。
图2示出作为用于第二前驱物的优选组合物的不饱和二环醚的也称为不饱和氧杂二环类的一般电子结构。在该一般示意图中,该组合物包括两个环,其中一个环包含一个氧原子。每个环的尺寸由在每个环中的重复亚甲基的数目l、m和n所决定。不饱和键的位置由m和n决定。在9-氧杂二环[6.1.0]壬-4-烯的例子中,l=0、m =2和n=2。
图3示出根据本发明的平行板化学汽相淀积反应器的截面视图。
图4示出从由四甲基环四硅氧烷(″TMCTS″)和He的混合物淀积的SiCOH膜获得的傅里叶变换红外(″FTIR″)频谱。
图5示出根据本发明从TMCTS+He和环戊烯氧化物的混合物淀积的本发明的超低k材料而获得的FTIR频谱。
图6示出具有根据本发明的超低k材料的层间介电层和层间电介质的电子器件的放大截面视图。
图7示出具有在根据本发明的超低k材料上方的附加扩散阻挡层电介质覆盖层的电子结构的放大截面视图。
图8示出具有在根据本发明的抛光停止层上方的附加RIE硬膜/抛光停止电介质覆盖层和电介质覆盖扩散阻挡层的电子结构的放大截面视图。
图9示出具有在根据本发明的层间超低k材料上方的附加RIE硬膜/抛光停止电介质层的电子结构的放大截面视图。
具体实施方式
本发明公开一种用于在平行板等离子体增强化学汽相淀积(″PECVD″)反应器中制造热稳定超低介电常数膜的方法。在该优选实施例中公开的材料包含氢化氧化硅碳材料(SiCOH),其中包括在一个共价键合网络中的Si、C、O和H并且具有不大于大约2.8、优选不大于约2.3的介电常数,其可以进一步包含分子级的空穴,其直径大约为0.5至20纳米,进一步把该介电常数减小到低于大约2.0的数值。最好,用于超低k膜的介电常数在大约1.5至大约2.5的范围内,并且最好该介电常数在大约2.0至大约2.25的范围内。为了产生一个超低k热稳定膜,需要具有特定生长条件的特定几何形状的淀积反应器。例如,在平行板反应器中,基片夹头的导电面积应当在大约300cm2和大约700cm2之间,该基片和顶部电极之间的间隙在大约1cm和大约10cm之间。RF功率被施加到该基片上。根据本发明,超低介电常数膜由例如TMCTS这样的环硅氧烷前驱物与作为选自具有环状结构的分子例如环戊烯氧化物的有机分子的第二前驱物的混合物,在特定结构的反应器中,在特定的条件下而形成。根据本发明的另一个实施例,超低介电常数膜可以在存在CO2或CO2和O2的情况下形成。本发明的低介电常数膜可以进一步在不小于大约300℃的温度下进行至少大约0.25小时的热处理,以减小该介电常数。在该热处理步骤过程中,从包含碳和氢以及可选的氧原子的第二前驱气体(或气体混合物)获得的分子碎片,可能被热分解,并且可能被转换为从该薄膜释放出来的较小的分子。可选地,随着分子碎片的转换和释放处理,可能在该薄膜中出现空穴的进一步形成。因此该薄膜的密度降低。
本发明提供一种用于制备具有超低介电常数的材料,即低于大约2.8,其适合于集成在BEOL布线结构中。最好,用于本发明的超低k膜的介电常数在大约1.5至大约2.5的范围内,例如2.3,最好在大约2.0至大约2.25的范围内。本发明的薄膜可以通过选择至少两个适当的介电常数和下文所述的处理参数的特定组合而制备。最好,第一前驱物选自具有环状结构的分子,包括SiCOH组合物,例如,1,3,5,7四甲基环四硅氧烷(TMCTS或C4H16O4Si4)或八甲基环四硅氧烷(OMCTS或C8H24O4Si4)。更加一般来说,第一前驱物是环烷基硅氧烷一类,包括以交替的方式键合的相当数目的Si和O,烷基(例如甲基、乙基、丙基或较高或分支的类似物,以及环碳氢化合物,例如环丙基、环戊基、环己基以及较高类似物)被共价键合到至少一个硅原子,包括所有硅原子具有两个附着的烷基的情况。这种烷基可以相类似或不类似。另外,这种环硅氧烷的硅原子可以键合到氢,在这种情况中这些组合物可以被部分地认为是烷基氢化硅氧烷。
第二前驱物可以选自包含C、H和O以及包含至少一个环的有机分子,其具有适当的挥发性,可以通过操纵温度和气压使得它们被作为蒸汽导入到淀积反应器。另外,例如N、S、Si或卤素这样的其它原子可以包含在该前驱物分子中。特别有用的是包含稠环的种类,其中至少一个包含杂环原子,最好为氧。在这些种类中,最适合的是包含传递相当大的环应力的大小的环,即3或4个原子和/或7或更多原子的环。特别有引人注意的是被称为草酸双环的一类组合物。在这些可用的例子中的是6-氧杂二环[3.1.0]己烷或环戊烯氧化物(bp=102℃在760毫米汞柱下);7-氧杂二环[4.1.0]庚烷或环己烯氧化物(bp=129℃在760毫米汞柱下);9-氧杂二环[6.1.0]壬烷或环辛烯氧化物(bp=55℃在5毫米汞柱下);以及7-氧杂二环[2.2.1]庚烷或1,4-环氧环己烷(bp=119℃在713毫米汞柱下)。更加一般来说,可以适当的考虑符合图1中所示的公式的种类。
另外,第二前驱物可能具有一些程度的不饱和,例如在9-氧杂二环[6.1.0]non-4-ene中(bp=195℃在760毫米汞柱下),或者在图2中所示的一般结构的组合物中。另外,第二前驱物可以具有其它功能,包括但不限于:酮、乙醛、胺、酰胺、酰亚胺、醚、酯、酐、碳酸盐、硫醇、硫醚等等,例如在7-氧杂二环[4.1.0]庚-2-酮(bp=77℃在15毫米汞柱下)和3-氧杂二环[3.1.0]己烷-2,4-二酮(bp 100℃在5毫米汞柱下)。另外,第一前驱物可以进一步与作为运载气体的CO2相混合,或者第一和第二前驱气体可以与CO2或CO2和O2的混合物在PECVD反应器中混合。把CO2作为运载气体添加到第一前驱物中,或者把CO2或CO2和O2的混合物添加到PECVD反应器中的第一和第二前驱物对在PECVD反应器中的等离子体提供稳定的效果,并且提高淀积在该基片上的薄膜的均匀性。当CO2与第一和第二前驱物相混合时,CO2的量可以在从大约25sccm到大约1000sccm的范围内,最好从大约50sccm到大约500sccm的范围内。当CO2和O2的混合物与第一和第二前驱物相混合时,被混合的CO2的量可以在从大约25sccm到大约1000sccm的范围内,并且被混合的O2的量可以在从大约0.5sccm到50sccm的范围内。最好,CO2的量在从大约50sccm到大约500sccm的范围内,并且O2的量在从大约1sccm到大约30sccm的范围内。
如图3中所示,平行板等离子体增强化学汽相淀积(″PECVD)反应器10是用于处理200mm基片的类型。反应器10的内径X大约为13英寸,并且其高度Y大约为8.5英寸。基片夹头12的直径大约为10.8英寸。反应气体被通过与基片夹头12大约相距1英寸的间距Z的气体分配板(″GDP″)16导入到反应器10,并且通过3英寸的排气端口18排出到反应器10的外部。RF电源20连接到GDP 16,其与反应器10电绝缘,并且基片夹头12接地。为了实用的目的,该反应器的所有其他部件被接地。在一个不同的实施例中,RF电源20可以连接到基片夹头12,并且传送到基片22。在这种情况中,基片获得负偏压,其数值取决于反应器的几何形状和等离子体参数。在另一个实施例中,可以使用一个以上的电源。例如,两个电源可以工作在相同的RF频率。两个电源可以都连接到相同的电极或连接到不同的电极。在另一个实施例中,RF电源可以在淀积过程中脉冲开启或关闭。在淀积低k膜的过程中所控制的处理变量是RF功率、前驱混合物和流率、在反应器中的气压以及基片温度。反应器10的表面24可以涂有绝缘涂层材料。例如,一种特定类型的涂料被施加到反应器壁面24,达到几个密尔的厚度。可以用于基片夹头12上的另一种涂层材料是氧化铝或对氧等离子体的蚀刻具有的抵抗力的其他绝缘体的薄涂层。被加热的晶片夹头的温度控制该基片温度。
根据本发明,适当的第一和第二前驱物以及在上文所述的处理参数的特定组合被使用,使得所制备的本发明的超低k材料最好包括:在大约5和大约40原子百分比之间的Si;在大约5和大约45原子百分比之间的C;在0和大约50原子百分比之间的O;以及在大约10和大约55原子百分比之间的H。
在用于一个薄膜的淀积处理过程中所控制的主要处理变量是RF功率、前驱物的流率、CO2的流率、CO2和O2的流率、反应器气压以及基片温度。在下文提供的是根据本发明利用第一前驱物四甲基环四硅氧烷(TMCTS)和第二前驱物环戊烯氧化物(″CPO″)淀积薄膜,以及在存在有CO2或CO2和O2的情况中薄膜的淀积的几个例子。在例子1-2中,TMCTS前驱物蒸汽被通过使用He作为运载气体传送到反应器,并且在例子3中,TMCTS被液体传送系统所传送。在例子5-7中,TMCTS前驱物蒸汽被通过使用CO2作为运载气体传送到反应器,并且在例子8中,TMCTS被通过一种液体传送系统所传送。可选地,在淀积之后该薄膜被在400℃的温度下进行热处理,以减小k值。
应当强调,根据本发明的制造方法仅仅可以通过具有特定的几何形状的淀积反应器在唯一确定的生长温度下而实现。当不同几何形状的反应器在确定的生长的条件下被使用,则所产生的薄膜可能不能够获得超低介电常数。例如,根据本发明的平行板反应器应当具有在大约300cm2和大约700cm2之间的基片夹头,并且最好在大约500cm2和大约600cm2之间。该基片和气体分配板(或顶部电极)之间的间隙在大约1cm和大约10cm之间,并且最好在大约1.5和大约7cm之间。RF功率被施加到其中一个电极上,其频率在大约12MHZ和大约15MHZ之间,并且最好在大约13.56MHZ。一个低于1MHz的低频功率可以可选地施加在与RF功率相同的电极上,或者以0至0.3W/cm2的功率密度施加到相反的电极上。
所利用的淀积条件对于成功地实现根据本发明的淀积处理来说是关键的。例如,采用在大约25℃和大约325℃之间,最好是在大约60℃和大约200℃之间的基片温度。采用在大约0.05W/cm2和大约1.0W/cm2之间的,最好在大约0.25W/cm2和大约0.8W/cm2之间的RF功率密度。采用在大约5sccm和大约1000sccm之间的,最好在大约25sccm和大约200sccm之间的TMCTS的反应气体流率。采用在大约5sccm和大约1000sccm之间的,最好在大约10sccm和大约120sccm之间的CPO的反应气体流率。以CO2作为运载气体的TMCTS-CO2的总反应气体流率从大约25sccm至大约1000sccm,对于CO2和O2混合物的流率分别为对于CO2是从大约25sccm至1000sccm,对于O2是从大约0.5sccm至大约50sccm,并且对于CO2的流率为从大约15sccm至大约1000sccm。以CO2作为运载气体的TMCTS-CO2的总反应气体流率最好从大约50sccm至500sccm,对于CO2和O2混合物的流率最好分别为对于CO2是从大约50sccm至500sccm,对于O2是从大约1sccm至大约30sccm,并且对于CO2的流率最好为从大约50sccm至大约500sccm。在该淀积处理过程中反应器的气压在大约50毫乇和大约5000毫乇之间,并且最好在大约100毫乇和大约3000毫乇之间。
应当指出,把基片夹头的面积改变因子X,即,在大约300cm2和大约700cm2之间的范围内改变的数值,将导致RF功率从以前指定的数值改变一个因子X。类似的,相对于以前指定的数值把基片夹头的面积改变因子Y,并且把气体分配板和基片夹头之间的间隙改变因子Z将与相对于以前指定的数值把气体流率改变因子YZ相关联。如果使用多状态淀积反应器,则基片面积参照每个基片夹头,并且气体流率参照一个淀积台。相应地,到该反应器的总流率和总功率输入是在该反应器内的淀积台的总数的倍数。
在进一步集成处理之前,淀积膜被稳定化。可以在一个熔炉退火步骤中,在大约300℃至大约400℃的温度下执行大约0.5小时和大约4小时的稳定处理。该稳定处理还可以在快速热退火处理中在大约300℃以上的温度执行。根据本发明所获得的薄膜的介电常数低于大约2.8。根据本发明所获得的膜在非氧化环境中的热稳定性至少达到大约400℃的温度。
根据本发明所形成的电子器件在图6-9中示出。应当指出,图6-9中所示的器件仅仅是根据本发明的例子,根据本发明还可以形成无数其他器件。
图6示出形成在一个硅基片32上的电子器件30。在硅基片32的上方,形成绝缘材料层34,其中嵌入有第一金属区域36。在第一金属区域36上执行化学机械抛光(″CMP″)之后,例如超低k膜38这样的薄膜被淀积在第一绝缘材料层34和第一金属区域36上。第一绝缘材料层34可以适用于由氧化硅、氮化硅、这些材料的掺杂物、或者任何其它适当的绝缘材料。通过光刻处理而对超低k膜38进行构图,并且在其中淀积导体层40。在对第一导体层40执行CMP处理之后,通过等离子体增强化学汽相淀积(″PECVD″)处理淀积第二超低k膜层44,覆盖第一超低k膜38和第一导体层40。导体层40可以由金属导电材料和非金属导电材料所淀积。例如,可以采用铝或铜这样的金属材料或者氮化物或多晶硅这样的非金属导电材料。第一导体40电连接第一金属区域36。
在第二超低k膜44中进行光刻处理之后,随后接着第二导体材料的淀积处理,形成第二导体区50。第二导体50还由金属材料或非金属材料所淀积,则类似于在淀积第一导体层40中所用的情况。第二导体区50与第一导体区域40电连接,并且嵌入在第二超低k绝缘体层44中。
第二超低k膜层紧密接触第一绝缘材料层38。在该具体例子中,作为根据本发明的超低k材料的第一绝缘材料层38被用作为层内绝缘材料,并且第二绝缘材料层,即超低k膜44作为层内和层间电介质。根据超低k膜的介电常数,可以通过第一绝缘层38和第二绝缘层44获得较高的绝缘性能。
图7示出根据本发明的电子器件60,这类似于图6中所示的电子器件30,但是具有淀积在第一绝缘材料层38和第二绝缘材料层44之间的附加电介质覆盖层62。电介质覆盖层62可以适当地由例如氧化硅、氮化硅、氧氮化硅、碳化硅、碳氧化硅(SiCO)、改进的超低k和它们的氢化物这样的材料以及难熔金属硅氮化物所形成,其中难熔金属选自:Ta、Zr、Hf和W。电介质覆盖层62作为扩散阻挡层,用于防止第一导体层40扩散到第二绝缘材料层44或扩散到下层,特别是防止扩散到层面34和32。
图8示出根据本发明的电子器件70的另一个实施例。在电子器件70中,使用两个附加电介质覆盖层72和74作为RIE掩膜和CMP(化学机械抛光)抛光停止层。第一电介质覆盖层72被淀积在第一绝缘材料层38之上。电介质层72的功能是提供用于在平面化第一导体层40中所用的CMP处理的一个结束点。抛光停止层72可以由例如氧化硅、氮化硅、氧氮化硅、碳化硅、碳氧化硅(SiCO)、改进的超低k和它们的氢化物这样的适当介电材料以及难熔金属硅氮化物所淀积,其中难熔金属选自:Ta、Zr、Hf和W。电介质层72的上表面在第一导体层40相同的水平面上。为了相同的目的第二电介质74可以添加在第二绝缘材料层44的上方。
图9示出根据本发明的电子器件80的另一个实施例。在该实施例中,一个附加的电介质层82被淀积,因此把第二绝缘材料层44分为两个分离层面84和86。因此,如图8中所示,层内和层间电介质44在互连体92和互连体94的边界处被分为层间电介质层84和层间介电层86,如图9中所示。一个附加的扩散阻挡层96进一步淀积在电介质层74的上方。由电子结构80的替换实施例提供额外优点是电介质层82作为提供良好的互连体深度控制的RIE蚀刻停止层。
下文的例子示出根据本发明的超低k电介质膜的制造方法,以及表明可以从中所获得的优点:
例子1
在本例中,根据图3,首先通过把晶片经过狭缝阀门14导入到反应器10并且通过氩气预先蚀刻该晶片而准备一个晶片。在该晶片准备处理中,晶片温度被设置为大约180℃,并且氩气流率大约为25sccm,以获得大约100毫乇的气压。然后,把RF电源调整到大约125W持续大约60秒。然后关闭RF电源和氩气流。
使用He气作为运载气体把TMCTS前驱物运载到反应器;He在到达TMCTS容器的入口处具有大约5psig(磅/英寸表压)的气压。首先通过建立具有所需流率和气压的TMCTS+He和CPO气流而淀积根据本发明的超低k膜,即TMCTS+He大约为20sccm以及CPO大约为6sccm以及气压大约为100毫乇。然后,把RF电源调整到大约15W,持续大约50分钟的时间周期。然后关闭RF电源和气流。接着从反应器10上取出晶片。
为了减小淀积膜的介电常数并且进一步提高它们的热稳定性,即,使它们在300℃以上的温度保持稳定,该薄膜被后退火,以蒸发挥发性内容并且在尺寸上稳定该薄膜。可以通过如下步骤在退火熔炉中执行后退火处理。首先用氮气以大约10升/分的流率净化该熔炉大约5分钟(在装载台上具有该薄膜样本)。然后该薄膜样本被传送到熔炉反应器以大约5℃/分钟的加热速度开始把该薄膜加热到大约280℃,在大约280℃保持大约5分钟,以大约5℃/分钟的第二加热速度加热到大约400℃,在大约400℃保持大约4小时,使该熔炉关闭,并且使该薄膜样本冷却到低于大约100℃的温度。适当的第一保持温度可以在大约280℃和大约300℃之间,并且适当的第二保持温度可以在大约300℃和大约400℃之间。
现在参照图4和5讨论第一实施例的结果。图4表示一种典型的SiCOH薄膜的傅里叶变换红外(″FTIR″)频谱。该频谱显示一个强的Si-O吸收带在大约1000-1100cm-1,一个Si-CH3吸收峰值在大约1275cm-1,一个Si-H吸收带在大约2150-2250cm-1,一个C-H吸收峰值在大约2900-3000cm-1。与SiCOH膜的SiO峰值相比,CH、SiH和SiCH3峰值在下文所示的表1中示出。
图5表示根据本发明(TMCTS+He)+CPO的混合物制备的一种超低k膜所获得的FTIR频谱。与图4中相同,该频谱显示Si-O、Si-CH3和C-H吸收峰值。但是,Si-H在大约2900-3000cm-1失去的C-H吸收带的强度对于超低k膜来说比对于图4中所示的SiCOH膜更强。CH的相对强度以及与用于该薄膜的SiO峰值相比的SiCH3峰值也在表1中示出。如具体在表1中所示,超低k膜的C-H峰值的集成面积为Si-CH3峰值的40%,并且它仅仅为在SiCOH膜中的Si-CH3峰值的2%。这清楚地表明除了SiCOH相之外,超低k膜包含大量的第二CHX(碳氢化合物)相。超低k膜的FTIR频谱的另一个特征是把Si-O峰值分离为在大约1139cm-1处和大约1056cm-1的两个峰值,具体如图5中所示。
表1:FTIR吸收峰值的相对集成强度
  材料   CH/SiO(%)   SiH/SiO(%)   SiCH/SiO(%)
  SiCOH   2   7   5
  超低k   10   0   4
例子2
在本例中,如例子1中所示准备一个晶片,但是该晶片温度被设置在大约300℃。然后使用He作为运载气体把TMCTS前驱物传送到反应器;He在到达TMCTS容器的入口处具有大约5psig(磅/英寸表压)的气压。首先通过建立具有所需流率和气压的TMCTS+He和CPO气流而淀积根据本发明的超低k膜,即TMCTS+He大约为150sccm以及CPO大约为50sccm以及气压大约为2000毫乇。然后,把RF电源调整到大约150W,持续大约10分钟的时间周期。然后关闭RF电源和气流。接着从反应器10上取出晶片并且如例子1中所述进行退火。
例子3
在本例中,使用包含6个淀积台的反应器。该晶片夹头的温度被设置为大约350℃。使用液体传送系统把TMCTS前驱物以大约5毫升/分钟的流率传送到反应器,并且CPO以大约900sccm的流率流动,该气压被稳定在大约3000毫乇。大约600W的总RF功率和大约300W的低频功率被施加到反应器。对每个台上的晶片执行超低k膜淀积,在预定时间间隔之后该晶片移动到下一个台。在通过最后的淀积台之后,从该反应器取出该晶片、并且如例子1中所述执行退火。
在上述例子中,以连续的方式操作等离子体。在下文所述的例子4中,以脉冲的方式操作等离子体。
例子4
在本例中,在类似于例子1中所述的条件下执行淀积,但是以脉冲的方式操作等离子体,即具有大约50%的占空周期以及大约50毫秒至大约100毫秒的等离子体导通时间。在从反应器10除去该晶片之后,具有淀积膜的晶片被退火,如例子1所述。
如上述例子中所述,被制备的薄膜具有在大约2.0至大约2.25的范围内的介电常数。
例子5
在本例中,根据图3,首先通过把该晶片经过一个狭缝阀门14导入到反应器10并且通过用氩气预先蚀刻该晶片而制备一个晶片。在该晶片准备处理中,晶片温度被设置为大约180℃,并且氩气流率被设置为大约25sccm,以获得大约100毫乇的气压。然后把RF电源调整到大约125W持续大约60秒。然后切断RF电源和氩气流。
使用CO2作为运载气体把TMCTS前驱物传送到反应器;CO2在到达TMCTS容器的入口处具有大约5psig(磅/英寸表压)的气压。首先通过建立具有所需流率和气压的TMCTS+CO2和CPO气流而淀积根据本发明的超低k膜,即TMCTS+CO2大约为20sccm以及CPO大约为10sccm以及气压大约为100毫乇。然后,把RF电源调整到大约15W,持续大约50分钟的时间周期。然后关闭RF电源和气流。接着从反应器10上取出晶片。
为了减小淀积膜的介电常数并且进一步提高它们的热稳定性,即,使它们在300℃以上的温度保持稳定,该薄膜被后退火,以蒸发挥发性内容并且在尺寸上稳定该薄膜。可以通过如下步骤在退火熔炉中执行后退火处理。首先用氮气以大约10升/分的流率净化该熔炉大约5分钟(在装载台上具有该薄膜样本)。然后该薄膜样本被传送到熔炉反应器以大约5℃/分钟的加热速度开始把该薄膜加热到大约280℃,在大约280℃保持大约5分钟,以大约5℃/分钟的第二加热速度加热到大约400℃,在大约400℃保持大约4小时,使该熔炉关闭,并且使该薄膜样本冷却到低于大约100℃的温度。适当的第一保持温度可以在大约280℃和大约300℃之间,并且适当的第二保持温度可以在大约300℃和大约400℃之间。
例子6
在本例中,如例子5中所示准备一个晶片,但是该晶片温度被设置在大约300℃。然后使用CO2作为运载气体把TMCTS前驱物传送到反应器;CO2在到达TMCTS容器的入口处具有大约5psig(磅/英寸表压)的气压。首先通过建立具有所需流率和气压的TMCTS+CO2和CPO气流而淀积根据本发明的超低k膜,即TMCTS+CO2大约为150sccm以及CPO大约为75sccm以及气压大约为2000毫乇。然后,把RF电源调整到大约150W,持续大约10分钟的时间周期。然后关闭RF电源和气流。接着从反应器10上取出晶片并且如例子5中所述进行退火。
在上述例子中,以连续的方式操作等离子体。在下文所述的例子7中,以脉冲的方式操作等离子体。
例子7
在本例中,在类似于例子5的条件下执行淀积,但是以脉冲的方式操作等离子体,即具有大约50%的占空周期以及大约50毫秒至大约100毫秒的等离子体导通时间。在从反应器10除去该晶片之后,具有淀积膜的晶片被退火,如例子5所述。
例子8
在本例中,使用包含6个淀积台的反应器。该晶片夹头的温度被设置为大约350℃。使用液体传送系统把TMCTS前驱物以大约5毫升/分钟的流率传送到反应器,并且CPO以大约250sccm的流率流动,该气压被稳定在大约4000毫乇。大约5000sccm流率的CO2和大约250sccm流率的O2与TMCTS和CPO的气体混合物在反应器中混合。添加CO2和O2的混合物稳定该等离子体并且提高薄膜均匀度。大约600的总高频RF功率和大约300W的低频RF功率被施加到该反应器。在具有该晶片的每个台处的晶片上执行超低k膜淀积,在预定时间间隔之后该晶片移动到下一个台。在传送到最后的淀积台之后该晶片被从该反应器取出,并且该晶片可以被进一步可选地退火,如具体在上文的例子5中所述。
快速热退火(″RTA″)处理还可以被用于稳定超低k膜。根据本发明所获得的薄膜的特征在于介电常数k小于大约2.8,并且是热稳定的,用于集成在线的后端(″BEOL″)互连体结构中,其被正常地在高达大约400℃的温度下进行处理。因此本发明的思想可以容易地用于产生薄膜,作为在用于逻辑和存储器件的线的后端处理中的层内和层间电介质。
根据本发明所形成的方法和电子结构已经完整地在上文和图1-9的附图中说明。应当强调,图6-9中所示的电子结构仅仅用于说明可以应用于制造无数的电子器件的本发明的方法。
尽管本发明已经用示意的方式进行描述,但是应当知道在此所用的术语是描述性的而非限制性的。
另外,尽管本发明已经参照优选实施例和几个替换实施例进行具体的描述,但是本领域的普通技术人员应当知道容易把这些思想应用于本发明的其他可能的变型,而不脱离本发明的精神和范围。

Claims (98)

1.一种用于制造热稳定超低介电常数膜的方法,包括如下步骤:
提供等离子体增强化学汽相淀积反应器;
把基片放置在所述等离子体增强化学汽相淀积反应器中;
把包括环硅氧烷分子的第一前驱气体流到所述等离子体增强化学汽相淀积反应器中;
把至少第二前驱气体流到所述等离子体增强化学汽相淀积反应器中,所述第二前驱气体包括具有C、H和O原子的环状结构的有机分子;
在所述基片上淀积包括Si、C、O和H以及多个纳米尺寸孔的薄膜。
2.根据权利要求1所述的方法,进一步包括如下步骤:混合所述第一前驱气体与惰性运载气体。
3.根据权利要求1所述的方法,其中所述等离子体增强化学汽相淀积反应器是平行板型反应器。
4.根据权利要求1所述的方法,其中在淀积之后在不小于300℃的温度下,对所述薄膜执行至少0.25小时的加热。
5.根据权利要求1所述的方法,其中所述薄膜具有不大于2.8的介电常数。
6.根据权利要求1所述的方法,其中所述薄膜具有不大于2.3的介电常数。
7.根据权利要求1所述的方法,其中所述薄膜具有在1.5至2.5的范围内的介电常数。
8.根据权利要求1所述的方法,其特征在于所述薄膜包括:
在5和40原于百分比之间的Si;
在5和45原子百分比之间的C;
大于0并且小于或等于50原子百分比的O;
在10和55原子百分比之间的H。
9.根据权利要求1所述的方法,其中进一步包括如下步骤:
提供一个平行板反应器,其具有在300cm2和700cm2之间的基片夹头的面积,并且该基片和顶部电极之间的间隙在1cm和10cm之间。
10.根据权利要求3所述的方法,所述方法进一步包括如下步骤:
把RF功率施加到所述平行板等离子体增强化学汽相淀积反应器的电极。
11.根据权利要求1所述的方法,其中进一步包括如下步骤:
在不高于300℃的温度下在第一时间周期内对所述薄膜进行热处理,并且在不低于380℃的温度下在第二时间周期内对所述薄膜进行热处理,所述第二时间周期大于所述第一时间周期。
12.根据权利要求11所述的方法,其特征在于所述第二时间周期至少为所述第一时间周期的十倍。
13.根据权利要求1所述的方法,其特征在于所述环硅氧烷选自:四甲基环四硅氧烷和八甲基环四硅氧烷。
14.根据权利要求1所述的方法,其特征在于所述环硅氧烷是四甲基环四硅氧烷。
15.根据权利要求1所述的方法,其特征在于所述有机分子包括具有传递大的环应力的环结构的稠环的种类,其特征在于传递大的环应力的所述环结构包括3、4、7或者更多的原子的环。
16.根据权利要求1所述的方法,其特征在于所述有机分子是环戊烯氧化物。
17.根据权利要求1所述的方法,其特征在于所述淀积薄膜的步骤进一步包括如下步骤:
把用于所述基片的温度设置在25℃和400℃之间;以及
把RF功率密度设置在0.05W/cm2和2.0W/cm2之间。
18.根据权利要求1所述的方法,其特征在于所述淀积薄膜的步骤进一步包括:
把用于所述环硅氧烷的流率设置在5sccm和1000sccm之间。
19.根据权利要求18所述的方法,其特征在于把用于所述环硅氧烷的所述流率设置在25sccm和200sccm之间。
20.根据权利要求1所述的方法,其特征在于所述淀积所述薄膜的步骤进一步包括:
把用于所述有机分子的流率设置在5sccm和1000sccm之间。
21.根据权利要求20所述的方法,其特征在于用于所述有机分子的所述流率被设置在25sccm和200sccm之间。
22.根据权利要求1所述的方法,其特征在于淀积所述薄膜的步骤进一步包括:
把用于所述等离子体增强化学汽相淀积反应器的气压设置在50毫乇和5000毫乇之间。
23.根据权利要求22所述的方法,其特征在于用于所述等离子体增强化学汽相淀积反应器的所述气压在100毫乇和3000毫乇之间。
24.根据权利要求1所述的方法,其特征在于所述环硅氧烷是四甲基环四硅氧烷,所述有机分子是环戊烯氧化物,淀积所述薄膜的所述步骤进一步包括:
把环戊烯氧化物与四甲基环四硅氧烷的流率比设置在0.1和0.7之间。
25.根据权利要求24所述的方法,其特征在于所述环戊烯氧化物与所述四甲基环四硅氧烷的所述流率比在0.2和0.4之间。
26.根据权利要求1所述的方法,所述方法进一步包括:
提供一个平行板等离子体增强化学汽相淀积腔。
27.根据权利要求1所述的方法,其特征在于在所述等离子体增强化学汽相淀积反应器中的等离子体以连续模式运行。
28.根据权利要求1所述的方法,其特征在于在所述等离子体增强化学汽相淀积反应器中的等离子体以脉冲模式运行。
29.根据权利要求9所述的方法,其特征在于所述基片夹头的面积改变因子X使RF功率改变因子X。
30.根据权利要求9所述的方法,其特征在于基片夹头的面积改变因子Y,以及气体分配板和基片夹头之间的间距改变因子Z,使气体流率改变因子YZ,使得在等离子体滞留时间被保持。
31.根据权利要求18所述的方法,其特征在于当所述等离子体增强化学气相淀积反应器包括多个淀积台时,则所述环硅氧烷的流率倍乘在所述等离子体增强化学汽相淀积反应器中的淀积台的总数。
32.根据权利要求1所述的方法,其中,
在存在有CO2或CO2和O2的情况下在所述基片上淀积包括Si、C、O和H和多个纳米尺寸孔的薄膜。
33.根据权利要求32所述的方法,进一步包括混合所述第一前驱气体与CO2的步骤。
34.根据权利要求32所述的方法,其中进一步包括把所述第一前驱气体和所述第二前驱气体与CO2相混合或者把所述第一前驱气体和所述第二前驱气体与CO2和O2的混合物相混合的步骤。
35.根据权利要求32所述的方法,其特征在于所述等离子体增强化学汽相淀积反应器为平行板型反应器。
36.根据权利要求32所述的方法,其特征在于在淀积之后所述薄膜在不低于300℃的温度下被加热至少0.25小时。
37.根据权利要求32所述的方法,其特征在于所述薄膜具有不大于2.8的介电常数。
38.根据权利要求32所述的方法,其特征在于所述薄膜具有不大于2.3的介电常数。
39.根据权利要求32所述的方法,其特征在于所述薄膜具有从1.5到2.5范围内的介电常数。
40.根据权利要求32所述的方法,其特征在于所述薄膜包括:
在5和40原子百分比之间的Si;
在5和45原子百分比之间的C;
大于0并且小于或等于50原子百分比的O;
在10和55原子百分比之间的H。
41.根据权利要求35所述的方法,其中进一步包括如下步骤:
提供一个平行板反应器,其具有在300cm2和700cm2之间的基片夹头的面积,并且该基片和顶部电极之间的间隙在1cm和10cm之间。
42.根据权利要求35所述的方法,所述方法进一步包括如下步骤:
把RF功率施加到所述平行板等离子体增强化学汽相淀积反应器的电极。
43.根据权利要求32所述的方法,其中进一步包括如下步骤:
在不高于300℃的温度下在第一时间周期内对所述薄膜进行热处理,并且在不低于380℃的温度下在第二时间周期内对所述薄膜进行热处理,所述第二时间周期大于所述第一时间周期。
44.根据权利要求43所述的方法,其特征在于所述第二时间周期至少为所述第一时间周期的十倍。
45.根据权利要求32所述的方法,其特征在于所述环硅氧烷选自:四甲基环四硅氧烷和八甲基环四硅氧烷。
46.根据权利要求32所述的方法,其特征在于所述环硅氧烷是四甲基环四硅氧烷。
47.根据权利要求32所述的方法,其特征在于所述有机分子包括具有传递大的环应力的环结构的稠环的种类,其特征在于传递大的环应力的所述环结构包括3、4、7或者更多的原子的环。
48.根据权利要求32所述的方法,其特征在于所述有机分子是环戊烯氧化物。
49.根据权利要求32所述的方法,其特征在于所述淀积薄膜的步骤进一步包括如下步骤:
把用于所述基片的温度设置在25℃和400℃之间;以及
把RF功率密度设置在0.05W/cm2和2.0W/cm2之间。
50.根据权利要求33所述的方法,其特征在于所述淀积薄膜的步骤进一步包括:
把用于所述环硅氧烷的流率设置在5sccm和1000sccm之间,并且把所述CO2的流率设置在25sccm和1000sccm之间。
51.根据权利要求50所述的方法,其特征在于把用于所述环硅氧烷的所述流率设置在25sccm和200sccm之间,并且把所述CO2的流率设置在50sccm和500sccm之间。
52.根据权利要求33所述的方法,其特征在于所述淀积所述薄膜的步骤进一步包括:
把用于所述有机分子的流率设置在5sccm和1000sccm之间。
53.根据权利要求52所述的方法,其特征在于用于所述有机分子的所述流率被设置在25sccm和200sccm之间。
54.根据权利要求34所述的方法,其特征在于淀积所述薄膜的步骤进一步包括:
把用于所述CO2的流率设置在25sccm和1000sccm之间,并且把所述O2的流率设置在0.5sccm和50sccm之间。
55.根据权利要求54所述的方法,其特征在于把用于所述CO2的所述流率设置在50sccm和500sccm之间,并且把所述O2的流率设置在1sccm和30sccm之间。
56.根据权利要求32所述的方法,其中淀积所述薄膜的所述步骤进一步包括:
把用于所述等离子体增强化学汽相淀积反应器的气压设置在50毫乇和5000毫乇之间。
57.根据权利要求56所述的方法,其特征在于用于所述等离子体增强化学汽相淀积反应器的所述气压在100毫乇和4000毫乇之间。
58.根据权利要求32所述的方法,其特征在于所述环硅氧烷是四甲基环四硅氧烷,所述有机分子是环戊烯氧化物,淀积所述薄膜的所述步骤进一步包括:
把环戊烯氧化物与四甲基环四硅氧烷的流率比设置在0.1和0.7之间。
59.根据权利要求58所述的方法,其特征在于所述环戊烯氧化物与所述四甲基环四硅氧烷的所述流率比在0.2和0.4之间。
60.根据权利要求32所述的方法,所述方法进一步包括:
提供一个平行板等离子体增强化学汽相淀积腔。
61.根据权利要求32所述的方法,其特征在于在所述等离子体增强化学汽相淀积反应器中的等离子体以连续模式运行。
62.根据权利要求32所述的方法,其特征在于在所述等离子体增强化学汽相淀积反应器中的等离子体以脉冲模式运行。
63.根据权利要求41所述的方法,其特征在于所述基片夹头的面积改变因子X使RF功率改变因子X。
64.根据权利要求41所述的方法,其特征在于基片夹头的面积改变因子Y,以及气体分配板和基片夹头之间的间距改变因子Z,使气体流率改变因子YZ,使得在等离子体滞留时间被保持。
65.根据权利要求50所述的方法,其特征在于当所述等离子体增强化学汽相淀积反应器包括多个淀积台时,则所述环硅氧烷的流率倍乘在所述等离子体增强化学汽相淀积反应器中的淀积台的总数。
66.根据权利要求32所述的方法,其中,
使用CO2作为运载气体使包括环硅氧烷分子的第一前驱气体流到所述等离子体增强化学汽相淀积反应器中;并且
在存在有所述CO2的情况下在所述基片上淀积包括Si、C、O和H以及多个纳米尺寸孔的薄膜。
67.根据权利要求66所述的方法,其特征在于所述第一前驱物的流率在从5sccm至1000sccm之间,并且所述CO2的流率在从25sccm至1000sccm之间。
68.根据权利要求67所述的方法,其特征在于用于所述第一前驱物的流率从25sccm至200sccm之间,并且所述CO2的流率在从50sccm至500sccm之间。
69.根据权利要求32所述的方法,还包括如下步骤:
使CO2流到所述等离子体增强化学汽相淀积反应器中,其中
在存在有所述CO2的情况下在所述基片上淀积包括Si、C、O和H以及多个纳米尺寸孔的薄膜。
70.根据权利要求69所述的方法,其特征在于所述CO2的流率在从25sccm至1000sccm之间。
71.根据权利要求70所述的方法,其特征在于用于所述CO2的流率在从50sccm至500sccm之间。
72.根据权利要求32所述的方法,还包括如下步骤:
使CO2和O2的混合物流到等离子体增强化学汽相淀积反应器中,其中
在存在有所述CO2和O2的情况下在所述基片上淀积包括Si、C、O和H以及多个纳米尺寸孔的薄膜。
73.根据权利要求72所述的方法,其特征在于CO2的流率在从25sccm至1000sccm之间,并且所述O2的流率在从0.5sccm至50sccm之间。
74.根据权利要求73所述的方法,其特征在于用于所述CO2的流率从50sccm至500sccm之间,并且所述O2的流率在从1sccm至30sccm之间。
75.一种用于制造热稳定超低k膜的方法,包括如下步骤:
提供平行板型等离子体增强化学汽相淀积反应器;
把一个预处理晶片置于具有300cm2和700cm2之间的面积的基片夹头上,并且保持所述晶片和一个顶部电极之间的间距在1cm和10cm之间;
使包括环硅氧烷分子的第一前驱气体流到所述等离子体增强化学汽相淀积反应器中;
使至少第二前驱气体流到所述等离子体增强化学汽相淀积反应器中,所述第二前驱气体包含具有C、H和O原子的环状结构的有机分子;以及
把一个超低k膜淀积在所述晶片上。
76.根据权利要求75所述的方法,其中,
使具有在5sccm和1000sccm之间的流率的第一前驱气体和在5sccm和1000sccm之间的流率的第二前驱气体流入所述反应器中温度保持在25℃和400℃之间的所述晶片上方,并且使在所述反应器中的气压保持在50毫乇和5000毫乇之间;
在0.05W/cm2和2.0W/cm2之间的RF功率密度下把所述超低k膜淀积在所述晶片上,并且
该方法还包括:
在不小于300℃的温度下使所述超低k膜退火至少0.25小时。
77.根据权利要求76所述的方法,其中,
基片夹头的面积在500cm2和600cm2之间,并且该晶片和该项部电极之间的间隔保持在1cm和7cm之间;
所述晶片的温度保持在60℃和200℃之间,第一前驱气体的流率在25sccm和200sccm之间,第二前驱气体的流率在10sccm和120sccm之间,并且在所述反应器中的气压保持在100毫乇和3000毫乇之间;并且
所述RF功率密度在0.25W/cm2和0.8W/cm2之间。
78.根据权利要求75所述的方法,其中,
在存在有CO2或CO2和O2的情况下把一个超低k膜淀积在所述晶片上。
79.根据权利要求78所述的方法,进一步包括混合所述第一前驱气体与CO2的步骤。
80.根据权利要求78所述的方法,其中进一步包括把所述第一前驱气体和至少所述第二前驱气体与CO2相混合或者把所述第一前驱气体和至少所述第二前驱气体与CO2和O2的混合物相混合的步骤。
81.根据权利要求79所述的方法,其特征在于所述淀积薄膜的步骤进一步包括:
把用于所述第一前驱物的流率设置在5sccm和1000sccm之间,并且把所述CO2的流率设置在25sccm和1000sccm之间。
82.根据权利要求81所述的方法,其特征在于把用于所述第一前驱物的所述流率设置在25sccm和200sccm之间,并且把所述CO2的流率设置在50sccm和500sccm之间。
83.根据权利要求80所述的方法,其特征在于所述淀积所述薄膜的步骤进一步包括:
把用于所述CO2的流率设置在25sccm和1000sccm之间,并且把用于O2的流率设置在0.5sccm和50sccm之间。
84.根据权利要求83所述的方法,其特征在于用于所述CO2的所述流率被设置在50sccm和500sccm之间,并且用于O2的所述流率被设置在1sccm和30sccm之间。
85.根据权利要求75的方法,其特征在于,
所述第一前驱气体和第二前驱气体流入所述反应器中温度保持在25℃和400℃之间的所述晶片上方,并且使在所述反应器中的气压保持在50毫乇和5000毫乇之间;并且
在存在有CO2或CO2和O2的情况下在0.05W/cm2和2.0W/cm2之间的RF功率密度下把所述超低k膜淀积在所述晶片上;以及
在不小于300℃的温度下使所述超低k膜退火至少0.25小时。
86.根据权利要求85所述的方法,进一步包括混合所述第一前驱气体与CO2的步骤。
87.根据权利要求85所述的方法,其中进一步包括把所述第一前驱气体和至少所述第二前驱气体与CO2或CO2和O2的混合物相混合的步骤。
88.根据权利要求86所述的方法,其特征在于所述淀积薄膜的步骤进一步包括:
把用于所述第一前驱物的流率设置在5sccm和1000sccm之间,并且把所述CO2的流率设置在25sccm和1000sccm之间。
89.根据权利要求88所述的方法,其特征在于把用于所述第一前驱物的所述流率设置在25sccm和200sccm之间,并且把所述CO2的流率设置在50sccm和500sccm之间。
90.根据权利要求87所述的方法,其特征在于所述淀积所述薄膜的步骤进一步包括:
把用于所述CO2的流率设置在25sccm和1000sccm之间,并且把用于O2的流率设置在0.5sccm和50sccm之间。
91.根据权利要求90所述的方法,其特征在于用于所述CO2的所述流率被设置在50sccm和500sccm之间,并且用于O2的所述流率被设置在1sccm和30sccm之间。
92.根据权利要求85所述的方法,其中
基片夹头的面积在500cm2和600cm2之间,并且所述晶片和该顶部电极之间的间距保持在1cm和7cm之间;
所述晶片的温度保持在60℃和200℃之间,并且所述反应器中的气压保持在100毫乇和3000毫乇之间;并且
所述RF功率密度在0.25W/cm2和0.8W/cm2之间。
93.根据权利要求92所述的方法,进一步包括混合所述第一前驱气体与CO2的步骤。
94.根据权利要求92所述的方法,其中进一步包括把所述第一前驱气体和至少所述第二前驱气体与CO2相混合或者把所述第一前驱气体和至少所述第二前驱气体与CO2和O2的混合物相混合的步骤。
95.根据权利要求93所述的方法,其特征在于所述淀积薄膜的步骤进一步包括:
把用于所述第一前驱物的流率设置在5sccm和1000sccm之间,并且把所述CO2的流率设置在25sccm和1000sccm之间。
96.根据权利要求95所述的方法,其特征在于把用于所述第一前驱物的所述流率设置在25sccm和200sccm之间,并且把所述CO2的流率设置在50sccm和500sccm之间。
97.根据权利要求94所述的方法,其特征在于所述淀积所述薄膜的步骤进一步包括:
把用于所述CO2的流率设置在25sccm和100sccm之间,并且把用于O2的流率设置在0.5sccm和50sccm之间。
98.根据权利要求97所述的方法,其特征在于用于所述CO2的所述流率被设置在50sccm和500sccm之间,并且用于O2的所述流率被设置在1sccm和30sccm之间。
CNB018204090A 2000-10-25 2001-10-25 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件 Expired - Fee Related CN100386472C (zh)

Applications Claiming Priority (7)

Application Number Priority Date Filing Date Title
US24316900P 2000-10-25 2000-10-25
US60/243,169 2000-10-25
US09/769,089 US6441491B1 (en) 2000-10-25 2001-01-25 Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US09/769,089 2001-01-25
US09/938,949 2001-08-24
US09/938,949 US6756323B2 (en) 2001-01-25 2001-08-24 Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
PCT/US2001/050830 WO2002043119A2 (en) 2000-10-25 2001-10-25 An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same

Publications (2)

Publication Number Publication Date
CN1479804A CN1479804A (zh) 2004-03-03
CN100386472C true CN100386472C (zh) 2008-05-07

Family

ID=27399636

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB018204090A Expired - Fee Related CN100386472C (zh) 2000-10-25 2001-10-25 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件

Country Status (7)

Country Link
US (1) US6770573B2 (zh)
EP (1) EP1352107A2 (zh)
JP (2) JP4272424B2 (zh)
KR (1) KR100586133B1 (zh)
CN (1) CN100386472C (zh)
SG (2) SG137695A1 (zh)
WO (1) WO2002043119A2 (zh)

Families Citing this family (49)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6660656B2 (en) * 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6531398B1 (en) 2000-10-30 2003-03-11 Applied Materials, Inc. Method of depositing organosillicate layers
US6486082B1 (en) 2001-06-18 2002-11-26 Applied Materials, Inc. CVD plasma assisted lower dielectric constant sicoh film
US20030070451A1 (en) * 2001-10-11 2003-04-17 Luc Ouellet Method of reducing stress-induced mechanical problems in optical components
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7101948B2 (en) * 2001-12-21 2006-09-05 Air Products And Chemicals, Inc. Stabilizers to inhibit the polymerization of substituted cyclotetrasiloxane
US6936309B2 (en) 2002-04-02 2005-08-30 Applied Materials, Inc. Hardness improvement of silicon carboxy films
US6815373B2 (en) 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US7384471B2 (en) * 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US8951342B2 (en) 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
ATE499458T1 (de) * 2002-04-17 2011-03-15 Air Prod & Chem Verfahren zur herstellung einer porösen sioch- schicht
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US6846515B2 (en) 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
US8293001B2 (en) 2002-04-17 2012-10-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
TWI273090B (en) 2002-09-09 2007-02-11 Mitsui Chemicals Inc Method for modifying porous film, modified porous film and use of same
JP4338495B2 (ja) * 2002-10-30 2009-10-07 富士通マイクロエレクトロニクス株式会社 シリコンオキシカーバイド、半導体装置、および半導体装置の製造方法
US7485570B2 (en) 2002-10-30 2009-02-03 Fujitsu Limited Silicon oxycarbide, growth method of silicon oxycarbide layer, semiconductor device and manufacture method for semiconductor device
US6917108B2 (en) * 2002-11-14 2005-07-12 International Business Machines Corporation Reliable low-k interconnect structure with hybrid dielectric
US7011890B2 (en) 2003-03-03 2006-03-14 Applied Materials Inc. Modulated/composited CVD low-k films with improved mechanical and electrical properties for nanoelectronic devices
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US20040197474A1 (en) * 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US7968471B2 (en) * 2003-11-28 2011-06-28 Nec Corporation Porous insulating film, method for producing the same, and semiconductor device using the same
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7049247B2 (en) * 2004-05-03 2006-05-23 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
JP2006024670A (ja) * 2004-07-07 2006-01-26 Sony Corp 半導体装置の製造方法
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7491658B2 (en) * 2004-10-13 2009-02-17 International Business Machines Corporation Ultra low k plasma enhanced chemical vapor deposition processes using a single bifunctional precursor containing both a SiCOH matrix functionality and organic porogen functionality
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding
US20060166491A1 (en) * 2005-01-21 2006-07-27 Kensaku Ida Dual damascene interconnection having low k layer and cap layer formed in a common PECVD process
CN100437934C (zh) * 2005-02-08 2008-11-26 联华电子股份有限公司 减少低介电常数材料层的微粒数目的方法
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric
JP2007258403A (ja) * 2006-03-23 2007-10-04 United Microelectronics Corp 多孔性低誘電率薄膜及びその製作方法
US20080009141A1 (en) * 2006-07-05 2008-01-10 International Business Machines Corporation Methods to form SiCOH or SiCNH dielectrics and structures including the same
JP5165914B2 (ja) * 2007-03-30 2013-03-21 三井化学株式会社 多孔質シリカフィルム及びその製造方法
CN104746045B (zh) * 2013-12-26 2018-03-06 北京北方华创微电子装备有限公司 化学气相沉积方法和装置
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
CN109585264B (zh) * 2018-08-26 2020-12-22 合肥安德科铭半导体科技有限公司 一种氮化硅薄膜的可流动化学气相沉积方法
WO2020123024A1 (en) * 2018-12-13 2020-06-18 Applied Materials, Inc. Methods for depositing phosphorus-doped silicon nitride films

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
WO2000001012A1 (en) * 1998-06-29 2000-01-06 Alfred Grill Hydrogenated oxidized silicon carbon material
WO2000024050A1 (en) * 1998-10-22 2000-04-27 Applied Materials, Inc. Cvd nanoporous silica low dielectric constant films
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
JP2000216153A (ja) * 1999-01-27 2000-08-04 Matsushita Electric Ind Co Ltd 多孔質膜の形成方法、配線構造体及びその形成方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841256B2 (en) 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5989998A (en) * 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
US6068884A (en) * 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
WO2000001012A1 (en) * 1998-06-29 2000-01-06 Alfred Grill Hydrogenated oxidized silicon carbon material
WO2000024050A1 (en) * 1998-10-22 2000-04-27 Applied Materials, Inc. Cvd nanoporous silica low dielectric constant films
JP2000216153A (ja) * 1999-01-27 2000-08-04 Matsushita Electric Ind Co Ltd 多孔質膜の形成方法、配線構造体及びその形成方法

Non-Patent Citations (8)

* Cited by examiner, † Cited by third party
Title
Low dielectric constant filmspreparedbyplasma-enhancedchemical vapordepositionfromtetramethylsilane. A. Grill等.Journal of Applied Physics,Vol.85 No.6. 1999
Low dielectric constant filmspreparedbyplasma-enhancedchemical vapordepositionfromtetramethylsilane. A. Grill等.Journal of Applied Physics,Vol.85 No.6. 1999 *
LOWDIELECTRICCONSTANTSICOHFILMSASPOTENTIALCANDIDATESFORINTERCONNECTDIELECTRICS. A.GRILL等.Mat. Res. Soc.Symp. Proc.1999 Materials Research Society,Vol.565 . 1999
LOWDIELECTRICCONSTANTSICOHFILMSASPOTENTIALCANDIDATESFORINTERCONNECTDIELECTRICS. A.GRILL等.Mat. Res. Soc.Symp. Proc.1999 Materials Research Society,Vol.565 . 1999 *
LOWKIELECTRICCONSTANTSICOHFILMSASPOTENTIALCANDIDATESFORINTERCONNECTDIELECTRICS. A GRILL.MAT RES SOC SYMP PROC 1999 MATERIALS RESEARCH SOCIETY,Vol.565 . 1999
LOWKIELECTRICCONSTANTSICOHFILMSASPOTENTIALCANDIDATESFORINTERCONNECTDIELECTRICS. A GRILL.MAT RES SOC SYMP PROC 1999 MATERIALS RESEARCH SOCIETY,Vol.565 . 1999 *
Novel Low-k Dual-phase Materials Prepared by PECVD. Alfred Grill等.Mat. Res. Soc. Symp. Proc.2000 Materials Research Society,Vol.612 . 2000
Novel Low-k Dual-phase Materials Prepared by PECVD. Alfred Grill等.Mat. Res. Soc. Symp. Proc.2000 Materials Research Society,Vol.612 . 2000 *

Also Published As

Publication number Publication date
EP1352107A2 (en) 2003-10-15
JP2004515057A (ja) 2004-05-20
CN1479804A (zh) 2004-03-03
WO2002043119A2 (en) 2002-05-30
SG137694A1 (en) 2007-12-28
US6770573B2 (en) 2004-08-03
KR100586133B1 (ko) 2006-06-07
KR20030044014A (ko) 2003-06-02
WO2002043119A3 (en) 2003-03-13
US20030139062A1 (en) 2003-07-24
JP4410783B2 (ja) 2010-02-03
JP4272424B2 (ja) 2009-06-03
SG137695A1 (en) 2007-12-28
JP2007036291A (ja) 2007-02-08

Similar Documents

Publication Publication Date Title
CN100386472C (zh) 作为在半导体器件中的层内和层间绝缘体的超低介电常数材料及其制造方法、以及包含该材料的电子器件
KR100702508B1 (ko) 유전 물질 및 beol 상호 접속 구조체
CN1787881B (zh) 超低介电常数的SiCOH薄膜及其制造方法
US6541398B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
CN100524648C (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
US6790789B2 (en) Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
CN1257547C (zh) 多相低介电常数材料及其沉积方法与应用
KR100494194B1 (ko) 포로겐, 포로겐화 전구체, 및 이들을 사용하여 낮은 유전상수를 갖는 다공성 유기실리카 유리 필름을 제공하는 방법
KR20220160071A (ko) 고 탄성 계수를 갖는 막들을 증착하기 위한 신규한 전구체들
WO2022245742A1 (en) New precursors for depositing films with high elastic modulus

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: INTEL CORP .

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION

Effective date: 20140312

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20140312

Address after: American California

Patentee after: Intel Corporation

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20080507

Termination date: 20181025

CF01 Termination of patent right due to non-payment of annual fee