CN100437933C - 改善层间附着的方法 - Google Patents

改善层间附着的方法 Download PDF

Info

Publication number
CN100437933C
CN100437933C CNB2004800051582A CN200480005158A CN100437933C CN 100437933 C CN100437933 C CN 100437933C CN B2004800051582 A CNB2004800051582 A CN B2004800051582A CN 200480005158 A CN200480005158 A CN 200480005158A CN 100437933 C CN100437933 C CN 100437933C
Authority
CN
China
Prior art keywords
dielectric
layer
initial layers
barrier layer
silicon compound
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2004800051582A
Other languages
English (en)
Other versions
CN1754251A (zh
Inventor
F·C·施密特
L-Q·夏
S·V·源
S·文卡塔拉曼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1754251A publication Critical patent/CN1754251A/zh
Application granted granted Critical
Publication of CN100437933C publication Critical patent/CN100437933C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/32Carbides
    • C23C16/325Silicon carbide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02211Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound being a silane, e.g. disilane, methylsilane or chlorosilane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/7681Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving one or more buried masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31058After-treatment of organic layers

Abstract

本发明提供用于在两层低k值介电层之间沉积低介电常数附着层的衬底处理方法。本发明的一个方面提供处理衬底的方法,包括在衬底上沉积阻挡层(112),其中阻挡层包括硅和碳,其介电常数小于4,临近阻挡层,沉积介电起始层,临近介电起始层,沉积第一介电层(110),其中介电层包括硅、氧和碳,其介电常数约为3或更小。

Description

改善层间附着的方法
发明背景
发明领域
本发明涉及集成电路的制造和在衬底上沉积介电层的方法以及介电层形成的结构。
相关技术描述
在现代半导体器件的制造中,主要步骤之一是通过气体的化学反应,在衬底上形成金属层和介电层。这种沉积方法被称为化学气相沉积法或CVD。传统的热CVD方法将反应性气体供给衬底表面,在上面进行热诱发化学反应,生成需要的层。
自从几十年前半导体器件首次出现以来,其几何尺寸已大幅度减小。从那时起,集成电路通常遵从两年/一半尺寸的规律(通常称为摩尔定律),这意味着可放在芯片上的半导体器件数量每两年翻一番。现在,制造厂生产的半导体器件通常具有0.35μm,甚至0.18μm的特征尺寸,在不久的将来,会生产出更小的半导体器件。
为进一步减小集成电路上半导体器件的尺寸,有必要采用低电阻率的导电材料和低介电常数(介电常数小于4.0)的绝缘体,以减小相邻金属电线之间的电容耦合。一种低k材料是旋涂玻璃,例如,未掺杂硅玻璃(USG)或掺氟硅玻璃(FSG),在半导体的制造过程中其可沉积为填隙层,以及氧碳化硅,其在制造镶嵌结构时可用作介电层。
一种获得认可的导电材料是铜及其合金,它已成为亚四分之一微米互连技术的可选材料,这是因为铜具有比铝低的电阻率(1.7μΩ-cm,铝为3.1μΩ-cm)、较大的电流和较高的载流容量。在高集成程度和高设备速度时,这些特性对于承载较高的电流密度是很重要的。而且,铜具有良好的导热性,以很纯的状态存在。
在将铜用于半导体器件时,一个困难是铜难于蚀刻,不容易得到精确的图案。形成互连时采用传统的沉积/蚀刻方法蚀刻铜不能取得满意的结果。因此,一种具有含铜材料和低k介电材料的互连结构的制造新方法正在开发中。
一种形成垂直和水平互连结构的方法是镶嵌法(大马士革工艺)或双镶嵌法(双大马士革工艺)。镶嵌法中,一种或多种介电材料如低k介电材料被沉积并蚀刻为图案,以形成垂直互连结构即通孔和水平互连结构即线。再将导电材料如含铜材料和其它材料如阻止含铜材料向周围低k介电质扩散的阻挡层材料镶嵌在蚀刻图案上。然后移去在蚀刻图案的外部如衬底上的多余的含铜材料和阻挡层材料。
然而,在镶嵌形成法中,当使用碳化硅层和氧碳化硅层作为低k材料时,在加工过程中可观察到,层间附着不太令人满意。一些处理衬底的技术会增加成层缺陷,如分层。例如,在化学机械抛光法中通过衬底和抛光垫之间的机械研磨,将多余的含铜材料除去,衬底与抛光垫之间的力将应力引入沉积的低k介电材料中,导致分层。又例如,沉积材料的退火可诱发较高的热应力,也导致低k介电材料的分层。
因此,需要一种方法来改善低k介电层之间的层间附着。
发明概述
一般而言,本发明提供一种在两层低k介电层之间沉积具有低介电常数的附着层(adhesion layer)的方法。一方面,本发明提供一种处理衬底的方法,包括在衬底上沉积阻挡层,其中阻挡层包括硅和碳,其介电常数小于4,临近阻挡层,沉积介电起始层(dielectric initiationlayer),以及临近介电起始层,沉积第一介电层,其中该第一介电层包括硅、氧和碳,其介电常数大约为3或更小。
另一方面,本发明提供一种处理衬底的方法包括在衬底上沉积第一介电层,其中第一介电层包括硅和碳,并通过下面的方法进行沉积,该方法包括:引入具有有机硅化合物的处理气体并使处理气体进行反应以沉积第一介电层,在第一介电层的表面部分降低碳的含量;然后,临近第一介电层沉积第二介电层,其中,第一介电层包括硅、氧和碳,其介电常数为3或更小。
另一方面,本发明提供一种处理衬底的方法,包括在衬底上沉积阻挡层,其中阻挡层通过将包括有机硅化合物的处理气体引入处理室进行反应沉积而成,临近阻挡层,沉积阻挡层终端层(barrier layertermination layer),其中阻挡层终端层通过将包括有机硅化合物和氧化化合物的处理气体引入处理室进行反应沉积而成,再临近阻挡层终端层,沉积第一介电层,其中该第一介电层包括硅、氧和碳,其介电常数大约为3或更小。
附图简述
为了详细理解本发明各方面的实施方法,结合具体实施方式以及下述附图说明,对上面概述的本发明做更具体的描述。
然而应当注意,附图仅仅阐明本发明典型的具体实施方式,不能被认为是对本发明范围的限制,本发明包括其它同等效果的具体实施方式。
图1为包括碳化硅和氧碳化硅双镶嵌结构的横截面图;
图2A-2H为本发明双镶嵌沉积序列的一个具体实施方式的横截面图;
为进一步理解本发明各个方面,请参阅下面的详细描述。
优选具体实施方式详述
本发明这里所述方面涉及沉积附着的介电材料(adhesive dielectricmaterial)和/或处理介电层间表面的方法和设备,以改善介电层的层间附着。附着的介电层的沉积包括在第一介电层上形成终端层或在沉积后面的介电层之前形成起始介电层。附着的介电材料包括硅、碳,以及任选氧。改善介电层层间附着的处理包括在后续沉积之前修饰沉积层的表面,例如在介电层沉积之前进行氧化等离子体处理。对含硅、碳以及任选氧的材料的表面的处理可以减少沉积材料中的碳含量,从而改善层间附着。虽然下面所述涉及改善碳化硅层和氧碳化硅层间的附着,但下面的实施例和描述不应当被理解为是对本发明范围的限制。
碳化硅层
含硅和碳的层包括碳化硅介电层或掺杂碳化硅层。碳化硅层可为临近导电材料或介电层设置的阻挡层,或为沉积在一层或多层介电层之间的蚀刻终止层。
碳化硅层或掺氧碳化硅层通过有机硅化合物与可选的一种或多种掺杂剂反应而沉积,形成包括碳-硅键并且介电常数小于约4的介电层。含硅和碳的层优选为无定形氢化碳化硅。无定形碳化硅层通过有机硅化合物或含碳材料与含硅材料在惰性气体的等离子体中反应而生成。
碳化硅层也可包含氢、氧、氮或其结合。反应形成掺氧和/或掺氮的碳化硅层的过程中,采用氧源如氧气、臭氧或含氧有机硅前体或氮源如氨。掺氧碳化硅一般包括小于约15原子百分含量(at.%)的氧或更少,优选约为10at.%或更少。掺氮碳化硅包括高达20at.%的氮。
合适的有机硅化合物包括脂肪族有机硅化合物、环状有机硅化合物或其结合。环状有机硅化合物典型具有包括三个或更多硅原子的环,该环还可包括一个或多个氧原子。商业上可买到的环状有机硅化合物包括具有交替的硅原子和氧原子、一个或多个烷基与硅原子连接的环。
脂肪族有机硅化合物具有线型或支链结构,包括一个或多个硅原子以及一个或多个碳原子,结构中还包括氧。商业上可买到的脂肪族有机硅化合物包括硅原子间不含氧的有机硅烷和两个或多个硅原子间含有氧的有机硅氧烷。
有机硅化合物包含有机基团中的碳原子。低介电常数层由一个或多个碳原子与硅连接的有机硅化合物制得,其中的碳不易通过合适的处理条件被氧化除去。有机基团包括烷基、烯基、环己烯基、芳基及其衍生物官能团。
环状有机硅化合物包括:例如,一种或多种下列化合物:
1,3,5-丙硅烷基-2,4,6-环丙烷,            -(-SiH2CH2-)3-(环状)
2,4,6,8-四甲基环丁硅氧烷(TMCTS),         -(-SiHCH3-O-)4-(环状)
八甲基环丁硅氧烷(OMCTS),                    -(-Si(CH3)2-O-)4-(环状)
2,4,6,8,10-五甲基环戊硅氧烷,            -(-SiHCH3-O-)5-(环状)
1,3,5,7-四硅烷基-2,6-二氧-4,8-二亚甲基,-(-SiH2-CH2-SiH2-O-)2-(环状)
六甲基环丙硅氧烷,                           -(-Si(CH3)2-O-)3-(环状)
脂肪族有机硅化合物包括:例如,一种或多种下列化合物:
甲基硅烷                          CH3-SiH3
二甲基硅烷                        (CH3)2-SiH2
三甲基硅烷                        (CH3)3-SiH
二甲基二甲氧基硅烷                (CH3)2-Si-(OCH3)2
乙基硅烷                           CH3-CH2-SiH3
二硅烷基甲烷                       SiH3-CH2-SiH3
二(甲基硅烷)甲烷                   CH3-SiH2-CH2-SiH2-CH3
1,2-二硅烷基乙烷                  SiH3-CH2-CH2-SiH3
1,2-二(甲基硅烷)乙烷              CH3-SiH2-CH2-CH2-SiH2-CH3
2,2-二硅烷基丙烷                  SiH3-C(CH3)2-SiH3
1,3-二甲基二硅氧烷                CH3-SiH2-O-SiH2-CH3
1,1,3,3-四甲基二硅氧烷          (CH3)2-SiH-O-SiH-(CH3)2
六甲基二硅氧烷(HMDS)               (CH3)3-Si-O-Si-(CH3)3
1,3-二(硅烷亚甲基)二硅氧烷        (SiH3-CH2-SiH2-)2-O
二(1-甲基二硅氧烷基)甲烷           (CH3-SiH2-O-SiH2-)2-CH2
2,2-二(1-甲基二硅氧烷基)丙烷      (CH3-SiH2-O-SiH2-)2-C(CH3)2以及
六甲氧基二硅氧烷(HMDOS)            (CH3O)3-Si-O-Si-(OCH3)3
以上所列只为说明起见,不能被理解为是对本发明范围的限制。
一般地,有机硅化合物的反应在包括较不活泼的气体的等离子体中进行,不活泼气体例如氮气(N2),优选为惰性气体如氦气或氩气。沉积的碳化硅层的介电常数约为5或更小,掺杂的碳化硅层的介电常数约为3或更小。
在一个具体实施方式中,沉积优选的碳化硅层时,将三甲基硅烷以每分钟约10~约5000标准立方厘米(sccm)的速率供给等离子体处理室。也将惰性气体如氦气、氩气或其组合以约50sccm~约5000sccm的速率供给处理室。处理室压力维持在约100毫托~约15托。沉积过程中,衬底表面温度维持在约100℃~约450℃。
可选地,通过将氧源和/或氮源或其它掺杂剂以约50sccm~约10000sccm的速率引入处理室来沉积掺杂碳化硅层。例如,通过在沉积过程中引入氮源如氨、氮气、氮气与氢气的混合气或其组合,来沉积含氮或掺杂氮的碳化硅层。沉积含氮碳化硅层的方法的一个例子如2001年2月23日提交的、序列号为09/793818的美国专利申请中所公开的,在此引入与这里所述权利要求和公开内容一致的部分作为参考。沉积含氧碳化硅层的方法的一个例子如2002年7月15日提交、序列号为10/196498的美国专利申请中所公开的,在此引入与这里所述权利要求和公开内容一致的部分作为参考。
有机硅化合物、惰性气体和任选的掺杂剂通过气体分配板引入处理室,该气体分配板与在其上要沉积碳化硅层的衬底相距约200毫米(mm)~约600毫米。电源可使用单频率或双频率RF电源。例如,将单频率13.56MHz的RF电源供给处理室10,形成功率密度为约0.3W/cm2~约3.2W/cm2或200mm衬底上功率级为约100W~约1000W的等离子体。供给处理室以产生等离子体的功率密度优选为约0.9W/cm2~约2.3W/cm2或200mm衬底上功率级优选为约300W~约700W。
另外,气体混合物中硅源与掺杂剂的比例为约1∶1~约100∶1。采用位于加利福尼亚州Santa Clara的应用材料有限公司的沉积室产品、在200mm(毫米)衬底上进行操作时,在上述工艺参数下,碳化硅层的沉积速率为约
Figure C20048000515800111
/min~约
Figure C20048000515800112
/min。
所述沉积碳化硅层的具体实施方式只为阐明本发明,不能利用具体的实施方式限制本发明范围。本发明还包括其它用于沉积碳化硅层的方法和材料。
氧碳化硅层
氧碳化硅层一般包括约15at.%或更多的氧。氧碳化硅层也包含氢、氮或其组合。
优选的氧碳化硅层包括硅-氧键和硅-碳键,这有助于形成低的介电常数和阻挡性质。沉积层中碳含量为约5~约30atomic%-除氢原子之外,优选为约10~约20atomic%-除氢原子之外。沉积层包含C-H键或C-F键,使得氧碳化硅层具有疏水性。
氧碳化硅层由含有在处理条件下不易被氧化而除去的含碳有机团的有机硅化合物制得。合适的有机硅化合物如上所述,包括脂肪族有机硅化合物、环状有机硅化合物或其组合。例如,合适的有机基团包括烷基、烯基、环己烯基和芳基以及衍生官能团。
在本发明优选方面,氧碳化硅层通过使包括三个或多个烷基的有机硅化合物在包括臭氧的氧化气体存在下反应而沉积制成。如果有机硅化合物包括氧,氧碳化硅层的沉积可不需要氧化剂。优选的有机硅化合物包括:例如:
三甲基硅烷                        (CH3)3-SiH
四甲基硅烷                        (CH3)4-Si
1,1,3,3-四甲基二硅氧烷         (CH3)2-SiH-O-SiH-(CH3)2
六甲基二硅氧烷                    (CH3)3-Si-O-Si-(CH3)3
2,2-二(1-甲基二硅氧烷基)丙烷     (CH3-SiH2-O-SiH2-)2-C(CH3)2
1,3,5,7-四甲基环丁硅氧烷       -(-SiHCH3-O-)4-(环状)
八甲基环丁硅氧烷                  -(-Si(CH3)2-O-)4-(环状)
1,3,5,7,9-五甲基环戊硅氧烷    -(-SiHCH3-O-)5-(环状)
及其氟化衍生物。
有机硅化合物在氧碳化硅层沉积时被氧化,优选与氧气(O2)、臭氧(O3)、一氧化二氮(N2O)、一氧化碳(CO)、二氧化碳(CO2)、水(H2O)或其组合反应。当用臭氧作氧化气体时,臭氧发生器典型将气源中约15wt.%的氧转化为臭氧,剩余为氧气。然而,根据期望的臭氧量,提高或降低臭氧浓度,使用臭氧发生设备。含有氧的有机硅化合物可分解产生氧。在氧碳化硅层沉积过程中,衬底温度维持在约-20℃~约500℃,优选维持在约170℃~约180℃。
对于等离子体增强沉积的氧碳化硅层,有机硅材料沉积使用的功率密度为约0.03W/cm2~约6.4W/cm2,即200mm衬底上RF功率级为约10W~约2000W。优选地,RF功率级为约300W~约1700W。RF电源的频率为约0.01MHz~300MHz。RF电源可连续地供给或短周期循环供给,其中,对于频率小于200Hz的循环周期,电源在规定的功率级下接通,而总的接通周期(on cycle)是总工作周期(duty cycle)的约10%~约50%。下面将更详细地描述低介电常数层在衬底处理系统中的沉积过程。氧碳化硅层的沉积可连续进行或间断进行,例如为改善孔隙度而变换处理室或提供冷却时间。
一方面,环状有机硅化合物和脂肪族有机硅化合物与足量的氧化气体反应,在半导体衬底上沉积出低介电常数层,其中环状有机硅化合物包括至少一个硅-碳键。脂肪族有机硅化合物包含硅-氢键或硅-氧键,优选为硅-氢键。例如,环状有机硅化合物可以是1,3,5,7-四甲基环丁硅氧烷(1,3,5,7-tetramethylcyclotetrasiloxane)或八甲基环丁硅氧烷(octamethylcyclotetrasiloxane),脂肪族有机硅化合物可以是三甲基硅烷(trimethylsilane)或1,1,3,3-四甲基二硅氧烷(1,1,3,3-tetramethyledisiloxane)。
另一方面,环状有机硅化合物和脂肪族有机硅化合物都包含硅-氢键。例如,1,3,5,7-四甲基环丁硅氧烷与三甲基硅烷或1,1,3,3-四甲基二硅氧烷混合,当施加RF电源时被氧化。
在等离子体增强沉积的一个具体实施方式中,氧或含氧化合物被分解以提高其反应性,以得到期望的沉积层的氧化。RF电源与沉积室耦合,提高化合物的分解作用。化合物也可在进入沉积室之前在微波室中进行分解。
虽然沉积优选在单沉积室中进行,但氧碳化硅层的沉积也可在两个或多个沉积室中顺序进行,例如在沉积期间,进行层的冷却。另外,氧碳化硅层和碳化硅层的沉积可在同一处理室中原位进行以及通过使用选择性的前体和控制工艺参数及处理气体的组成而顺序沉积。例如,碳化硅层和氧碳化硅层的沉积都可用三甲基硅烷和氨,在碳化硅沉积中形成掺氮碳化硅,然后在氧碳化硅材料沉积时使用臭氧。
终端层和起始层
一方面,通过在碳化硅层上沉积终端层或在沉积氧碳化硅层之前沉积低介电起始层,改善层间附着。
将终端层沉积在碳化硅层上以改善后续介电材料的沉积。通过提高碳化硅处理气中氧浓度,形成掺杂碳化硅层或形成氧含量比前一层高的掺杂碳化硅层,从而实现终端层的原位沉积。使用氧化气体、含氧有机硅前体或二者,可提高氧浓度,如果起始碳化硅层也是掺氧碳化硅层,则使用比它更高的氧含量。例如,掺氧碳化硅层的氧含量可为约3at.%~约10at.%。氧浓度的提高可以除去沉积膜中碳含量,同时提高碳化硅表面密度,从而改善层间附着。终端层的沉积厚度为约
Figure C20048000515800141
~约
Figure C20048000515800142
将介电起始层沉积在碳化硅层上,以引种(to seed)氧碳化硅层的沉积。沉积介电起始层时,使用含碳氧化化合物和硝化化合物,用有机硅前体代替氧化剂,在与氧碳化硅沉积近似或相同的处理条件下进行沉积。含碳氧化化合物包括二氧化碳、一氧化碳,和它们的组合。含氮化合物包括氨、氨衍生物、联氨、氢气和氮气的混合物,和它们的组合。介电起始层和氧碳化硅层可进行原位沉积,通过改变氧化气体和终止或降低含氮化合物的流速来实现。意外地发现,在形成介电起始层时,同时使用含碳氧化化合物和硝化化合物比只使用其中一种,可明显改善附着。一种处理衬底的方法,包括:在衬底上沉积阻挡层,其中所述阻挡层包括硅和碳,其介电常数小于4;临近所述阻挡层,沉积介电起始层,其中所述介电起始层包括硅、氧和碳,并且所述介电起始层在第一温度下沉积;以及临近所述介电起始层,沉积第一介电层,其中所述第一介电层包括硅、氧和碳,其介电常数约为3或更小,并且其中所述第一介电层在低于所述第一温度的第二温度下沉积。
下面为一个具体实施方式中沉积介电起始层的实施例。将含碳氧化化合物和硝化化合物的处理气以及有机硅前体有机硅供给处理室。将有机硅化合物如三甲基硅烷和/或1,3,5,7-四甲基环丁硅氧烷以约100毫克/分(mgm)~约5000mgm的流动速率分别供给等离子处理室,含碳氧化化合物以约10sccm~约2000sccm的流动速率供给,硝化化合物以约10sccm~约2000sccm的流动速率供给,可选地,惰性气体以约1sccm~约10000sccm的流动速率供给。室温度维持在约0℃~约500℃的衬底温度,室压力维持在约100毫托~约100托之间,使用的RF电源为约0.03W/cm2~约1500W/cm2。处理气通过气体分配器引入处理室,气体分配器位于距离衬底约200密耳~约700密耳处。
RF电源频率可为13MHz~14MHz的高频或为高频与低频的混合频率。例如,可使用约13.56MHz的高频率,也可使用约13.56MHz高频与约356KHz低频的混合频率。RF电源可连续地供给或短周期循环供给,对于循环周期小于200Hz的频率,电源在规定的功率级接通,而总的接通周期是总工作周期的约10%~约30%。另外,在沉积过程中可使用RF低频电源。例如,使用功率低于约300W如低于约100W、频率为约100KHz~约1MHz如356KHz的电源,可改善膜性质,例如提高SIC膜的压缩应力,减小铜的应力迁移。
观察到,沉积终端层时惰性气体使用量比沉积碳化硅层时高,以及沉积介电起始层时惰性气体使用量比沉积氧碳化硅层时高,可改善层间附着。
沉积同步处理以改善层间附着
据信,减小碳化硅层与氧碳化硅层之间界面上碳含量可改善层间附着。修正沉积过程中处理变量可影响碳含量和层间附着。
例如,在另一方面,可通过修正一个或多个处理变量,使用有机硅化合物和氧化化合物来沉积介电起始层。观察到,在介电起始层沉积期间,降低隔离物供热(spacer heating)和提高沉积温度可改善介电起始层与碳化硅层间的附着。另外,在介电起始层沉积时,降低有机硅前体的流速,可改善它与碳化硅材料间的附着。进一步,在比后续沉积氧碳化硅层高的沉积速率下沉积氧碳化硅的介电起始层,可改善它与碳化硅层间的附着。
也观察到,假如其它处理参数不变,用于沉积介电起始层的双频率RF电源比单频率RF电源和/或应用更能改善附着。
沉积后处理以改善层间附着
如果需要,沉积之后,在约100℃~约400℃的温度下,对沉积的各介电材料退火约1min~约60min,优选为约30min,以降低其水分含量,提高介电材料的可靠性和硬度。退火优选在阻止介电层收缩或变形的下一层沉积之后进行。可在退火气氛加入惰性气体如氩气和氦气。
在沉积抗蚀材料前,也可对沉积的氧碳化硅层或碳化硅层进行等离子体处理。这种等离子体处理能从氧碳化硅层或碳化硅层表面除去部分碳材料,减低表面与后续沉积层的反应率。等离子体处理可在沉积含硅和碳材料的同一处理室中进行。
等离子体处理一般包括,以约500sccm~约3000sccm的速率向处理室提供惰性气体,包括氦、氩、氖、氙、氪或其组合,并在处理室中产生等离子体。可选地,在沉积后处理过程中,氧化气体如氧气可与惰性气体一起使用,或代替惰性气体。产生等离子体的电源功率密度为约0.03W/cm2~约3.2W/cm2,即200mm衬底上RF功率级为约10W~约1000W。优选地,在200mm衬底上沉积碳化硅材料时使用的功率级约200W~约800W。RF电源可为高频率如13MHz~14MHz。RF电源可连续地供给或短周期循环供给,对于循环周期小于200Hz的频率,电源在规定的功率级接通,而总的接通周期是总工作周期的约10%~约30%。
处理室中压力一般维持在约3托~约12托。处理室压力为约7托~10托。在等离子体处理期间,衬底维持在约300℃~约450℃温度。在等离子体处理期间,使用的衬底温度在约350℃~约450℃之间。等离子体处理的时间为约3s~约120s,优选为约5s~约40s。处理气体通过气体分配器或“喷头”进入处理室,气体分配器距离衬底表面约200密耳~约1000密耳,例如300密耳~500密耳。观察到,处理气体对衬底表面进行等离子体处理时,使用距离衬底约300密耳~约400密耳的喷头可产生有效的等离子体处理效果。
然而,应当注意,对于各种处理室和不同的衬底尺寸如300mm衬底,进行等离子体处理的各个参数可以改变。对含硅、碳的膜进行等离子体处理的一个例子还在1999年6月18日提交的、序列号为09/336525、名称为“Plasma Treatment to Enhance Adhesion and toMinimize Oxidation of Carbon-Containing Layers”的美国专利申请公开,在此引入与这里所述本发明的权利要求和公开内容一致的部分作为参考。
电子束处理
在本发明的另一方面,采用电子束(e束)技术处理沉积的碳化硅层和氧碳化硅层,以改善层间附着。e束处理可在相同的处理系统中原位进行,例如在不破坏真空情况下从一个处理室转移到另一个处理室。虽然观察到,e束处理碳化硅层和氧碳化硅层的堆叠层可取得最佳的附着结果,但可对各个碳化硅层和氧碳化硅层进行处理,以改善相邻层的附着。
碳化硅层的e束处理包括,实施量或暴露量为约50微库仑/平方厘米(μC/cm2)~约1600μC/cm2,例如约800μC/cm2,其能量为约0.5千电子伏特(KeV)~约30KeV,例如约4KeV~约10KeV,如8KeV。该实施量可根据处理衬底的尺寸改变,例如观察到,在300mm衬底上实施量为约50μC/cm2~约1600μC/cm2可形成层的附着,在200mm衬底上实施量为约200μC/cm2~约800μC/cm2可形成层的附着。
电子束产生时的压力一般为约1毫托~约100毫托。气氛包括惰性气体,包括氮、氦、氩、氙;氧化气体,包括氧气;还原气体,包括氢气、氢氮混合气、氨或这些气体的任意组合。电子束电流为约1mA~约40mA,更优选为约2mA~约20mA。电子束覆盖的面积为约4平方英寸~约700平方英寸。电子束处理设备的运转温度为约-200℃~约600℃,例如400℃。
虽然可采用任何电子束设备,但一种典型设备是EBK处理室,可从位于加利福尼亚州的Santa Clara的应用材料有限公司买到。2002年11月22日提交的、序列号为10/3302375、名称为“Method For CuringLow Dielectric Constant Film By Electron Beam”的美国专利申请全面描述了电子束处理方法,在此引入与这里所述权利要求和公开内容一致的部分作为参考。
双镶嵌结构的沉积
采用上述等离子体技术处理氧碳化硅层上面的氧碳化硅层而形成的镶嵌结构如图1所示。
虽然下述层间附着方法用在低k值蚀刻终止层114与第二介电层118之间,但本发明还设想层附着方法用于镶嵌结构的任何合适的介电层之间,例如用在碳化硅阻挡层112与第一介电层110之间。可选地,虽然未显示,但本发明还设想将上述改善层附着的技术用于改善氧碳化硅层与其上沉积的碳化硅层之间的附着,例如第一介电层110与蚀刻终止层114之间。
按所述方法,氧碳化硅材料由氧化有机硅化合物沉积而得,如同层间介电材料一样,例如第一介电层110。第一碳化硅阻挡层112一般沉积在衬底表面,以消除衬底与后续沉积材料之间的层间(inter-level)扩散。第一碳化硅阻挡层112可掺氮和/或掺氧。通过减少或去除氮源气体,可将无氮的碳化硅覆层(capping layer未显示)原位沉积在第一碳化硅阻挡层112上。
氧化有机硅化合物的第一介电层110沉积在衬底表面上的第一碳化硅阻挡层112上。然后按照所述方法,对第一介电层110进行等离子体处理或电子束处理。可选地,通过提高氧碳化硅沉积方法中氧浓度,除去沉积材料中碳,在原位将氧化硅覆层(未显示)沉积在第一介电层110上。
然后,将掺氮或氧的碳化硅蚀刻终止层(或第二阻挡层)114沉积在第一介电层110上。蚀刻终止层114可有一个不含氮的碳化硅覆层沉积在其上。然后在蚀刻终止层114上蚀刻出图案,限定出接点/通孔116的开口。在进行后续处理如蚀刻或另外的介电蚀刻之前,在蚀刻终止层114上形成层间附着层或面115,以改善与后续沉积介电材料之间的层间附着。改善的附着层包括所述介电起始层或碳化硅终端层。层间附着面可用这里所描述的技术形成。然后在蚀刻有图案的终止层上沉积氧化有机硅烷或有机硅氧烷的第二介电层118。
然后按所述方法对第二介电层118进行等离子体处理,或者在上面沉积氧化硅覆层材料。本领域公知的抗蚀材料如光刻胶材料UV-5可从位于麻萨诸塞州Marlborough的Shipley Compangy Inc.公司购得,将它用本领域公知的传统方法进行沉积并图案化,形成互连线120。然后进行单次蚀刻,形成互连向下到蚀刻终止层,并蚀刻由于图案化的蚀刻终止层暴露的未受保护的介电层,形成接点/通孔。
按本发明制造优选双镶嵌结构包括对图2E所示的暴露的氧碳化硅层进行等离子体处理或电子束处理,制造该结构的方法按先后顺序如图2A-2H所示意说明,这些图是在其上实施本发明步骤的衬底的横截面图。
如图2A所示,第一碳化硅阻挡层112被沉积在衬底表面。第一碳化硅阻挡层112的碳化硅材料掺杂有氮和/或氧。在阻挡层112上可沉积不含氮的碳化硅或氧化硅覆层,这在图中未显示。不含氮的碳化硅或氧化硅的沉积通过调整处理气体的组成在原位进行。用惰性气体对第一碳化硅阻挡层112做等离子体处理。惰性气体可使用氦(He)、氩(Ar)、氖(Ne)及其组合。碳化硅阻挡层112的等离子体处理可与其沉积原位进行。
一般地,下述处理参数用于第一碳化硅阻挡层112的等离子体处理。处理室压力为约1托~约10托,惰性气体流速为约1000sccm~约7000sccm,射频(RF)电源为约1W/cm2~约10W/cm2。碳化硅阻挡层112的等离子体处理时间小于约120s。
在第一碳化硅阻挡层112上,按照所述方法,由氧化有机硅烷或有机硅氧烷如三甲基硅烷,沉积氧碳化硅的初始的第一介电层110,沉积厚度根据制造的结构尺寸可为约
Figure C20048000515800201
~约
Figure C20048000515800202
第一介电层还包括其它低k值的介电材料,例如低k值聚合物材料包括聚对二甲苯(paralyne)或者低k值的旋涂玻璃如未掺杂硅玻璃(USG)或掺氟硅玻璃(FSG)。然后,用所述等离子体方法处理第一介电层。
如图2B所示,在第一介电层上沉积掺氮和/或氧碳化硅的低k值蚀刻终止层114,厚度为约
Figure C20048000515800203
~约
Figure C20048000515800204
然后,按照这里所述方法之一,例如介电起始层的沉积方法,在低k值蚀刻终止层114上形成或沉积层间介电附着层或面115。用这里所描述的处理碳化硅或氧碳化硅材料的方法,对低k值蚀刻终止层114和/或层间附着层或面进行等离子体处理。
然后,在低k值蚀刻终止层114上进行图案蚀刻,形成接点/通孔开口116,并在如图2C所示接点/通孔形成区域,露出第一介电层110。优选地,采用传统的光刻法和蚀刻方法,使用氟、碳和氧离子,对低k值蚀刻终止层114进行图案蚀刻。在进一步沉积其它材料前,在低k值蚀刻终止层114和/或层间附着层或面115上可沉积约
Figure C20048000515800205
~约
Figure C20048000515800206
的不含氮的碳化硅层或氧碳化硅覆层(cap layer),这在图中未显示。
低k值蚀刻终止层114已经蚀刻并形成接点/通孔图案,移走抗蚀剂材料之后,按照这里所描述的方法,由氧化有机硅烷或有机硅氧烷如三甲基硅烷,沉积氧碳化硅的第二介电层118,沉积厚度为约5000~约
Figure C20048000515800207
如图2D所示。如同第一介电层110,用氦对第二介电层118进行等离子体处理。
然后在第二介电层118(或覆层)上沉积抗蚀剂材料122,并优选采用传统的光刻法在上面蚀刻图案,形成如图2E所示的互连线120。抗蚀剂材料122包括本领域公知的材料,优选为高活化能抗蚀剂材料,如UV-5,可从位于麻萨诸塞州的Marlborough的Shipley Compangy Inc.公司购得。然后采用反应离子蚀刻方法或其它各向异性蚀刻技术来蚀刻互连和接点/通孔,形成金属化结构(即互连和接点/通孔),如图2F所示。用于图案化蚀刻终止层114或第二介电层118的任何抗蚀材料或其它材料可用氧气剥离法或其它合适方法除去。
然后,用导电性材料如铝、铜、钨或其组合形成金属化结构。现在,由于铜的低电阻率(1.7μΩ-cm,而铝为3.1μΩ-cm),趋向使用铜以形成较细小的特征。优选地,如图2G所示,合适的金属阻挡层124如氮化钽首先共形地沉积在金属化图案上,以阻止铜迁移到周围的硅和/或介电材料中。随后,采用化学气相沉积法、物理气相沉积法、电镀法或其组合,沉积铜126,形成导电性结构。一旦结构被填满铜或其它金属,就采用化学机械抛光法来平整表面,如图2H所示。
实施例
下面的实施例为这里所描述的附着方法的各种具体实施方式,与标准的层间堆叠对比,说明改善的层间附着。这些实施例均采用化学气相沉积室,在Centura DxZTM或ProducerTM系统中进行,该系统包括带有两件式石英加工配套工具的固态RF匹配装置,二者均为位于加利福尼亚州Santa Clara的应用材料有限公司制造和销售。
基本层堆叠和附着试验
试验样品如下制备。按下述过程,将一叠介电层沉积在硅衬底上:在硅衬底上,沉积所述第一碳化硅层,厚度约为在第一碳化硅层上沉积约厚度的氧碳化硅介电层,然后在氧碳化硅层上沉积约厚的第二碳化硅层。
按以下条件沉积碳化硅层:将三甲基硅烷(TMS)、氦和氨分别以160sccm、400sccm和325sccm的流速引入处理室,处理室操作温度为350℃,压力为3托,RF电源为300W,加热器位于距离衬底约300密耳处,以沉积材料。
按以下条件沉积氧碳化硅层:将三甲基硅烷(TMS)、氦和氧分别以1400sccm、400sccm和400sccm的速率引入处理室,处理室操作温度为350℃,压力为5托,RF电源为700W,加热器位于距离衬底约360密耳处,以沉积材料。
在试验样品上进行附着试验如下:在试验样品上沉积已知分层特征的环氧材料约120μm~约150μm厚。然后在约190℃,焙烧或固化试验样品一小时,再分裂为1cm样品,用液氮冷却到-170℃。然后观察样品以确定分层,在给定温度下,分层发生在最弱的层间界面上。环氧材料在给定温度下的收缩与引起剥离所需要的力有关。由此可计算出附着大小的测定值。附着值(adhesion)(断裂韧性kic)基于通式σ√(h/2),其中h为环氧材料厚度,σ为残留应力。上述未处理或未改性堆叠层的附着值(kic)测量值为0.22Mpa-m1/2
上述方法的实施例和对应的附着数值如下。
RF频率
介电起始层的单频和双频沉积制备过程如下。按以下条件沉积单频介电起始层:将三甲基硅烷(TMS)以600sccm、氦以1200sccm、氧以1000sccm、乙烯(C2H4)以1000sccm和OMCTS以4000mgm的流速引入处理室,处理室操作温度为400℃,压力为3.5托,RF电源为1100W的高频电源,具有的加热器位于距离衬底约350密耳处,以沉积材料。
测得的单频介电起始层的附着值(kic)为约0.3MPa-m1/2。测得的介电常数为3.28,沉积层硬度为2.68GPa,层的模数为17.3GPa。
按以下条件沉积双频介电起始层:将三甲基硅烷(TMS)以300sccm、氦以600sccm、氧以300sccm、乙烯(C2H4)以500sccm和OMCTS以2000mgm的流速引入处理室,处理室操作温度为400℃,压力为4.5托,RF电源为400W的高频电源和150W的低频电源,具有的加热器位于距离衬底约350密耳处,以沉积材料。
测得的双频介电起始层的附着值(断裂韧性kic)为约0.29Mpa-m1/2。测得的介电常数为2.96,沉积层硬度为2.03GPa,层的模数为12.27GPa。
一般地,已经观察到双频层附着优于单频层附着。而且还观察到提高功率级可提高附着值。另外,增大介电常数、硬度和模数也能增强附着。在上述实施例中,观察到单频率层附着值0.3MPa-m1/2大于双频率层附着值0.29MPa-m1/2,但是单频率层的功率级1110W大于双频率层时功率级400W/150W,双频率层的介电常数2.96比单频率层的介电常数3.28有了改善。按此介电层性质的趋势,对沉积介电层的方法进行修改,以获得期望的介电层性质,如提高介电常数同时减小附着值,或者提高附着值而介电性质非最佳。
掺杂介电起始层
添加掺杂剂后介电起始层改善层间附着的比较。按以下条件沉积仅添加二氧化碳的介电起始层:将三甲基硅烷(TMS)、氦、二氧化碳分别以1400sccm、400sccm和400sccm的流速引入处理室,处理室操作温度为350℃,压力为5托,RF电源为700W,具有的加热器位于距离衬底约360密耳处,以沉积材料。测得附着值(断裂韧性kic)约为0.21Mpa-m1/2
按以下条件沉积仅添加氨的介电起始层:将三甲基硅烷(TMS)、氦和氨分别以1400sccm、400sccm和325sccm的流速引入处理室,处理室操作温度为350℃,压力为5托,RF电源为700W,具有的加热器位于距离衬底约360密耳处,以沉积材料。测得附着值(断裂韧性kic)约为0.26Mpa-m1/2
按以下条件沉积添加二氧化碳和氨的介电起始层:将三甲基硅烷(TMS)、氦、二氧化碳和氨分别以1400sccm、400sccm、400sccm和325sccm的流速引入处理室,处理室操作温度为350℃,压力为5托,RF电源为700W,具有的加热器位于距离衬底约360密耳处,以沉积材料。测得附着值(kic)约为0.28Mpa-m1/2
掺杂氧的终端层
改善层间附着的掺杂氧终端层的测试结果和实施例如下:按以下条件沉积掺杂氧的碳化硅终端层:将三甲基硅烷(TMS)、氦、氧分别以160sccm、400sccm、400sccm的流速引入处理室,处理室操作温度为350℃,压力为3托,RF电源为300W,具有的加热器位于距离衬底约300密耳处,以沉积材料。测得附着值(断裂韧性kic)约为0.27Mpa-m1/2
改性的介电起始层和终端层
下面参照温度、前体流速和加热器距离三方面,对比改善层间附着的介电起始层测试样品。按以下条件,分别在350℃和400℃温度下,沉积两个样品:将三甲基硅烷(TMS)、氦、氧分别以1400sccm、400sccm和400sccm的流速引入处理室,处理室操作压力为5托,RF电源为700W,具有的加热器位于距离衬底约360密耳处,以沉积材料。测得的附着值(断裂韧性kic)在350℃时约为0.22Mpa-m1/2,在400℃时约为0.27Mpa-m1/2,表明提高沉积温度可提高附着值。
在TMS流速分别为1400sccm、700sccm和160sccm下,按以下条件沉积三个样品:将氦和氧分别以400sccm和400sccm的流速引入处理室,处理室操作温度为350℃,压力为5托,RF电源为700W,具有的加热器位于距离衬底约360密耳处,以沉积材料。测得的附着值(kic)在1400sccm时约为0.22Mpa-m1/2,在700sccm时约为0.24Mpa-m1/2,在160sccm时约为0.28Mpa-m1/2,表明降低前体流速可提高附着值。
在加热器距离分别为300密耳、360密耳和460密耳情况下,按以下条件沉积三个样品:将三甲基硅烷(TMS)、氦和氧分别以1400sccm、400sccm和400sccm的流速引入处理室,处理室操作温度为350℃,压力为5托,RF电源为700W,以沉积材料。测得的附着值(kic)在360密耳时约为0.22Mpa-m1/2,在460密耳时约为0.22Mpa-m1/2,在300sccm时约为0.30Mpa-m1/2,表明缩短加热器与衬底的距离可提高附着值。
虽然以上所述涉及本发明优选的具体实施方式,但是在不偏离本发明的基本范围时,可以作出其他的和进一步的具体实施方式,本发明范围由其权利要求书确定。

Claims (20)

1.一种处理衬底的方法,包括:
在衬底上沉积阻挡层,其中所述阻挡层包括硅和碳,其介电常数小于4;
临近所述阻挡层,沉积介电起始层,其中所述介电起始层包括硅、氧和碳,并且所述介电起始层在第一温度下沉积;以及
临近所述介电起始层,沉积第一介电层,其中所述第一介电层包括硅、氧和碳,其介电常数为3或更小,并且其中所述第一介电层在低于所述第一温度的第二温度下沉积。
2.如权利要求1所述方法,其中,所述介电起始层通过如下方式沉积:将包括有机硅化合物、氧化气体的第一处理气体引入处理室,使所述第一处理气体发生反应,从而沉积出所述介电起始层。
3.如权利要求2所述方法,其中,所述第一处理气体的有机硅化合物是八甲基环丁硅氧烷。
4.如权利要求2所述方法,其中,所述有机硅化合物选自三甲基硅烷、四甲基硅烷、1,1,3,3-四甲基二硅氧烷、六甲基二硅氧烷、2,2-二(1-甲基二硅氧烷基)丙烷、1,3,5,7-四甲基环丁硅氧烷、八甲基环丁硅氧烷或1,3,5,7,9-五甲基环戊硅氧烷。
5.如权利要求1所述方法,其中在临近所述介电起始层沉积第一介电层之前,对所述介电起始层进行电子束处理。
6.如权利要求5所述方法,其中对于300mm衬底而言,所述电子束处理的实施量在50微库仑/平方厘米(μC/cm2)至1600μC/cm2之间。
7.一种处理衬底的方法,包括:
在所述衬底上沉积阻挡层,其中所述阻挡层包括硅和碳;
临近所述阻挡层,沉积介电起始层,其中所述介电起始层是氧碳化硅层,并且所述介电起始层是通过将包括有机硅化合物和氧化气体的第一处理气体以第一有机硅化合物流速引入处理室,并使所述第一处理气体反应而沉积的;以及
临近所述介电起始层,沉积第一介电层,其中所述第一介电层包括硅、氧和碳,其介电常数为3或更小,并且所述第一介电层是通过将包括有机硅化合物和氧化气体的第二处理气体以第二有机硅化合物流速引入所述处理室,并使所述第二处理气体反应而沉积的,其中所述第二有机硅化合物流速大于所述第一有机硅化合物流速。
8.如权利要求7所述方法,其中,所述第一和第二处理气体的有机硅化合物是八甲基环丁硅氧烷。
9.如权利要求7所述方法,其中在临近所述介电起始层沉积第一介电层之前,对所述介电起始层进行电子束处理。
10.如权利要求9所述方法,其中对于300mm衬底而言,所述电子束处理的实施量在50微库仑/平方厘米(μC/cm2)至1600μC/cm2之间。
11.一种处理衬底的方法,包括:
在所述衬底上沉积阻挡层,其中所述阻挡层包括硅和碳,其介电常数小于4;
临近所述阻挡层,沉积介电起始层;以及
临近所述介电起始层,沉积第一介电层,其中所述第一介电层包括硅、氧和碳,其介电常数为3或更小,其中所述介电起始层包括硅、氧和碳,在第一沉积速率下沉积,以及其中所述第一介电层在小于所述第一沉积速率的第二沉积速率下沉积。
12.一种处理衬底的方法,包括:
在衬底上沉积阻挡层,其中所述阻挡层包括硅和碳,其介电常数小于4;
临近所述阻挡层,沉积介电起始层,其中所述介电起始层是氧碳化硅层,并且所述介电起始层是通过将包括有机硅化合物和氧化化合物的第一处理气体以第一有机硅流速引入处理室,并使所述处理气体反应而沉积的;以及
临近所述介电起始层,沉积第一介电层,其中所述第一介电层包括硅、氧和碳,其介电常数为3或更小;并且所述第一介电层是通过将包括有机硅化合物和氧化化合物的第二处理气体以第二有机硅流速引入处理室,并使所述第二处理气体反应而沉积的,其中所述第二有机硅流速大于所述第一有机硅流速。
13.如权利要求12所述方法,其中所述第一处理气体的有机硅化合物是八甲基环丁硅氧烷,以及所述第二处理气体的有机硅化合物是八甲基环丁硅氧烷。
14.如权利要求12所述方法,其中所述第一处理气体的有机硅化合物是八甲基环丁硅氧烷。
15.如权利要求12所述方法,其中所述第一处理气体的有机硅化合物和所述第二处理气体的有机硅化合物选自三甲基硅烷、四甲基硅烷、1,1,3,3-四甲基二硅氧烷、六甲基二硅氧烷、2,2-二(1-甲基二硅氧烷基)丙烷、1,3,5,7-四甲基环丁硅氧烷、八甲基环丁硅氧烷或1,3,5,7,9-五甲基环戊硅氧烷。
16.如权利要求12所述方法,其中在临近所述介电起始层沉积第一介电层之前,对所述介电起始层进行电子束处理。
17.一种处理衬底的方法,包括:
在衬底上沉积阻挡层,其中所述阻挡层是通过将包括有机硅化合物的第一处理气体引入处理室并使所述第一处理气体反应而沉积的;
临近所述阻挡层,沉积阻挡层终端层,其中所述阻挡层终端层是通过将包括有机硅化合物和氧化化合物的第二处理气体引入所述处理室并使所述第二处理气体反应而沉积的,其中所述第二处理气体的氧浓度高于所述第一处理气体;及
临近所述阻挡层终端层,沉积第一介电层,其中所述第一介电层包括硅、氧和碳,其介电常数为3或更小。
18.如权利要求17所述方法,还包括:在临近所述阻挡层终端层沉积第一介电层之前,用电子束固化技术处理所述阻挡层终端层。
19.如权利要求18所述方法,其中,对于300mm衬底而言,所述电子束处理的实施量在50微库仑/平方厘米(μC/cm2)至1600μC/cm2之间。
20.如权利要求17所述方法,其中所述第一和第二处理气体的有机硅化合物是八甲基环丁硅氧烷。
CNB2004800051582A 2003-03-07 2004-03-05 改善层间附着的方法 Expired - Fee Related CN100437933C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/383,837 2003-03-07
US10/383,837 US6913992B2 (en) 2003-03-07 2003-03-07 Method of modifying interlayer adhesion

Publications (2)

Publication Number Publication Date
CN1754251A CN1754251A (zh) 2006-03-29
CN100437933C true CN100437933C (zh) 2008-11-26

Family

ID=32927137

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800051582A Expired - Fee Related CN100437933C (zh) 2003-03-07 2004-03-05 改善层间附着的方法

Country Status (6)

Country Link
US (5) US6913992B2 (zh)
EP (1) EP1604394A2 (zh)
KR (3) KR101124781B1 (zh)
CN (1) CN100437933C (zh)
TW (1) TWI339417B (zh)
WO (1) WO2004082010A2 (zh)

Families Citing this family (192)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
KR20040096377A (ko) * 2003-05-09 2004-11-16 삼성전자주식회사 산화막 및 산질화막 형성 방법
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US6972252B1 (en) * 2003-08-25 2005-12-06 Novellus Systems, Inc. Method of improving adhesion between two dielectric films
US20050062164A1 (en) * 2003-09-23 2005-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method for improving time dependent dielectric breakdown lifetimes
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4879159B2 (ja) * 2004-03-05 2012-02-22 アプライド マテリアルズ インコーポレイテッド アモルファス炭素膜堆積のためのcvdプロセス
US7638440B2 (en) * 2004-03-12 2009-12-29 Applied Materials, Inc. Method of depositing an amorphous carbon film for etch hardmask application
US7030041B2 (en) * 2004-03-15 2006-04-18 Applied Materials Inc. Adhesion improvement for low k dielectrics
US7547643B2 (en) 2004-03-31 2009-06-16 Applied Materials, Inc. Techniques promoting adhesion of porous low K film to underlying barrier layer
US7115508B2 (en) * 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
US7223692B2 (en) * 2004-04-30 2007-05-29 Taiwan Semiconductor Manufacturing Co., Ltd Multi-level semiconductor device with capping layer for improved adhesion
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US7253123B2 (en) * 2005-01-10 2007-08-07 Applied Materials, Inc. Method for producing gate stack sidewall spacers
US20060228889A1 (en) * 2005-03-31 2006-10-12 Edelberg Erik A Methods of removing resist from substrates in resist stripping chambers
US7189658B2 (en) * 2005-05-04 2007-03-13 Applied Materials, Inc. Strengthening the interface between dielectric layers and barrier layers with an oxide layer of varying composition profile
US20060264042A1 (en) * 2005-05-20 2006-11-23 Texas Instruments, Incorporated Interconnect structure including a silicon oxycarbonitride layer
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US20070155186A1 (en) * 2005-11-22 2007-07-05 International Business Machines Corporation OPTIMIZED SiCN CAPPING LAYER
US7485912B2 (en) * 2006-03-28 2009-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Flexible metal-oxide-metal capacitor design
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
DE102006046790B4 (de) * 2006-10-02 2014-01-02 Infineon Technologies Ag Integriertes Bauelement und Verfahren zum Trennen einer elektrisch leitfähigen Verbindung
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US7964442B2 (en) * 2007-10-09 2011-06-21 Applied Materials, Inc. Methods to obtain low k dielectric barrier with superior etch resistivity
US20090176367A1 (en) * 2008-01-08 2009-07-09 Heidi Baks OPTIMIZED SiCN CAPPING LAYER
WO2009135780A1 (en) * 2008-05-08 2009-11-12 Basf Se Layered structures comprising silicon carbide layers, a process for their manufacture and their use
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
DE102008044987B4 (de) * 2008-08-29 2019-08-14 Globalfoundries Dresden Module One Limited Liability Company & Co. Kg Verfahren zur Verringerung von Partikeln in PECVD-Prozessen zum Abscheiden eines Materials mit kleiner Dielektrizitätskonstante unter Anwendung eines plasmaunterstützten Schritts nach der Abscheidung
US8993460B2 (en) * 2013-01-10 2015-03-31 Novellus Systems, Inc. Apparatuses and methods for depositing SiC/SiCN films via cross-metathesis reactions with organometallic co-reactants
JP5671253B2 (ja) * 2010-05-07 2015-02-18 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8373271B2 (en) * 2010-05-27 2013-02-12 International Business Machines Corporation Interconnect structure with an oxygen-doped SiC antireflective coating and method of fabrication
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
CN102420170A (zh) * 2011-05-13 2012-04-18 上海华力微电子有限公司 用于超厚顶层金属的先沟槽金属硬掩模双大马士革工艺
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9318345B2 (en) * 2011-10-05 2016-04-19 Globalfoundries Inc. Enhancing transistor performance by reducing exposure to oxygen plasma in a dual stress liner approach
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
FR2982609B1 (fr) * 2011-11-16 2014-06-20 Saint Gobain Vitrage hydrophobe
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
CN103579083B (zh) * 2012-07-20 2016-04-20 中芯国际集成电路制造(上海)有限公司 开口的形成方法
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
CN104103572B (zh) * 2013-04-02 2017-02-08 中芯国际集成电路制造(上海)有限公司 多孔低k介质层的形成方法及多孔低k介质层
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9111931B2 (en) * 2014-01-22 2015-08-18 Nanya Technology Corporation Method of forming an interconnect structure with high process margins
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
KR102141670B1 (ko) * 2014-01-29 2020-08-05 어플라이드 머티어리얼스, 인코포레이티드 저온 경화 모듈러스 강화
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9355862B2 (en) 2014-09-24 2016-05-31 Applied Materials, Inc. Fluorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US9842765B2 (en) 2015-03-16 2017-12-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US11195748B2 (en) 2017-09-27 2021-12-07 Invensas Corporation Interconnect structures and methods for forming same
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US20190157213A1 (en) 2017-11-20 2019-05-23 Globalfoundries Inc. Semiconductor structure with substantially straight contact profile
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
KR20200086899A (ko) 2019-01-10 2020-07-20 삼성전자주식회사 반도체 장치 제조 방법
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US11164776B2 (en) * 2019-09-30 2021-11-02 International Business Machines Corporation Metallic interconnect structure

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US20020081759A1 (en) * 1999-12-07 2002-06-27 Judy Huang Method and apparatus for reducing fixed charges in a semiconductor device
US20030003765A1 (en) * 2001-06-28 2003-01-02 Gibson Gerald W. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US20030032274A1 (en) * 2000-12-26 2003-02-13 Daniels Brian J. Method for eliminating reaction between photoresist and OSG
US6521300B1 (en) * 2001-08-16 2003-02-18 United Microelectronics Corp. Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer

Family Cites Families (83)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2554570A (en) * 1948-09-27 1951-05-29 Leo M Harvey Measuring liquid dispenser having resilient walls
US5087959A (en) 1987-03-02 1992-02-11 Microwave Technology, Inc. Protective coating useful as a passivation layer for semiconductor devices
US5817672A (en) * 1991-12-06 1998-10-06 Hoechst Marion Roussel, Inc. Trans cyclopentanyl purine analogs useful as immunosuppressants
US5739579A (en) 1992-06-29 1998-04-14 Intel Corporation Method for forming interconnections for semiconductor fabrication and semiconductor device having such interconnections
JP2899600B2 (ja) 1994-01-25 1999-06-02 キヤノン販売 株式会社 成膜方法
US5818071A (en) 1995-02-02 1998-10-06 Dow Corning Corporation Silicon carbide metal diffusion barrier layer
US6652922B1 (en) 1995-06-15 2003-11-25 Alliedsignal Inc. Electron-beam processed films for microelectronics structures
US5906042A (en) * 1995-10-04 1999-05-25 Prolinx Labs Corporation Method and structure to interconnect traces of two conductive layers in a printed circuit board
US5989998A (en) 1996-08-29 1999-11-23 Matsushita Electric Industrial Co., Ltd. Method of forming interlayer insulating film
JPH1116904A (ja) 1997-06-26 1999-01-22 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5926740A (en) 1997-10-27 1999-07-20 Micron Technology, Inc. Graded anti-reflective coating for IC lithography
KR19990030660A (ko) 1997-10-02 1999-05-06 윤종용 전자빔을 이용한 반도체장치의 층간 절연막 형성방법
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6627532B1 (en) 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6303523B2 (en) * 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6448655B1 (en) * 1998-04-28 2002-09-10 International Business Machines Corporation Stabilization of fluorine-containing low-k dielectrics in a metal/insulator wiring structure by ultraviolet irradiation
US6159871A (en) 1998-05-29 2000-12-12 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films having low dielectric constant
US6147009A (en) 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6821571B2 (en) 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6436824B1 (en) 1999-07-02 2002-08-20 Chartered Semiconductor Manufacturing Ltd. Low dielectric constant materials for copper damascene
EP1077479A1 (en) 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
FR2802336B1 (fr) 1999-12-13 2002-03-01 St Microelectronics Sa Structure d'interconnexions de type damascene et son procede de realisation
US6350670B1 (en) 1999-12-17 2002-02-26 Intel Corporation Method for making a semiconductor device having a carbon doped oxide insulating layer
US6541367B1 (en) * 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6582777B1 (en) 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6362091B1 (en) 2000-03-14 2002-03-26 Intel Corporation Method for making a semiconductor device having a low-k dielectric layer
US6409238B1 (en) 2000-04-26 2002-06-25 Illinois Tool Works Inc. Anti-rattle structure for door handle
JP3532830B2 (ja) 2000-05-24 2004-05-31 キヤノン販売株式会社 半導体装置及びその製造方法
JP2002009069A (ja) 2000-06-22 2002-01-11 Canon Sales Co Inc 成膜方法
US6794311B2 (en) * 2000-07-14 2004-09-21 Applied Materials Inc. Method and apparatus for treating low k dielectric layers to reduce diffusion
US6614181B1 (en) * 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
EP1184508A1 (de) 2000-08-30 2002-03-06 Star Coating AG Transfermaterial
TW535253B (en) 2000-09-08 2003-06-01 Applied Materials Inc Plasma treatment of silicon carbide films
WO2002043119A2 (en) 2000-10-25 2002-05-30 International Business Machines Corporation An ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device, a method for fabricating the same, and an electronic device containing the same
US6756323B2 (en) 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6504379B1 (en) * 2000-11-16 2003-01-07 Fluke Networks, Inc. Cable assembly
US6340628B1 (en) 2000-12-12 2002-01-22 Novellus Systems, Inc. Method to deposit SiOCH films with dielectric constant below 3.0
US6407013B1 (en) * 2001-01-16 2002-06-18 Taiwan Semiconductor Manufacturing Co., Ltd Soft plasma oxidizing plasma method for forming carbon doped silicon containing dielectric layer with enhanced adhesive properties
US6537733B2 (en) 2001-02-23 2003-03-25 Applied Materials, Inc. Method of depositing low dielectric constant silicon carbide layers
TW559860B (en) 2001-05-10 2003-11-01 Toshiba Corp Method for manufacturing semiconductor device
US6506692B2 (en) 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6517363B2 (en) * 2001-06-29 2003-02-11 Universal Electric Corporation Connection assembly for electrical busways
US6597003B2 (en) * 2001-07-12 2003-07-22 Axcelis Technologies, Inc. Tunable radiation source providing a VUV wavelength planar illumination pattern for processing semiconductor wafers
US6570256B2 (en) 2001-07-20 2003-05-27 International Business Machines Corporation Carbon-graded layer for improved adhesion of low-k dielectrics to silicon substrates
US7816188B2 (en) 2001-07-30 2010-10-19 Sandisk 3D Llc Process for fabricating a dielectric film using plasma oxidation
US6489238B1 (en) 2001-08-21 2002-12-03 Texas Instruments Incorporated Method to reduce photoresist contamination from silicon carbide films
US20040058090A1 (en) * 2001-09-14 2004-03-25 Carlo Waldfried Low temperature UV pretreating of porous low-k materials
US20030054115A1 (en) * 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6605549B2 (en) 2001-09-29 2003-08-12 Intel Corporation Method for improving nucleation and adhesion of CVD and ALD films deposited onto low-dielectric-constant dielectrics
US6759327B2 (en) * 2001-10-09 2004-07-06 Applied Materials Inc. Method of depositing low k barrier layers
US6656837B2 (en) 2001-10-11 2003-12-02 Applied Materials, Inc. Method of eliminating photoresist poisoning in damascene applications
US6528423B1 (en) 2001-10-26 2003-03-04 Lsi Logic Corporation Process for forming composite of barrier layers of dielectric material to inhibit migration of copper from copper metal interconnect of integrated circuit structure into adjacent layer of low k dielectric material
US6838393B2 (en) * 2001-12-14 2005-01-04 Applied Materials, Inc. Method for producing semiconductor including forming a layer containing at least silicon carbide and forming a second layer containing at least silicon oxygen carbide
US6890850B2 (en) 2001-12-14 2005-05-10 Applied Materials, Inc. Method of depositing dielectric materials in damascene applications
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US6734533B2 (en) 2002-05-30 2004-05-11 Intel Corporation Electron-beam treated CDO films
US7105460B2 (en) * 2002-07-11 2006-09-12 Applied Materials Nitrogen-free dielectric anti-reflective coating and hardmask
US6806185B2 (en) 2002-09-19 2004-10-19 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming low dielectric constant damascene structure while employing a carbon doped silicon oxide capping layer
US7404990B2 (en) 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US20040099283A1 (en) * 2002-11-26 2004-05-27 Axcelis Technologies, Inc. Drying process for low-k dielectric films
US6790788B2 (en) * 2003-01-13 2004-09-14 Applied Materials Inc. Method of improving stability in low k barrier layers
US20040150096A1 (en) * 2003-02-03 2004-08-05 International Business Machines Corporation Capping coating for 3D integration applications
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US6913992B2 (en) * 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US6737365B1 (en) 2003-03-24 2004-05-18 Intel Corporation Forming a porous dielectric layer
US20040192058A1 (en) * 2003-03-28 2004-09-30 Taiwan Semiconductor Manufacturing Co., Ltd. Pre-etching plasma treatment to form dual damascene with improved profile
US6893985B2 (en) * 2003-03-31 2005-05-17 Intel Corporation UV-activated dielectric layer
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7622399B2 (en) 2003-09-23 2009-11-24 Silecs Oy Method of forming low-k dielectrics using a rapid curing process
US6902440B2 (en) 2003-10-21 2005-06-07 Freescale Semiconductor, Inc. Method of forming a low K dielectric in a semiconductor manufacturing process
US7008882B2 (en) 2003-11-28 2006-03-07 United Microelectronics Corp. Method and structure for the adhesion between dielectric layers
US6903004B1 (en) 2003-12-16 2005-06-07 Freescale Semiconductor, Inc. Method of making a semiconductor device having a low K dielectric
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7094442B2 (en) * 2004-07-13 2006-08-22 Applied Materials, Inc. Methods for the reduction and elimination of particulate contamination with CVD of amorphous carbon
US7563727B2 (en) * 2004-11-08 2009-07-21 Intel Corporation Low-k dielectric layer formed from aluminosilicate precursors
US7259111B2 (en) * 2005-01-19 2007-08-21 Applied Materials, Inc. Interface engineering to improve adhesion between low k stacks
US7273823B2 (en) * 2005-06-03 2007-09-25 Applied Materials, Inc. Situ oxide cap layer development
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US20020081759A1 (en) * 1999-12-07 2002-06-27 Judy Huang Method and apparatus for reducing fixed charges in a semiconductor device
US20030032274A1 (en) * 2000-12-26 2003-02-13 Daniels Brian J. Method for eliminating reaction between photoresist and OSG
US20030003765A1 (en) * 2001-06-28 2003-01-02 Gibson Gerald W. Split barrier layer including nitrogen-containing portion and oxygen-containing portion
US6521300B1 (en) * 2001-08-16 2003-02-18 United Microelectronics Corp. Method of a surface treatment in improving adhesion of an organic polymeric low-k dielectric layer

Also Published As

Publication number Publication date
TW200503122A (en) 2005-01-16
KR20120031955A (ko) 2012-04-04
US20090305514A1 (en) 2009-12-10
TWI339417B (en) 2011-03-21
US6913992B2 (en) 2005-07-05
US8569166B2 (en) 2013-10-29
US7960294B2 (en) 2011-06-14
KR101124781B1 (ko) 2012-03-23
WO2004082010A2 (en) 2004-09-23
US7563728B2 (en) 2009-07-21
US20070141855A1 (en) 2007-06-21
CN1754251A (zh) 2006-03-29
EP1604394A2 (en) 2005-12-14
WO2004082010A3 (en) 2005-03-31
KR20050106036A (ko) 2005-11-08
US7226876B2 (en) 2007-06-05
US20110237085A1 (en) 2011-09-29
US20050208759A1 (en) 2005-09-22
US20040175929A1 (en) 2004-09-09
KR101061331B1 (ko) 2011-08-31
KR20110013574A (ko) 2011-02-09
KR101236474B1 (ko) 2013-02-22

Similar Documents

Publication Publication Date Title
CN100437933C (zh) 改善层间附着的方法
CN101388359B (zh) 改善低k电介质对导电材料粘附性的方法
CN100483645C (zh) 改善低k电介质粘附性的等离子体处理方法
CN100510168C (zh) 用于下一代镶嵌阻挡应用的具有良好抗氧化性的双层膜
KR101230326B1 (ko) 낮은 k 유전체의 전도성 재료들에 대한 접착 개선
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20081126

Termination date: 20150305

EXPY Termination of patent right or utility model