CN100439560C - 对基质进行真空处理的设备 - Google Patents

对基质进行真空处理的设备 Download PDF

Info

Publication number
CN100439560C
CN100439560C CNB038219824A CN03821982A CN100439560C CN 100439560 C CN100439560 C CN 100439560C CN B038219824 A CNB038219824 A CN B038219824A CN 03821982 A CN03821982 A CN 03821982A CN 100439560 C CN100439560 C CN 100439560C
Authority
CN
China
Prior art keywords
matrix
module
chamber
equipment
transfer device
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB038219824A
Other languages
English (en)
Other versions
CN1681962A (zh
Inventor
吉勒·富尔宗
让-马克·普瓦尔松
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Hef Co
Original Assignee
TECHACHINE
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by TECHACHINE filed Critical TECHACHINE
Publication of CN1681962A publication Critical patent/CN1681962A/zh
Application granted granted Critical
Publication of CN100439560C publication Critical patent/CN100439560C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/56Apparatus specially adapted for continuous coating; Arrangements for maintaining the vacuum, e.g. vacuum locks
    • C23C14/568Transferring the substrates through a series of coating stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67236Apparatus for manufacturing or treating in a plurality of work-stations the substrates being processed being not semiconductor wafers, e.g. leadframes or chips
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67703Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations between different workstations
    • H01L21/67706Mechanical details, e.g. roller, belt
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/6776Continuous loading and unloading into and out of a processing chamber, e.g. transporting belts within processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations

Abstract

该设备的特征在于由几个独立的并且排列成行的模块(M)组成,每个模块包括一个真空处理室(C)和一个带有基质转移装置的转移室(B),转移装置把基质转移到其中一个室中,或者从一个室转移到下游或上游的直接在至少一个模块旁边或与该模块分开的另一个室中,使得一个基质在一个室内进行一种特殊处理期间,另一个基质可以转移到另一个室内,以便进行另一个处理。

Description

对基质进行真空处理的设备
技术领域
[01]本发明涉及真空处理机的技术领域,例如真空镀层(
Figure C0382198200041
sousvide)领域。
背景技术
[02]特别是,本发明提出解决的问题是保证以低廉的成本处理大批量的零件。
[03]为了达到这个目的,技术人员设计了一些叫做“排列成行(enligne)”的机械,在这些机械中,镀层工艺的相继不同阶段在一些专门(dédiées)的室内实现,这些室一个跟随一个,并通过一些可以使它们互相隔绝的阀门互相连接,使要处理的零件相继从一个隔离空间到另一个隔离空间。工艺中有多少不同的阶段一般就有多少串联的隔离空间。
[04]这类机械很适用于该工艺的所有阶段持续的时间在同一数量级时,但是如果其中一个阶段的时间比其它阶段长很多,一般情况就是这样,则这个阶段对整体的动力学起主导作用,因此决定机械的生产率。所以这些机械仅得到非常有限的普及。
[05]本发明的目的是以简单、可靠、有效、合理的方式克服这些缺点。
[06]本发明提出解决的问题是保证连续处理不同基质的连续,特别是在一种金属的真空镀层的情况下,目标一方面是能够在最短的时间而不是在最长的时间上调节处理的速度,显然,这样可以大大缩短整体的处理时间,这是很重要的,另一方面,正如从所使用的技术方法得到的,由于产生一个可以模块化处理的整体,而不再是一个刚性的整体,运行时有很大的灵活性。
[07]为了解决这个问题并达到这些目标,已经设计并建立了一种由几个独立的、排列成行并且互相连通的模块组成的设备。每个模块本身由一个处理室和一个转移室组成。
[08]处理室以非限定的方式位于转移室之上,处理室通过一个真空密封门与转移室连通,并且密封门能够通过任何适当的装置折叠,以便可以把要处理的零件从一个室转移到另一个室。
[09]根据本发明的另一个特征,模块排列成行,使它们通过转移室互相连通,二个相邻转移室可以或者通过一个门分开,或者不分开。
[10]由于以本发明为基础的特征,要处理的零件可以相继从任何一个处理室过渡到任何另一个处理室,而不穿过相邻的处理室,这样可以消除传统成行机械的主要障碍。
[11]根据本发明的一个推荐的但不是限定性的实施例,为了解决使基质很容易地从一个模块转移到另一个模块并且把基质送到所考虑的真空处理室的问题,转移装置包括二个被正向带动的循环带或循环链,这些循环带或循环链设有一些能够与一个基质底座具有的互补形体配合的指杆,一个平台安装在所述传送带之间,该平台服从一些能够保证平台向真空处理室的方向垂直移动的零件,以便同时保证把基质转移到所述室中。
[12]为了解决很容易地把基质从一个模块转移到另一个模块的问题,当基质底座和基质从一个模块向另一个模块线性移动时,平台位于循环带或循环链的传动销形成的平面以下。
[13]在相当于基质进入真空处理室的平台高位,平台作为真空处理室的密封门。在这个位置,位于它们的底座上的基质总是可以被在平台下面移动的循环带或循环链的指杆带动,正如所指出的,平台位于密封关闭所考虑的真空处理室的位置。
[14]为了解决保证基质进入所考虑的真空处理室的问题,能够保证平台垂直移动的零件由一组服从至少一个千斤顶类型的控制机构的连杆和曲柄构成。
[15]对于每个模块的特殊设计,具有转移装置的层构成一个支撑结构,该结构的下部包括所述转移装置,而它的上部有一个用于密封固定真空处理室的支撑平面,所述支撑平面有一个用于嵌入基质的开口。
[16]为了解决使许多需要的模块组成的整体设备抽真空问题,模块的接受转移装置的部分形成一个能够抽真空的隔离空间,几个模块连接后,不同的隔离空间同时抽真空。
附图说明
[17]下面通过附图详细描述本发明,附图如下:
[18]-图1是一个表示符合本发明的处理设备运行原理的纯示意图。
[19]-图2是一个几个模块并列连接产生的设备的实施例透视图。
[20]-图3、4、5、6是一个模块实施例的透视图,表示基质移动和转移的原理。
具体实施方式
[21]根据一个以本发明为基础的特征,该设备由几个独立的并且排列成行的模块组成,这些模块整体用M表示。每个模块M基本相同,并且由二个重叠并且对准的层A和B组成。
[22]例如上层A有一个真空处理室C,而下层B作为转移室并且具有基质S的转移装置,转移装置把基质S转移到其中一个室C中,或者从一个室转移到下游或上游的直接在至少一个模块旁边或与该模块分开的另一个室中。
[23]每个模块M的设计,特别是它们转移装置的设计使得一个基质在一个室中进行一种特殊处理期间,另一个基质可以转移到另一个室中,以便进行另一个处理。
[24]正如下面的描述中指出的,模块M并列并排列成行,以便互相连通。确定二个相邻模块的转移装置也是为了综合保证基质S从一个模块转移到另一个模块。模块并列后,模块M特别是它们的下层B可以通过任何已知的适当方式互相连接,特别是通过简单的螺钉进行连接。
[25]对于每个模块,转移装置包括二个平行的正向带动的循环带或循环链1、2。例如循环带1和2与一些传动轮3、4配合,传动轮3、4通过一个共同的连接轴5、6两两连接。连接轴5自由安装在一些轴承上,而连接轴6与一个动力机构7连接。显然,描述和图示的用于带动循环带1和2的例子仅仅作为示例给出,而不是限定性的。
[26]每个循环带1、2设有一些指杆8,这些指杆8相对,并且指向所述循环带1、2形成的空间内。这些指杆8用于与基质底座9具有的互补形体9a配合。例如基质底座9由一个简单的水平板构成。互补形体9a由一些沟槽形成。附图中,基质或许多要处理的基质用一个平行六面体的体积表示。
[27]人们发现,这些设置可以保证位于底座9上的基质S的移动,底座9的沟槽9a与指杆8配合。几个模块连接和并列后,基质S可以很容易地在一个确定的移动方向从一个模块移动到另一个模块,例如可以通过使马达7的转动反向而向后返回。
[28]一个服从几个零件的平台10安装在循环带1和2之间,这些零件能够保证平台10的垂直移动,特别是向真空处理室C的方向上升,以便同时保证底座9上的基质在所述室C中的转移。例如一个框架11支撑平台10,框架11在所述平台10的二侧形成二个平行形成的空间11a和11b,以便可以容纳循环带1、2并使循环带1、2通过。例如,框架11服从一组连杆12和曲柄13。所述曲柄铰链连接在框架11的长边的每一端和每个模块底盘的一个固定部分上,而连杆12铰链连接在杠杆13上,并与滚轮18结合,例如滚轮18与框架11配合。
[29]例如连杆12和曲柄13的整体服从一个千斤顶14,千斤顶14铰链连接在每个模块底盘的一个固定部分上,以便可以在它的杆14a的移动作用下引导框架11和平台10的整体在高度上从下向上和从上向下地移动。
[30]平台10在低位位于循环带或循环链1和2的指杆形成的上平面以下。在这个低位,底座和基质S的整体可以有规律地转移,而不受平台10的妨碍。平台10的高位作为所考虑的真空处理室的密封门。
[31]例如基质S的底座9的不同移动和转移装置安装在下层。每个模块M由一个与滚动机构15结合的结构16构成。例如结构16构成一个整体为平行六面体的箱子。如果需要,结构的上部可以有一个用于密封固定真空处理室C的支撑平面16b。支撑平面有一个用于嵌入基质S和底座9整体的开口16a。处理基质S时,下层B与上层A之间的连通开口16a被平台10密封堵塞,平台10作为基质S和板子9整体的底座。
[32]与每个模块的下层B有关并接受移动和转移装置的结构16构成一个可以形成一个箱子或一个可以抽真空的隔离空间。几个模块连接后,通过各种技术人员已知的和适当的方法使不同的隔离空间16同时抽真空。
[33]现在回到图3、4、5、6,对每个模块,这些图表示基质从一个模块向另一个模块线形移动以及转移到所考虑的真空处理室的原理。
[34]图3表示一个平台10在底部位置的模块,平台10在二个循环带或循环链1、2之间,在所述循环带1、2的传动销形成的上平面以下。底座9上的基质S在所考虑的处理模块的入口处。
[35]在图4中,板子9和基质S的整体通过沟槽9a与传动销8配合,基质的整体通过循环带1、2线性移动,平台10始终位于底部,以便不妨碍基质整体的线性移动。当基质整体与所考虑的真空处理室的开口16b相对并对准这个开口时,控制千斤顶14作用在连杆12和曲柄13的整体上,以便同时使平台10产生一个高度方向的移动以及支撑板9和基质S在高度方向的移动(图5、6)。
[36]平台10在高位(图6)保证真空处理室的开口16a密封关闭。
[37]重要的是,在平台的这个高位中,正在处理另一个基质时(图1的基质S1),其它基质可以从一个模块向另一个模块线性移动。
[38]显然,使基质在上面指出的条件下移动和转移的各种控制装置根据所考虑的处理周期服从可编程的中央控制。对于处理隔离空间,除了某些对所考虑的处理的特殊附件外,所有模块都是相同的。
[39]与现有的技术方法相反,由于这些设置,一个模块的比较长的处理时间不会减少,但是整个处理周期缩短。例如,如果考虑一个需要几个10分钟的处理和一个30分钟的处理的基质,根据本发明,为了管理相当于30分钟的处理时间,对于这个30分钟的处理时间,将给设备设置三个完全相同的模块,以便重新回到每次装料10分钟的处理时间。因此把整体处理的速度调节到最短的处理时间,而不是调节到最长的处理时间。
[40]从描述中可以很好地看出本发明的优点。

Claims (9)

1.用于基质(S)的真空处理的设备,其特征在于,所述设备由多个相同的、独立的模块(M)组成,并且所述多个模块(M)排成行以便互相连通,每个模块包括一真空处理室(C)和一带有基质转移装置的转移室(B),所述转移装置组合保证把基质转移到其中一个室中,或者从一个室转移到下游或上游的直接在至少一个模块旁边的或与所述模块分开的另一个室中,使得一个基质(S)在一个处理室(C)内进行一种特殊处理期间,另一个基质可以转移到另一个室内,以便进行另一个处理。
2.如权利要求1所述的设备,其特征在于,每个独立的模块(M)由的真空处理室(A)和转移室(B)是重叠并对准的。
3.如权利要求2所述的设备,其特征在于,所述具有转移装置的层(B)构成一个支撑结构,在它的下部包括所述转移装置,而在它的上部有一个用于密封固定所述真空处理室的支撑平面,所述平面有一用于嵌入基质的开口。
4.如权利要求1所述的设备,其特征在于,所述转移装置包括两个正向带动的循环带或循环链(1)和(2),所述循环带或循环链(1)和(2)配设有一些指杆(8),所述指杆(8)能够与一基质底座(9)具有的互补形体(9a)配合使用,一个服从一些零件的平台(10)安装在所述循环带(1)和(2)之间,这些零件能够保证所述平台(10)的垂直移动并向所述真空处理室方向上升,以便同时保证基质转移到所述室内。
5.如权利要求4所述的设备,其特征在于,当所述基质底座(9)和基质(S)从一个模块向另一个模块线性移动时,所述平台(10)位于所述循环传动带或传动链(1)和(2)的指杆形成的平面以下。
6.如权利要求4所述的设备,其特征在于,所述平台(10)用作为所述真空处理室的密封门。
7.如权利要求4所述的设备,其特征在于,能够保证所述平台垂直移动的零件由一组连杆(12)和曲柄(13)构成,所述连杆和曲柄组服从至少一个千斤顶类型的控制机构(14)。
7.如权利要求1所述的设备,其特征在于,所述具有转移装置的层(B)构成一个支撑结构,在它的下部包括所述转移装置,而在它的上部有一个用于密封固定所述真空处理室的支撑平面,所述平面有一用于嵌入基质的开口。
8.如权利要求1所述的设备,其特征在于,模块的接受所述转移装置的部分(B)构成一个能够抽真空的隔离空间,多个模块(M)连接后,不同的隔离空间同时抽真空。
CNB038219824A 2002-08-01 2003-07-23 对基质进行真空处理的设备 Expired - Lifetime CN100439560C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
FR0209955A FR2843129B1 (fr) 2002-08-01 2002-08-01 Installation pour le traitement sous vide notamment de substrats
FR02/09955 2002-08-01

Publications (2)

Publication Number Publication Date
CN1681962A CN1681962A (zh) 2005-10-12
CN100439560C true CN100439560C (zh) 2008-12-03

Family

ID=30129697

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB038219824A Expired - Lifetime CN100439560C (zh) 2002-08-01 2003-07-23 对基质进行真空处理的设备

Country Status (12)

Country Link
US (1) US7886686B2 (zh)
EP (1) EP1525335B1 (zh)
JP (1) JP4652051B2 (zh)
CN (1) CN100439560C (zh)
AU (1) AU2003269048A1 (zh)
BR (1) BRPI0313125B1 (zh)
CA (1) CA2493988C (zh)
ES (1) ES2601388T3 (zh)
FR (1) FR2843129B1 (zh)
MX (1) MXPA05001279A (zh)
PL (1) PL374645A1 (zh)
WO (1) WO2004013375A1 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20070111367A1 (en) * 2005-10-19 2007-05-17 Basol Bulent M Method and apparatus for converting precursor layers into photovoltaic absorbers
ATE466118T1 (de) 2007-02-02 2010-05-15 Applied Materials Inc Prozesskammer, inline-beschichtungsanlage und verfahren zur behandlung eines substrats
ATE480646T1 (de) * 2007-02-09 2010-09-15 Applied Materials Inc Anlage mit einer transportvorrichtung zur behandlung von substraten
CN101139699A (zh) * 2007-08-07 2008-03-12 北京实力源科技开发有限责任公司 一种量产真空镀膜系统结构及其工件传输系统
DE102007058052B4 (de) * 2007-11-30 2013-12-05 Von Ardenne Anlagentechnik Gmbh Vakuumbeschichtungsanlage
FR2940321B1 (fr) * 2008-12-19 2011-12-23 Carewave Shielding Technologies Machine de depot sous vide,sur un substrat,de materiaux en couches minces,par pulverisation cathodique.
WO2012012394A1 (en) * 2010-07-23 2012-01-26 First Solar, Inc In-line deposition system
DE102010056123B3 (de) * 2010-12-21 2012-04-26 Ekra Automatisierungssysteme Gmbh Drucktischanordnung, Verfahren zum Betreiben einer Drucktischanordnung
CN102185024B (zh) * 2011-04-01 2013-05-15 湘潭大学 一种处理制备cigs太阳能电池吸收层的硒化炉及制备方法
US9915475B2 (en) * 2011-04-12 2018-03-13 Jiaxiong Wang Assembled reactor for fabrications of thin film solar cell absorbers through roll-to-roll processes
DE102011114593B4 (de) * 2011-09-30 2016-11-03 Manz Ag Transporteinrichtung zum Transportieren mehrerer Substrate in den Bereich einer Substrat-Behandlungseinrichtung sowie eine derart ausgestaltete Vakuumbehandlungseinrichtung
CN102605343A (zh) * 2011-12-20 2012-07-25 汉能科技有限公司 一种lpcvd工艺中的冷却腔传输系统
CN103205720B (zh) * 2012-01-17 2015-12-16 上海北玻镀膜技术工业有限公司 传动缝隙模块及应用其的连续溅射镀膜设备
WO2013116215A1 (en) * 2012-01-31 2013-08-08 First Solar, Inc. Integrated vapor transport deposition method and system
DE102012100927A1 (de) * 2012-02-06 2013-08-08 Roth & Rau Ag Prozessmodul
JP2015061049A (ja) * 2013-09-20 2015-03-30 日本電産リード株式会社 処理対象物搬送システム、及び基板検査システム
CN103531508B (zh) * 2013-10-17 2016-05-18 深圳市华星光电技术有限公司 基板运输设备及运输方法
JP6285305B2 (ja) * 2014-07-22 2018-02-28 住友化学株式会社 半導体製造装置及び半導体の製造方法
CN108231651B (zh) * 2017-12-26 2020-02-21 厦门市三安光电科技有限公司 微元件转移装置和转移方法
CN109609923B (zh) * 2019-01-11 2023-08-25 广东谛思纳为新材料科技有限公司 一种便于拉链扣电离子镀铂加工的自动化装置
US10923374B1 (en) * 2019-07-23 2021-02-16 Applied Materials, Inc. Walking beam chamber

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6315879B1 (en) * 1995-08-07 2001-11-13 United Module Corporation Modular deposition system having batch processing and serial thin film deposition

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3973665A (en) * 1975-03-07 1976-08-10 Gca Corporation Article delivery and transport apparatus for evacuated processing equipment
JPS58197262A (ja) * 1982-05-13 1983-11-16 Canon Inc 量産型真空成膜装置及び真空成膜法
JPH06105742B2 (ja) * 1983-11-28 1994-12-21 株式会社日立製作所 真空処理方法及び装置
FR2583774B1 (fr) * 1985-06-25 1992-08-14 Stein Heurtey Installation de traitements thermochimiques a temperatures et atmospheres differentes, notamment pour l'industrie automobile
JPS6328863A (ja) * 1986-07-22 1988-02-06 Ulvac Corp 真空処理装置
JP2759028B2 (ja) * 1992-10-28 1998-05-28 株式会社日立製作所 磁性膜形成装置およびインライン成膜装置
US6491802B2 (en) * 1992-10-28 2002-12-10 Hitachi, Ltd. Magnetic film forming system
US6290824B1 (en) * 1992-10-28 2001-09-18 Hitachi, Ltd. Magnetic film forming system
DE4341635C2 (de) 1993-12-07 2002-07-18 Unaxis Deutschland Holding Vakuumbeschichtungsanlage
US5730801A (en) * 1994-08-23 1998-03-24 Applied Materials, Inc. Compartnetalized substrate processing chamber
US6053687A (en) * 1997-09-05 2000-04-25 Applied Materials, Inc. Cost effective modular-linear wafer processing
JP2002203883A (ja) * 2000-12-27 2002-07-19 Shibaura Mechatronics Corp 多層膜の形成装置

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6315879B1 (en) * 1995-08-07 2001-11-13 United Module Corporation Modular deposition system having batch processing and serial thin film deposition

Also Published As

Publication number Publication date
JP4652051B2 (ja) 2011-03-16
PL374645A1 (en) 2005-10-31
MXPA05001279A (es) 2005-09-08
EP1525335A1 (fr) 2005-04-27
FR2843129B1 (fr) 2006-01-06
CA2493988C (fr) 2011-01-11
US20050145335A1 (en) 2005-07-07
JP2006509905A (ja) 2006-03-23
BRPI0313125B1 (pt) 2017-02-21
CA2493988A1 (fr) 2004-02-12
US7886686B2 (en) 2011-02-15
EP1525335B1 (fr) 2016-10-12
ES2601388T3 (es) 2017-02-15
AU2003269048A1 (en) 2004-02-23
WO2004013375A1 (fr) 2004-02-12
FR2843129A1 (fr) 2004-02-06
BR0313125A (pt) 2005-07-05
CN1681962A (zh) 2005-10-12

Similar Documents

Publication Publication Date Title
CN100439560C (zh) 对基质进行真空处理的设备
CN102803551B (zh) 用于改善处理室利用的系统及其操作方法
US20070163461A1 (en) Overhead traveling vehicle system and article storage method in the overhead traveling vehicle system
CN101461051B (zh) 基板传输设备及使用该设备的高速基板处理系统
KR100928742B1 (ko) 가공대상물 반입출 시스템 및 반송장치
CN101873933A (zh) 工件加工系统和方法
CN101101888A (zh) 衬底传送装置以及使用该装置的衬底加工系统
CN104620370A (zh) 基板处理系统及处理基板的方法
US6364093B1 (en) Walking beam conveyor and method
CN102112647B (zh) 处理系统和用于操作处理系统的方法
EP2192989A1 (en) Elevator mechanical timing connection free automatic system for immersion processing vehicle bodyworks
CN101240414B (zh) 在用于处理衬底的设备中的运输装置
CN207158714U (zh) 一种生产线及其转送系统
KR101105416B1 (ko) 기판 처리 장치
KR100627229B1 (ko) 단별 구동형 다단 마그네틱 리프터
JP3394847B2 (ja) 部品搬送装置
CN1966759A (zh) 一种真空镀膜方法和系统
CN107662100A (zh) 一种手机组装流水线
CN107433990A (zh) 将至少一个机动车部件向机动车车身输送的方法和装配站
DK1342867T3 (da) Automatisk parkeringssystem med bevægelige platforme til stötte og transport af motorköretöjer til på forhånd udvalgte parkeringspladser
CN214933745U (zh) 牙叉式基板输送装置
CN220603849U (zh) 涂胶显影系统
CN101882565A (zh) 一种在线处理设备
KR20130096175A (ko) 성막 장치 및 성막 장치용 기판 반송 기구
CN116627003A (zh) 涂胶显影系统及涂胶显影方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: HEF CO.

Free format text: FORMER OWNER: TECMACHINE

Effective date: 20110927

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20110927

Address after: About boutheon andrest - France

Patentee after: HEF Co.

Address before: About boutheon andrest - France

Patentee before: Tecmachine

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20081203