CN100452320C - 形成半导体器件的电容器的方法 - Google Patents

形成半导体器件的电容器的方法 Download PDF

Info

Publication number
CN100452320C
CN100452320C CNB2005100778930A CN200510077893A CN100452320C CN 100452320 C CN100452320 C CN 100452320C CN B2005100778930 A CNB2005100778930 A CN B2005100778930A CN 200510077893 A CN200510077893 A CN 200510077893A CN 100452320 C CN100452320 C CN 100452320C
Authority
CN
China
Prior art keywords
film
dielectric film
nitride
bottom electrode
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB2005100778930A
Other languages
English (en)
Other versions
CN1722384A (zh
Inventor
李起正
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SK Hynix Inc
Original Assignee
Hynix Semiconductor Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Hynix Semiconductor Inc filed Critical Hynix Semiconductor Inc
Publication of CN1722384A publication Critical patent/CN1722384A/zh
Application granted granted Critical
Publication of CN100452320C publication Critical patent/CN100452320C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B99/00Subject matter not provided for in other groups of this subclass
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02252Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by plasma treatment, e.g. plasma oxidation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/82Electrodes with an enlarged surface, e.g. formed by texturisation
    • H01L28/90Electrodes with an enlarged surface, e.g. formed by texturisation having vertical extensions
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/30DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells
    • H10B12/31DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor
    • H10B12/318DRAM devices comprising one-transistor - one-capacitor [1T-1C] memory cells having a storage electrode stacked over the transistor the storage electrode having multiple segments
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31616Deposition of Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3211Nitridation of silicon-containing layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes
    • H01L28/65Electrodes comprising a noble metal or a noble metal oxide, e.g. platinum (Pt), ruthenium (Ru), ruthenium dioxide (RuO2), iridium (Ir), iridium dioxide (IrO2)

Abstract

本发明涉及形成半导体器件的电容器的方法,可获得所需的漏电流特性及充电电容。本发明方法包括下列步骤:在具有储存节点接触的半导体衬底上形成下电极,该下电极与储存节点接触相互连接;对所述的下电极进行等离子体氮化,用以在下电极的表面形成第一氮化膜;在包含第一氮化膜的下电极上形成La2O3电介质膜;对所述的La2O3电介质膜进行等离子体氮化,以在La2O3电介质膜的表面形成第二氮化膜;以及,在包含第二氮化膜的La2O3电介质膜上形成上电极。

Description

形成半导体器件的电容器的方法
技术领域
本发明关于一种形成半导体器件的电容器的方法;特别是关于一种形成半导体器件的电容器的方法,其能同时确保漏电流特性和所需的充电电容。
背景技术
近来,随着半导体制造技术的发展加速存储产品的高度集成,单位单元区大大地缩小,而操作电压亦降低。然而,尽管单元区缩减,但操作存储器件所需的充电电容仍必须够高,不得少于每单元25fF,才足以防止读取错误的发生及更新时间的减少。
因此,即使具有半球形的电极表面的立体储存电极被用于动态随机存取存储器(DRAM)的氧化氮(NO)电容器,该电容器使用当前用二氯硅甲烷(DCS)沉积为电介质的Si3N4膜,NO电容器的高度仍持续增高,以确保足够的电容。
如公知的那样,电容的充电电容与电极的表面积和介电材料的介电常数成正比例,与电极间的间隔(即电介质的厚度)成反比。
又,NO电容器在确保下一代动态随机存取存储器(不小于256Mbit)所需的充电电容上亦有其限制;因此,为了确保足够的充电电容,例如Al2O3或HfO2的电介质膜作为介电材料的电容器的发展有显著的进步。
然而,由于Al2O3电介质膜的介电常数(ε=9)只是SiO2(ε≈4)的两倍,并不够高,所以在确保所需的充电电容上有其限制。因此,这样的Al2O3电介质膜只有限地作存储器的电容器的电介质膜,对该存储器运用具100纳米或更少线宽的金属线路的工艺。
此外,尽管HfO2电介质膜具有大约20的介电常数,且从确保充电电容的观点来看,其比Al2O3电介质膜更具优势,然而HfO2电介质膜的问题在于,由于其晶化温度比Al2O3电介质膜的晶化温度更低,所以当进行随后的摄氏600度或更高温的高温热工艺时,漏电流突然增加。因此,目前HfO2电介质膜并不容易应用到存储器产品。
因为上述因素,最近,已发展具有二元电介质膜结构(dual dielectric filmstructure)的HfO2/Al2O3电容器、具有三元电介质膜结构(triple dielectric filmstructure)的HfO2/Al2O3/HfO2电容器等,这些电容器经由将一层Al2O3膜与一或两层HfO2膜层叠来形成,Al2O3膜产生极低的漏电流水平,HfO2膜的介电常数比HfO2膜更高。
然而,由于晶化温度比Al2O3的晶化温度更低,如果在上电极由掺杂多晶硅形成时实施750℃或更高的高温热工艺,或是如果在上电极由金属性材料(例如TiN)所形成时实施600℃或更高的高温热工艺,则仍出现问题:HfO2电介质膜受到晶化,且杂质由上电极扩散到该电介质膜内,于是漏电流增加。此时,当上电极由掺杂多晶硅形成时,杂质为硅或掺杂剂,当上电极由TiCl4导致的TiN形成时,杂质为氯离子。
因此,目前Al2O3膜和HfO2膜实质上均难以作为能确保所需的漏电流特性和充电电容的电介质膜。
发明内容
因此,提出本发明以解决现有技术中出现的上述问题,且本发明的目的在于提供一种形成半导体器件的电容器的方法,其能确保电容器充分的耐久性及所需的充电电容的获得。
为了达成上述目的,提供一种形成半导体器件的电容器的方法,该方法包括以下步骤:在具有储存节点接触的半导体衬底上形成下电极,从而该下电极与储存节点接触相连接;等离子体氮化所述下电极,以在下电极的表面形成第一氮化膜;在包含第一氮化膜的下电极上形成La2O3电介质膜;等离子体氮化所述La2O3电介质膜,以在La2O3电介质膜的表面上形成第二氮化膜;以及在包含第二氮化膜的La2O3电介质膜上形成上电极。
根据本发明,另提供一种形成半导体器件的电容器方法,该方法包括以下步骤:在具有储存节点接触的半导体衬底上形成下电极,从而该下电极与储存节点接触相连接;等离子体氮化所述下电极,以在下电极的表面形成第一氮化膜;依序将Al2O3膜和La2O3电介质膜配置在包含第一氮化膜的下电极上,以形成Al2O3/La2O3的二元电介质膜结构;等离子体氮化所述Al2O3/La2O3的二元电介质膜结构,以在La2O3电介质膜的表面形成第二氮化膜;及在包含第二氮化膜的Al2O3/La2O3的二元电介质膜结构上形成上电极。
此外,本发明又提供一种形成半导体器件的电容器方法,该方法包括以下步骤:在具有储存节点接触的半导体衬底上形成下电极,从而该下电极与储存节点接触相连接;等离子体氮化所述下电极,以在下电极的表面形成第一氮化膜;依序将下La2O3膜、Al2O3膜及上La2O3电介质膜配置在含有第一氮化膜的下电极上,以形成La2O3/Al2O3/La2O3的三元电介质膜结构;等离子体氮化所述La2O3/Al2O3/La2O3的三元电介质膜结构,以在La2O3电介质膜的表面形成第二氮化膜;以及在包含第二氮化膜的La2O3/Al2O3/La2O3的三元电介质膜结构上形成上电极。
附图说明
本发明前述及其他目标、特征及优点,在以下详细描述和附图中将更显而易见,其中:
图1至图3是横截面图,示出根据本发明一实施例的形成电容器的工艺;
图4A至图4D是用于说明根据本发明的等离子体氮化的示图;
图5是用于说明使用原子层沉积法或脉冲化学气相沉积法来沉积La2O3膜的方法的示图。
具体实施方式
以下,参照附图说明本发明的优选实施例。
涉及本发明的技术原理,本发明经由应用氮化的La2O3电介质膜作为电介质膜而形成电容器,从而克服Al2O3膜的介电特性及HfO2膜的热稳定性的限制。此外,在形成氮化的La2O3膜的过程中,在La2O3膜沉积之后,借助于通过NH3气氛下对La2O3膜的表面实施的低温等离子体氮化将氮引入La2O3膜,La-O-N键得以形成。
在此情况下,由于La2O3膜的表面的氮化,La2O3膜本身的晶化温度提高,且也防止了杂质由下电极和上电极扩散到La2O3膜内。
因此,由于La2O3电介质膜具有约30的介电常数,所以本发明的使用氮化的La2O3电介质膜的电容器可确保所需的充电电容。此外,由于La2O3本身的晶化温度增加,且杂质进入该膜内的扩散被阻挡,所以即使进行由于半导体制造工艺的特性在形成La2O3电介质膜之后不可避免地进行的高温热工艺,本发明的电容器亦可减少漏电流电平,并改善击穿电压特性。
因此,本发明可稳定地应用La2O3电介质膜于256Mbit或更高的超高集成产品的电容器,该产品使用100纳米或更大的金属布线工艺。此外,除了La2O3单一电介质膜结构之外,本发明也可将Al2O3/La2O3的二元电介质膜结构或La2O3/Al2O3/La2O3的三元电介质膜结构应用到超高集成产品的电容器。
此外,本发明亦可提高电容器的使用寿命,而且可制备即使施行500℃或更高温的高温热工艺,仍具有良好的电特性的电容器。
以下,参照图1至图5说明根据本发明优选实施例的形成电容器的方法。在此,图1至图3为示出电容器形成工艺的横截面图,图4A至图4D为用于说明根据本发明的等离子体氮化的示图,图5是用于说明使用原子层沉积法或脉冲化学气相沉积法来沉积La2O3膜的方法的示意图。
参照图1,层间电介质膜2在形成有包含晶体管和位元线的底图案(未显示)的半导体衬底1的整个表面上形成,以覆盖底图案。接着,层间电介质膜2被蚀刻,从而形成至少一个用以露出衬底接合区或落着插塞多晶硅(LPP)的接触孔,然后导电膜被嵌进接触孔,从而形成储存节点接触(storagenode contact)3。接着,形成电荷储存电极,即下电极10,从而与储存节点接触3相连接。
在此,下电极10由掺杂多晶硅或自TiN、TaN、W、WN、WSi、Ru、RuO2、Ir、IrO2及Pt构成的组中选出的一种金属性材料形成。此外,虽然图中显示下电极10被形成为圆筒状结构,但电极10可以形成为简单的板状结构或凹面结构。此外,当下电极10由多晶硅掺杂形成时,也可以在下电极10的表面形成半球形颗粒,以确保更大的充电电容。
参照图2,下电极10的表面被等离子体氮化,于是第一氮化膜12在该表面形成。其后,La2O3膜被沉积在第一氮化膜12上,接着被等离子体氮化,从而形成作为介电材料的其表面具有第二氮化膜22的La2O3电介质膜20。
此时,实施等离子体氮化以强化La2O3电介质膜20的耐热性,并且防止杂质渗入到膜20内,其中等离子体氮化在反应室(chamber)中进行5到300秒,在反应室内,辉光放电(glow discharge)在NH3、N2或N2/H2的气氛下,利用约100至500瓦的射频(RF)功率产生,其温度为200至500℃,压力为0.1至10乇(Torr)。
图4A为示意图,示出在下电极上形成La2O3电介质膜的过程中的等离子体氮化,其中如图中可见,等离子体氮化在La2O3膜沉积之前和之后进行。
也可以以Al2O3/La2O3的二元电介质膜结构、或以La2O3/Al2O3/La2O3的三元电介质膜结构形成电介质膜,而非La2O3的单一膜结构。
当电容器以Al2O3/La2O3的二元电介质结构形成时,如果如图4B所示在La2O3膜沉积之前和之后等离子体氮化Al2O3膜的表面以形成电容器,则作为漏电流的源的来自上电极的杂质扩散首先被阻挡,且由于在La2O3膜的上和下表面上引致La-O-N键,且La2O3膜本身的晶化温度提高,所以在600℃或更高温的后续高温热工艺进行时,晶化被抑制,于是可以防止电容器的漏电流的发生,而且可以增加电容器的电介质膜的击穿电压。
然而,由于Al2O3膜比La2O3膜具有更好的热稳定性,因此可省略La2O3膜形成之前的Al2O3膜表面的等离子体氮化。换言之,可以经由只在La2O3膜的形成之后进行等离子体氮化,而达到强化La2O3膜的耐热性的充分效果。
当电介质膜以La2O3/Al2O3/La2O3的三元电介质结构形成时,优选在下La2O3膜的沉积之前和之后、及上La2O3膜的沉积之前和之后进行等离子体氮化。然而,在生产率方面,也优选只在下La2O3膜的形成之前、及上La2O3膜的形成之后选择性地进行等离子体氮化。
此外,在等离子体氮化之后,电容器的电特性可以改变,因为氮被累积在La2O3电介质膜20或Al2O3膜的表面。因此,需要控制累积的氮的浓度分布,在等离子体氮化之后,在常态或减压状态在600至900℃的范围,根据快速热处理(RTP)或炉管处理实施退火,以选择性地热扩散氮。
同时,在形成La2O3电介质膜20的过程中,包括Al2O3膜的La2O3膜的沉积使用原子层沉积法(ALD)或有机金属化学气相沉积法(MOCVD)或改进的脉冲化学气相沉积法(pulsed-CVD process)来进行。此时,若电介质膜以单一的La2O3电介质膜结构形成,则La2O3膜被沉积为50至150埃厚;若电介质膜以Al2O3/La2O3的二元电介质膜结构、或以三元电介质膜结构形成,则下La2O3膜、Al2O3膜、及上La2O3膜分别被沉积为10至100埃、5至25埃、及10至100埃厚。
在沉积La2O3膜时,La(CH3)3或La(iPr-AMD)3(其中AMD为“amidinate”的缩写,表示基团“双氮基脒”)可作为La组元的源气体,或者含有La的其他有机金属化合物,例如La(C2H5)3,可作为源气体的前体,且O3(浓度:200±50g/m3,100至1000cc)、O2(100至1000cc)或H2O蒸气(100至1000cc)可作为反应气体。此外,当Al2O3膜被沉积时,Al(CH3)3可作为Al组元的源气体,或含有Al的其他有机金属化合物,例如Al(C2H5)3,可作为源气体的前体,且O3(浓度:200±20g/m3,100至1000cc)或O2(100至1000cc)可用作或H2O蒸气(100至1000cc)可作为反应气体。
La2O3膜或Al2O3膜的使用原子层沉积或脉冲化学气相沉积的沉积以重复沉积循环的方式进行,直到获得所需的膜厚度,在该沉积循环中源气体流入步骤、净化步骤、反应气体流入步骤和净化步骤按序进行。
参照图3,经由根据公知工艺在其表面已然形成有第二氮化膜22的La2O3电介质膜20上形成一极板电极,即上电极30,本发明的电容器40被完成。其后,氮化硅膜或掺杂多晶硅膜被沉积在包括上电极30的所得衬底上至厚度为大约200至1000埃,从而形成保护膜50,因此提升电容器40抵抗湿度、温度或电冲击的结构稳定性。
在此,与下电极10类似,上电极30由掺杂多晶硅、或自TiN、TaN、W、WN、WSi、Ru、RuO2、Ir、IrO2及Pt构成的组中选出的一金属性材料形成。
如上所述,根据本发明,经由使用其中通过在低温下等离子体氮化La2O3膜从而将氮导入La2O3膜而引发了La-O-N键的La2O3电介质膜作为电容器电介质,可以增高La2O3电介质膜的晶化温度,并防止自上和下电极的杂质的扩散,从而可增进漏电流特性和击穿电压特性,同时确保所需充电电容。
因此,与现有技术相比,根据本发明可以将700℃或更高温的高温热工艺导致的漏电流水平降低至少两倍,即使Al2O3/La2O3二元电介质膜结构或La2O3/Al2O3/La2O3三元电介质膜被用来形成电容器,而非La2O3单一电介质膜结构,从而能提升超高集成存储器产品中电容器的耐久性和可靠性。
虽然本发明优选实施例已经为说明的目的得以描述,但是本领域技术人员将察觉到各种修改、增加及替换均有其可能性,而不偏离所附权利要求中公开的本发明的范围和主旨。

Claims (27)

1.一种形成半导体器件的电容器的方法,包括步骤:
在具有储存节点接触的半导体衬底上形成下电极,从而该下电极与该储存节点接触相连接;
等离子体氮化该下电极,从而在该下电极的表面上形成第一氮化膜;
在包含该第一氮化膜的该下电极上形成La2O3电介质膜;
等离子体氮化所述La2O3电介质膜,从而在该La2O3电介质膜的表面上形成第二氮化膜;以及
在包含该第二氮化膜的该La2O3电介质膜上形成上电极。
2.如权利要求1的方法,其中该下电极和该上电极由掺杂多晶硅、或自TiN、TaN、W、WN、WSi、Ru、RuO2、Ir、IrO2及Pt构成的组中选出的一金属性材料形成。
3.如权利要求1的方法,其中该La2O3电介质膜的等离子体氮化在反应室中实施5至300秒,在该反应室中辉光放电在200至500℃的温度,在0.1至10乇的压力,且在自NH3、N2及N2/H2构成的组中选出的气氛下,利用调至100至500瓦的射频功率而产生。
4.如权利要求1或3的方法,其中在该La2O3电介质膜的等离子体氮化之后,还包括在常态或减压状态且在600至900℃范围内的一温度进行快速热处理或炉管退火的步骤,从而该La2O3电介质膜的该表面上累积的氮被扩散。
5.如权利要求1的方法,其中该La2O3电介质膜通过使用自原子层沉积法、有机金属化学气相沉积法、及改进的脉冲化学气相沉积法构成的组中选出的任一种方法的沉积来形成。
6.如权利要求5的方法,其中该La2O3电介质膜被沉积至50至150埃厚。
7.如权利要求5的方法,其中通过使用自La(CH3)3、La(iPr-AMD)3、La(C2H5)3、及其他含有La的有机金属化合物构成的组中选出的任一种作为源气体,且使用自O3、O2及H2O蒸气中选出的任一种作为反应气体,进行该La2O3膜的沉积。
8.如权利要求1的方法,其中在形成该上电极的步骤之后,该方法还包括形成200至1000埃厚的保护膜的步骤,该保护膜包括氮化硅膜或掺杂多晶硅。
9.一种形成半导体器件的电容器的方法,包括步骤:
在具有储存节点接触的半导体衬底上形成下电极,从而该下电极与该储存节点接触相连接;
等离子体氮化该下电极,从而在该下电极的表面上形成第一氮化膜;
依序将Al2O3膜和La2O3电介质膜沉积在包含该第一氮化膜的该下电极上,从而形成Al2O3/La2O3的二元电介质膜结构;
等离子体氮化该Al2O3/La2O3的二元电介质膜结构,从而在该La2O3电介质膜的表面上形成第二氮化膜;以及
在包含该第二氮化膜的该Al2O3/La2O3的二元电介质膜结构上形成上电极。
10.如权利要求9的方法,其中该下电极和该上电极由掺杂多晶硅、或自TiN、TaN、W、WN、WSi、Ru、RuO2、Ir、IrO2及Pt构成的组中选出的一金属性材料形成。
11.如权利要求9的方法,其中该La2O3电介质膜的等离子体氮化在反应室中实施5至300秒,在该反应室中辉光放电在200至500℃的温度,在0.1至10乇的压力,且在自NH3、N2及N2/H2构成的组中选出的气氛下,利用调至100至500瓦的射频功率而产生。
12.如权利要求9的方法,其中在该Al2O3膜的沉积之后及该La2O3膜的沉积之前,该方法还包括等离子体氮化该Al2O3膜的步骤。
13.如权利要求9或12的方法,其中在该Al2O3/La2O3的二元电介质膜结构的等离子体氮化之后,该方法还包括在常态或减压状态且在600至900℃范围内的一温度进行快速热处理或炉管退火的步骤,从而该La2O3电介质膜的表面上累积的氮被扩散。
14.如权利要求9的方法,其中该Al2O3膜和该La2O3膜通过使用自原子层沉积法、有机金属化学气相沉积法、及改进的脉冲化学气相沉积法构成的组中选出的任一种方法的沉积来形成。
15.如权利要求14的方法,其中该Al2O3膜被沉积至5至25埃厚,该La2O3电介质膜被沉积至10至100埃厚。
16.如权利要求14的方法,其中通过使用自La(CH3)3、La(iPr-AMD)3、La(C2H5)3、及其他含有La的有机金属化合物构成的组中选出的任一种作为La组元的源气体,使用自Al(CH3)3、Al(C2H5)3、及其他包含Al的有机金属化合物构成的组中选出的任一种作为Al组元的源气体,且使用自O3、O2及H2O蒸气中选出的任一种作为反应气体,进行该Al2O3膜和该La2O3膜的沉积。
17.如权利要求9的方法,其中在形成该上电极的步骤之后,该方法还包括形成200至1000埃厚的保护膜的步骤,该保护膜包括氮化硅膜或掺杂多晶硅。
18.一种形成半导体器件的电容器的方法,包括步骤:
在具有储存节点接触的半导体衬底上形成下电极,从而该下电极与该储存节点接触相连接;
等离子体氮化该下电极,从而在该下电极的表面上形成第一氮化膜;
依序将下La2O3膜、Al2O3膜、及上La2O3电介质膜沉积在包括该第一氮化膜的该下电极上,从而形成La2O3/Al2O3/La2O3的三元电介质膜结构;
等离子体氮化该La2O3/Al2O3/La2O3的三元电介质膜结构,从而在该上La2O3电介质膜的表面上形成第二氮化膜;以及
在包含该第二氮化膜的该La2O3/Al2O3/La2O3的三元电介质膜结构上形成上电极。
19.如权利要求18的方法,其中该下电极和该上电极由掺杂多晶硅、或自TiN、TaN、W、WN、WSi、Ru、RuO2、Ir、IrO2及Pt构成的组中选出的一金属性材料形成。
20.如权利要求18的方法,其中该La2O3电介质膜的等离子体氮化在反应室中实施5至300秒,在该反应室中辉光放电在200至500℃的温度,在0.1至10乇的压力,且在自NH3、N2及N2/H2构成的组中选出的气氛下,利用调至100至500瓦的射频功率而产生。
21.如权利要求18的方法,其中该方法在该下La2O3膜的沉积之后还包括等离子体氮化该下La2O3膜的表面的步骤,且在该Al2O3膜的沉积之后还包括等离子体氮化该Al2O3膜的表面的步骤。
22.如权利要求18的方法,其中在该下La2O3的沉积之后,该方法还包括等离子体氮化该下La2O3的表面的步骤。
23.如权利要求18或21的方法,其中在该La2O3/Al2O3/La2O3的三元电介质膜结构的等离子体氮化之后,该方法还包括在常态或减压状态且在600至900℃范围内的一温度进行快速热处理或炉管退火的步骤,从而该上La2O3电介质膜的表面上累积的氮被扩散。
24.如权利要求18的方法,其中该下La2O3膜、该Al2O3膜和该上La2O3膜通过使用自原子层沉积法、有机金属化学气相沉积法、及改进的脉冲化学气相沉积法构成的组中选出的任一种方法的沉积来形成。
25.如权利要求24的方法,其中该下La2O3膜被沉积至10至100埃厚,该Al2O3膜被沉积至5至25埃厚,该上La2O3电介质膜被沉积至10至100埃厚。
26.如权利要求24的方法,其中通过使用自La(CH3)3、La(iPr-AMD)3、La(C2H5)3、及其他含有La的有机金属化合物构成的组中选出的任一种作为La组元的源气体,使用自Al(CH3)3、Al(C2H5)3、及其他包含Al的有机金属化合物构成的组中选出的任一种作为Al组元的源气体,且使用自O3、O2及H2O蒸气中选出的任一种作为反应气体,进行该下La2O3膜、该Al2O3膜及该上La2O3膜的沉积。
27.如权利要求18的方法,其中在形成该上电极的步骤之后,该方法还包括形成200至1000埃厚的保护膜的步骤,该保护膜包括氮化硅膜或掺杂多晶硅。
CNB2005100778930A 2004-06-30 2005-06-13 形成半导体器件的电容器的方法 Expired - Fee Related CN100452320C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
KR1020040050065A KR100587082B1 (ko) 2004-06-30 2004-06-30 반도체 소자의 캐패시터 형성방법
KR50065/04 2004-06-30

Publications (2)

Publication Number Publication Date
CN1722384A CN1722384A (zh) 2006-01-18
CN100452320C true CN100452320C (zh) 2009-01-14

Family

ID=35344860

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100778930A Expired - Fee Related CN100452320C (zh) 2004-06-30 2005-06-13 形成半导体器件的电容器的方法

Country Status (4)

Country Link
US (1) US6967135B1 (zh)
KR (1) KR100587082B1 (zh)
CN (1) CN100452320C (zh)
TW (1) TWI264110B (zh)

Families Citing this family (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7126182B2 (en) * 2004-08-13 2006-10-24 Micron Technology, Inc. Memory circuitry
KR100763123B1 (ko) * 2005-12-12 2007-10-04 주식회사 하이닉스반도체 플래시 메모리 소자의 유전체막 형성 방법
KR100827471B1 (ko) * 2006-10-27 2008-05-06 동부일렉트로닉스 주식회사 아날로그 커패시터의 제조 방법
CN103377875A (zh) * 2012-04-23 2013-10-30 南亚科技股份有限公司 电容的制作方法
US11289487B2 (en) * 2018-02-23 2022-03-29 Micron Technology, Inc. Doped titanium nitride materials for DRAM capacitors, and related semiconductor devices, systems, and methods
WO2019208226A1 (ja) 2018-04-27 2019-10-31 株式会社村田製作所 キャパシタ
CN112018090A (zh) * 2020-07-21 2020-12-01 中国科学院微电子研究所 一种电容结构及其制备方法和半导体器件

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1280392A (zh) * 1999-07-02 2001-01-17 现代电子产业株式会社 半导体存储元件的电容器及其制造方法
US20020079588A1 (en) * 2000-12-26 2002-06-27 Nam-Kyeong Kim Semiconductor device and method for manufacturing the same
US20030060003A1 (en) * 2001-08-31 2003-03-27 Thomas Hecht Capacitor device for a semiconductor circuit configuration, and fabrication method
CN1467823A (zh) * 2002-06-21 2004-01-14 ����ʿ�뵼�����޹�˾ 半导体器件的电容器的制造方法
US20040077142A1 (en) * 2002-10-17 2004-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition and plasma treatment method for forming microelectronic capacitor structure with aluminum oxide containing dual dielectric layer

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5969935A (en) * 1996-03-15 1999-10-19 Ramtron International Corporation Use of calcium and strontium dopants to improve retention performance in a PZT ferroelectric film
WO2001054200A1 (en) * 2000-01-19 2001-07-26 North Carolina State University Lanthanum oxide-based gate dielectrics for integrated circuit field effect transistors and methods of fabricating same
US20020089023A1 (en) * 2001-01-05 2002-07-11 Motorola, Inc. Low leakage current metal oxide-nitrides and method of fabricating same
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7115530B2 (en) * 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1280392A (zh) * 1999-07-02 2001-01-17 现代电子产业株式会社 半导体存储元件的电容器及其制造方法
US20020079588A1 (en) * 2000-12-26 2002-06-27 Nam-Kyeong Kim Semiconductor device and method for manufacturing the same
US20030060003A1 (en) * 2001-08-31 2003-03-27 Thomas Hecht Capacitor device for a semiconductor circuit configuration, and fabrication method
CN1467823A (zh) * 2002-06-21 2004-01-14 ����ʿ�뵼�����޹�˾ 半导体器件的电容器的制造方法
US20040077142A1 (en) * 2002-10-17 2004-04-22 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition and plasma treatment method for forming microelectronic capacitor structure with aluminum oxide containing dual dielectric layer

Also Published As

Publication number Publication date
TWI264110B (en) 2006-10-11
KR100587082B1 (ko) 2006-06-08
US6967135B1 (en) 2005-11-22
CN1722384A (zh) 2006-01-18
TW200601547A (en) 2006-01-01
KR20060001048A (ko) 2006-01-06

Similar Documents

Publication Publication Date Title
US9059330B2 (en) Methods of forming integrated circuit capacitors having composite dielectric layers therein containing crystallization inhibiting regions
US7206215B2 (en) Antifuse having tantalum oxynitride film and method for making same
CN100452320C (zh) 形成半导体器件的电容器的方法
US6656788B2 (en) Method for manufacturing a capacitor for semiconductor devices
KR100505397B1 (ko) 반도체메모리소자의캐패시터제조방법
KR20080079514A (ko) 반도체 소자의 제조방법
US6579755B2 (en) High dielectric capacitor and method of manufacturing the same
KR20010008510A (ko) 반도체소자의 고정전용량 커패시터 형성방법
KR100772531B1 (ko) 캐패시터의 제조 방법
CN100369193C (zh) 形成半导体器件的电容器的方法
KR100342873B1 (ko) 반도체장치의 커패시터 제조방법
JPH11145423A (ja) 半導体装置の製造方法
KR100614576B1 (ko) 캐패시터 제조 방법
KR101061169B1 (ko) 반도체 소자의 캐패시터 형성방법
KR100373162B1 (ko) 반도체 소자의 캐패시터 제조방법
KR100414868B1 (ko) 캐패시터의 제조 방법
KR100504434B1 (ko) 반도체장치의 커패시터 제조방법
US6528436B1 (en) Method of forming silicon nitride layer directly on HSG polysilicon
KR20020018355A (ko) 반도체장치의 캐패시터 제조방법
KR100395903B1 (ko) 반도체장치의커패시터제조방법
US6653197B2 (en) Method for fabricating capacitor of semiconductor device
KR100382610B1 (ko) 고집적 디램용 셀 커패시터의 제조방법
KR100636661B1 (ko) 고신뢰성 커패시터 제조방법
KR100865545B1 (ko) 반도체 소자의 캐패시터 형성 방법
KR100384868B1 (ko) 캐패시터의 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090114

Termination date: 20130613