CN100508108C - 具有热内表面的小体积处理室 - Google Patents

具有热内表面的小体积处理室 Download PDF

Info

Publication number
CN100508108C
CN100508108C CNB200480038467XA CN200480038467A CN100508108C CN 100508108 C CN100508108 C CN 100508108C CN B200480038467X A CNB200480038467X A CN B200480038467XA CN 200480038467 A CN200480038467 A CN 200480038467A CN 100508108 C CN100508108 C CN 100508108C
Authority
CN
China
Prior art keywords
plasma
substrate
cover layer
electrode
gatherer
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB200480038467XA
Other languages
English (en)
Other versions
CN1898774A (zh
Inventor
A·D·拜利三世
T·倪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1898774A publication Critical patent/CN1898774A/zh
Application granted granted Critical
Publication of CN100508108C publication Critical patent/CN100508108C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32853Hygiene
    • H01J37/32862In situ cleaning of vessels and/or internal parts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/6704Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing
    • H01L21/67051Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for wet cleaning or washing using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67075Apparatus for fluid treatment for etching for wet etching
    • H01L21/6708Apparatus for fluid treatment for etching for wet etching using mainly spraying means, e.g. nozzles
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • H01L21/6831Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping using electrostatic chucks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/7684Smoothing; Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/02Details
    • H01J2237/022Avoiding or removing foreign or contaminating particles, debris or deposits on sample or tube

Abstract

一种处理基板的系统及方法包括将基板装载到等离子体室中和将等离子体室的压力设定为预定压力设定值。限定等离子体区的多个内表面被加热到大于约200℃的处理温度。处理气体被注入等离子体区内,以形成等离子体,且基板被处理。

Description

具有热内表面的小体积处理室
技术领域
本发明总体涉及蚀刻半导体基板,更具体地涉及等离子体蚀刻半导体基板的系统及方法。
背景技术
一般而言,集成电路器件(呈半导体基板和晶片形式)的制造包括等离子体蚀刻室的使用。等离子体蚀刻室能在蚀刻如由光致抗蚀剂掩模限定的基板上的选择的层。等离子体蚀刻室被配置为接收处理气体(即,蚀刻化学物质),同时射频(RF)功率被应用于等离子体蚀刻室的一个或多个电极。也为特定工艺控制等离子体蚀刻室内的压力。在将想要的RF功率应用于电极时,激活室中的处理气体,以便产生等离子体。等离子体因而被配置为进行半导体晶片的选择层的想要的蚀刻。
低挥发性副产物在某些现有技术等离子体蚀刻工艺中产生。例如,在使用含氯气体(例如,Cl2和HCl)的铜蚀刻工艺中,副产物是CuClx。CuClx在室温时是不挥发的。低挥发性副产物通常冷凝在室壁上。最终,副产物积累到一定厚度。积累的副产物接着开始从室壁“剥落”,因此变成显著的颗粒源。颗粒可污染在室中被蚀刻的基板。
意识到等离子蚀刻室的内表面暴露于等离子体,常常将该室设计为允许简单的衬套部(例如,盘、环、柱形)的使用。由于这些衬套部被配置为将等离子体限制在正在被处理的基板上,所以这些衬套部连续暴露并被正在处理的等离子体攻击。由于这种暴露,这些衬套部最终腐蚀或聚集,产生聚合物结垢现象,这要求更换或彻底的清洗。然而,对于实际成本和清洗和更换所需要的失去的生产时间而言,这些衬套部的清洗和/或更换成本可变得非常昂贵。
鉴于上述,需要一种大体消除由于等离子体蚀刻室的壁上副产物聚集造成颗粒污染的系统和方法。
发明内容
广言之,本发明通过提供一种用于处理基板的改良系统满足这些需要。应理解,本发明可以多种方式(包括工艺、设备、系统、计算机可读介质或装置)实现。
根据本发明,提供了一种处理基板的方法,包括:将基板装载到等离子体室中;将所述等离子体室的压力设定为预定压力设定值;限定等离子体区的多个内表面至高于200℃的处理温度,所述多个内表面包括热衬套,所述热衬套具有足以防止所述热衬套上的沉积的温度;将处理气体注入所述等离子体区内,以形成等离子体;及处理所述基板。
所述方法进一步包括通过冷收集器从等离子体区吸走副产物蒸汽,以在冷收集器中冷凝副产物蒸汽。冷收集器具有比处理温度低至少约50℃的温度。
处理基板可包括蚀刻基板。处理基板也可包括在基板上蚀刻铜膜。加热限定等离子体区的内表面至处理温度可包括使基板的表面保持在足以使得副产物蒸汽冷凝在基板表面上的第二温度,以在基板上沉积膜。第二温度比处理温度低至少约50℃。
预定压力设定值小于大气压力。预定压力设定值在约1毫托和约500毫托的范围内。
等离子体室是小体积等离子体室。小体积等离子体室包括第一电极。第一电极形成用于支承基板的吸盘。也可包括第二电极。第二电极是多个内表面的一个。第一和第二电极隔开预定距离。预定距离在约0.5cm和约5cm之间的范围内。小体积等离子体室包括热衬垫,其中热衬垫形成多个内表面的部分。
处理基板包括无应力平面化,其中将基板图案化,具有填充图案中的多个特征的传导互连材料。传导互连材料包括具有至少一个不均匀度的覆盖层部分。无应力平面化包括将覆盖层部分平面化,覆盖层部分平面化包括:将附加层沉积在覆盖层部分上;及将所述附加层和覆盖层部分平面化,所述附加层在平面化工艺中大体被完全去除。
根据本发明,还提供了一种等离子体室,包括:第一电极,所述第一电极形成用于支承所述基板的吸盘;第二电极,所述第二电极平行于所述第一电极设置,所述第一和第二电极隔开预定距离;及热衬垫,其中所述热衬垫和所述第二电极形成多个内表面的部分,以限定等离子体区,所述热衬垫具有足以防止所述热衬垫上的沉积的温度。
小体积等离子体室也可包括冷收集器。所述冷收集器比限定等离子体区的多个内表面充分冷,以大体使得副产物蒸汽在冷收集器中冷凝。所述冷收集器通过通道连接至等离子体区。
根据本发明,还提供了一种通过下述方法形成的半导体器件,所述方法包括:将图案化的基板装载到等离子体室中,所述图案包括形成在基板中的多个特征,所述基板具有填充图案中的多个特征的传导互连材料,所述传导互连材料包括一个覆盖层部分,所述覆盖层部分具有至少一个不均匀度;将所述等离子体室的压力设定为预定压力设定值;加热限定等离子体区的多个内表面至高于200℃的处理温度,所述多个内表面包括热衬套,所述热衬套具有足以防止所述热衬套上的沉积的温度;将处理气体注入所述等离子体区内,以形成等离子体;及处理所述基板,包括:将附加层形成在所述覆盖层部分上;及将所述附加层和所述覆盖层部分平面化,所述附加层在所述平面化工艺中被完全去除;及通过冷收集器从等离子体区吸走副产物蒸汽,以在所述冷收集器中冷凝所述副产物蒸汽。
本发明提供了一种具有多个内表面的小体积等离子体室,其中这些内表面能被大体均匀地加热到处理温度,以确保副产物蒸汽(特别是具有低挥发性的副产物蒸汽)不会冷凝在内表面上,从而不会形成颗粒污染源。
并且,副产物蒸汽被从等离子体区吸走,并通过副产物蒸汽可冷凝的冷收集器。冷收集器被充分地物理隔离,从而大体防止由冷凝的副产物蒸汽形成的颗粒迁移到正在被处理的基板。
本发明提供了大体上使颗粒产生和所形成的基板污染最小的优点。这通过大体消除等离子体化学物质在等离子体室的内表面上的冷凝实现。在与等离子体区至少部分物理隔离的冷收集器中进行冷凝。
根据下面结合例示本发明的原理的附图做出的详细描述,本发明的其它方面和优点将变得显然。
附图说明
根据以下结合附图给出的详细描述,将容易理解本发明,并且相同参考标号表示相似的结构元件。
图1A示出根据本发明的一个实施例的小体积等离子体蚀刻处理室的侧视图。
图1B是根据本发明的一个实施例用于在上述等离子体室中蚀刻基板的方法操作的流程图;
图2A示出根据本发明的一个实施例的在双镶嵌工艺中的图案化的半导体基板。
图2B示出根据本发明的一个实施例添加的附加层。
图3示出根据本发明一个实施例的大体平坦的覆盖层部分。
图4A示出根据本发明的一个实施例已经经历第二蚀刻工艺的基板。
图4B示出根据本发明的一个实施例已经经历隔层去除工艺的基板。
图5是根据本发明的一个实施例执行局部平面化的方法操作的流程图。
图6A-6D示出根据本发明的一个实施例应用于基板以提高局部均匀度的化学转化和回蚀工艺的顺序。
图7是根据本发明的一个实施例应用于基板以提高局部均匀度的化学转化和回蚀工艺的方法操作的流程图。
图8是根据本发明的一个实施例纠正全局不均匀度的方法操作的流程图。
图9示出根据本发明的一个实施例的大体去除的平面化的覆盖层部分。
具体实施方式
现在将描述用于在基板上执行等离子体蚀刻工艺的改进系统和方法的几个示范性实施例。对本领域的技术人员来说显然的是,没有这里阐述的具体细节的一些或全部也可实施本发明。
一个实施例提供了一种体积较小的包括电容耦合RF源的等离子体蚀刻室。小体积等离子体蚀刻室的内表面面积足够小,使得内表面面积容易被加热到高温(例如,大于约200℃)。所述高温足以大体防止低挥发性副产物在内表面上冷凝。电容耦合RF等离子体蚀刻室使用之间有窄间隙的平行板。平行板形成顶部和底部电极,同时基板位于底部电极上,且顶部电极非常靠近基板的表面。在此构造中,顶部和底部电极形成内表面区域的大部分。
图1A示出根据本发明的一个实施例的小体积等离子体蚀刻处理室100的侧视图。正在被处理的基板108被夹到也充当底部电极的被加热的吸盘102。吸盘102也可是静电吸盘。聚焦环122也可包括在底部电极中。
处理气体从气体源通过入口126运送。处理气体流经可选的挡板106和通过穿孔的“莲蓬头形”顶部电极104。挡板106分散气流。挡板106可通过低接触电介质116(例如,石英、绝缘体等)与顶部电极104隔离,从而挡板106基本上没有电连接或热连接至顶部电极104。等离子体区114通过由顶部电极104、底部电极102和热衬垫124限定的体积形成。气流在等离子体区114中很好地分散。
顶部电极104和底部电极102隔开约0.5cm到约5cm的距离d。在一个示范性实施例中,d约等于2cm。如所示出的,顶部电极104可耦合至接地电势112,底部电极102可耦合至RF源110。在可选实施例中,底部电极102可耦合至接地电势112,顶部电极104可耦合至RF源110。RF源可具有在约400kHz和约60MHz之间的频率。RF源可具有在约100伏和2000伏之间的电压。
在可选实施例中,等离子体室100可被配置为推挽式构造。在所述推挽式构造中,顶部电极104和底部电极102由RF源供电。在推挽式构造中离子溅射可进一步减少低挥发性副产物在顶部电极104上的沉积。如上所述,由于蚀刻的副产物邻近基板108的表面,所以蚀刻的副产物在顶部电极104上沉积是一个主要问题。具有推挽式构造的等离子体室100也可由单个RF源110供电。在此推挽式构造中,等离子体电势减少一半,这使得等离子体更容易被限制在等离子体区114中。
处理气体可通过热等离子体轰击被加热。如果需要较高温度来防止副产物沉积在内表面区域上,则可将另外的电热器嵌在顶部电极104中。电极之间的热衬垫124被电加热,以防止衬垫上的任何沉积。可利用嵌入的电阻加热器或其它类型的热源(例如,热油、辐射热源)加热热衬垫124。热衬垫124也可充当限定在顶部电极104、底部电极102和热衬垫之间形成的等离子体区114的等离子体限制屏障。热衬垫124可由等离子体耐蚀材料(例如,石英或氧化铝或覆有等离子耐蚀层的任何适当材料)制造。
在示范性使用中,可使用含氯的气态物质蚀刻铜膜。在这样的使用中,用于围绕基板108的所有表面(例如,热衬垫124、顶部电极104和底部电极102)的最小温度应在约200℃到约400℃之间。在此温度范围内,等离子体中的蚀刻副产物(例如,CuClx)和其它化学物质以充分的蒸汽压力蒸发,因此被从等离子体蚀刻室中的等离子体区114和从出口116抽出。随着温度的升高,等离子体蚀刻室中的各种化学物质和副产物的挥发性也提高。结果,随着温度的升高,具有低挥发性的蒸汽(例如,铜蚀刻副产物)更有效地从等离子区14蒸发。由于具有低挥发性的铜蚀刻副产物被更有效地蒸发,可大大减少蚀刻副产物在等离子体区114的内表面上的聚集造成的颗粒产生。
应理解,尽管这里提到的400℃是示例的温度上限,但所述室不限于400℃,也可根据需要被加热到高得多的温度。例如,如果特定蚀刻副产物直到加热到500℃才具有充分的蒸汽压力,则所述处理室也可被充分加热到500℃。也可使用高于500℃的温度。
相反,典型的现有技术等离子体蚀刻室大得不能被有效加热到200℃,且现有技术等离子体蚀刻室的内表面的至少某部分被充分冷却,使得蚀刻副产物冷凝,最终聚集并剥落,从而变成污染源。典型的等离子体蚀刻工艺在低于100℃时(例如,60℃)发生,并且如上所述,蚀刻副产物可在靠近基板的内表面上冷凝,造成颗粒污染。
由于蚀刻副产物CuClx具有低挥发性,所以可以小于或等于约大气压力(即,小于或等于约1托)的压力实现等离子体蚀刻工艺。例如,铜等离子体蚀刻工艺也可在约1-500托的范围内工作。
也包括邻近热衬垫124的冷收集器120。通过热衬垫124的窄通道126使等离子区114与冷收集器120连接。随着气体和蚀刻副产物从等离子区114被抽出,一个或多个泵144通过冷收集器120抽吸蚀刻副产物蒸汽,并且将其抽出出口116。大量蚀刻副产物在冷收集器120中冷凝。结果,蚀刻副产物逐渐聚集在冷收集器120的表面上。由于窄通道126的较小宽度,在冷收集器120中形成的任何颗粒(例如,薄片和其它松散的蚀刻副产物聚集)不容易迁移回到等离子区114,因此不易迁移到基板108。例如,窄通道126可具有在约5mm和20mm之间的宽度。这样,颗粒可与基板108充分地物理隔离,以大体消除由于等离子体蚀刻产物造成的颗粒污染。
冷收集器120可被主动冷却,或简单地不被加热,因此冷得足以使得蚀刻副产物冷凝。冷收集器120也可与等离子体室100的被加热的部分热隔离从而冷收集器将保持比被加热的部分冷很多(例如,比热衬垫120和基板108冷约50℃以上)。例如,冷收集器120可被热连接至室100的壁或吸盘壳体142,从而冷收集器142将保持与室100或吸盘壳体142的相应壁近似相同的温度。
基板108可经由装载口128被装载进等离子体蚀刻室100。底部电极102可在吸盘壳体142中被降低,或热衬垫124可被升高(例如,通过升降机130),或既在吸盘壳体142中降低底部电极102,又升高热衬垫124,以提高基板装载和卸载入口给等离子体区114。
图1B是根据本发明的一个实施例用于在上述等离子体室100中蚀刻基板的方法操作150的流程图。在操作155中,基板被装载进等离子体室100(例如,通过装载口128)。装载口也可在处理时关闭。在操作160中,将等离子体室100内的压力调整到如上所述的想要的设定点。
在操作165中,将等离子体室100加热到要求的处理温度。所述处理温度可以是高得足以提供想要的物质类型的充分的挥发性的任何温度。如上所述,在使用含氯的气态蚀刻物质的铜蚀刻工艺中,处理温度为约200℃或甚至更高(例如,约250℃到约400℃)。如果打算进行的工艺是蚀刻工艺,则暴露于等离子体区114的所有内表面被加热到处理温度。可选地,如果打算进行的工艺是沉积工艺,则将除了基板108外的暴露于等离子体区114的所有内表面加热到处理温度。通过在等离子体区114中形成等离子体或通过利用抵抗性、辐射性或其它类型的热能源主动加热实现将内表面加热到处理温度。
在操作170中,将处理气体注入等离子体室100内,并且形成蚀刻(或沉积)等离子体。在操作175中,执行蚀刻(或沉积)操作。在蚀刻操作中,蚀刻化学物质蚀刻掉暴露于等离子体的基板108的表面的部分。例如,可利用含氯物质蚀刻铜层,使得CuCIx副产物在等离子体中蒸发。
在操作180中,利用泵144将副产物蒸汽和气体抽出等离子体区114,使其通过冷收集器120从等离子体室100抽出来。随着副产物蒸汽通过冷收集器被抽出,副产物蒸汽的至少部分在冷收集器120的较冷的表面上冷凝。这样,冷凝的副产物蒸汽引起的大体上的所有颗粒远离基板108暴露于的内表面形成。结果,大体消除基板108的颗粒污染。
在操作185中,结束蚀刻(或沉积)操作。在实现想要的结果时结束蚀刻(或沉积)操作。例如,在已经达到蚀刻时间时或已经到达想要的终点时所述操作结束。在操作240中,从等离子体室100去除基板108,所述方法操作结束。
尽管已经就利用氯基的蚀刻剂的铜蚀刻工艺描述了上述实例,本发明不限于铜蚀刻。例如,可通过氯和一氧化碳气体蚀刻材料。其它蚀刻物质可包括HBr、HI、BCl3和CF4
在可选实施例中,图1A中所示的室100也可用于执行化学气相沉积(CVD)。在CVD操作中,吸盘102可被冷却/加热,从而蒸汽种类将沉积在安装在吸盘102上的基板108上。例如,可将C2H4或C2H2用作前体沉积有机膜。在另一CVD实施例中,可利用热或借助于等离子体将铜膜沉积在基板108和内表面上。在从处理室去除基板108后,可使用含氯或溴蚀刻剂清洁热内表面上的铜膜。可将等离子体室100用于执行无应力平面化或任何其它等离子体工艺应用。
图2A示出根据本发明的一个实施例的双镶嵌工艺中的图案化的半导体基板200。基板200已经被图案化为例如双镶嵌工艺等半导体制造工艺的部分。掩模可用于图案化基板200。基板200包括大的稍微隔离的特征202(例如,沟通孔等)、较小的稍微隔离的特征204、和密集地挤在一起的几个特征206。隔层210也包括在内。隔层210通常是与基板200或传导互连材料220不同的材料。传导互连材料220可以是铜或铜合金或其它传导材料。
传导互连材料220的覆盖层部分212延伸过特征202、204、206,且包括在覆盖层部分212的厚度上的相应的局部化改变214、216、218。如所示出的,与在覆盖层部分212的厚度上具有稍微小些的改变的较小的特征204相比,较大的特征202在覆盖层部分212的厚度具有相应的较大减少。密集包装的特征206处的覆盖层部分212具有稍微增加的厚度。
典型的蚀刻工艺在整个晶片上以相当均匀的速度蚀刻传导互连材料220的覆盖层部分212,因此在密集包装的特征206附近的隔层210被暴露之前,典型的蚀刻工艺将使大特征202附近的隔层210暴露。总之,典型的蚀刻工艺不能平面化传导互连材料220的覆盖层部分212。
图2B示出根据本发明的一个实施例添加的附加层。所述附加层222形成在负载部分212顶部上。所述附加层222可以是大体平坦的填充材料(例如,旋涂玻璃(SOG)、多晶硅、聚合物抗蚀剂、双分子层、UV或热固化材料、或可以流动以形成平坦表面并且具有适当的蚀刻特征的其它材料)。可选的较薄的(例如,厚度约25-30nm)保形层224也可包括在附加层222和覆盖层部分212之间。保形层224可以是隔层或粘附层。保形层224可允许许多种材料用作附加层222。
附加层222和覆盖层部分212具有大体为1:1的蚀刻选择性,从而随后的蚀刻工艺(例如,等离子体或气态蚀刻工艺)可以大体相同的速度蚀刻附加层222和覆盖层部分212。
图3示出根据本发明一个实施例的大体平坦的覆盖层部分212’。由于附加层202在堆叠的层200、210、212、222上形成大体平坦的表面,所以第一蚀刻工艺能在整个区域上均匀地蚀刻附加层222和覆盖层部分212,直到由于局部改变214、216、218被大体消除,剩余的覆盖层部分212’大体局部平坦。
典型的制法将涉及在附加层222和覆盖层部分212之间提供1:1的蚀刻选择性的条件。例如,如果附加层222是SOG,且覆盖层部分212是铜,则卤素(例如,Cl、F、Br、I)基化学物质为SOG以及铜提供蚀刻速度控制,以允许调整到想要的1:1的选择性。尽管可使用任何产生活性卤素基的等离子体供应气体,但是CF4、Cl2、和HCl是典型实例。可调整多个工艺参数来控制蚀刻速度、选择性、均匀度和减少腐蚀,处理变量的改变包括例如基板温度的改变和包含一种或多种添加剂(例如,Ar、H2、Cl、O2、CH3X(X=F、Cl、Br、I)、CH2F2和CH4)。
另一方法涉及将Ar或例如He、Xe、Ne、Kr等其它惰性气体作为具有其它添加剂的铜覆盖层部分212的主蚀刻剂进行溅射为主的蚀刻,以提供对附加层222的蚀刻速度控制和剩余的铜212的顶面的钝化。其它添加剂举例来说可包括H2和/或CF4。这些工艺中的任何一种工艺都可在约75℃和约400℃之间的较宽温度范围内工作。
第一蚀刻工艺是设计为由于局部改变214、216、218大体被消除使得剩余的覆盖层部分212’大体局部平坦的蚀刻工艺。一个或多个随后的蚀刻工艺将去除覆盖层部分212’的整体或大部分。可应用精加工蚀刻工艺,以将蚀刻工艺继续到从隔层210去除覆盖层部分212’的终点。精加工蚀刻工艺也可包括在整体蚀刻工艺中。精加工蚀刻后的工艺可包括选择性隔层去除和使剩余的传导材料220钝化,以防止腐蚀,并且提供用于进一步处理的稳定性。抛光蚀刻后的附加操作可被设计为不明显去除任何材料,而是使剩余的传导材料220钝化,以防止腐蚀,并且提供用于进一步处理的稳定性。
图4A示出根据本发明的一个实施例已经经历第二蚀刻工艺的基板200。第二蚀刻工艺继续到使得隔层210将大体同时在所有位置暴露但仅留下填充特征202、204、206的传导材料(例如,铜、含铜合金、及组合、和其它传导材料)的部分220。
第一蚀刻工艺和第二蚀刻工艺可大体类似,或截然不同。例如,第一蚀刻工艺可以是改善局部不均匀214、216、218(例如,由特征202、204、206的位置、尺寸、在下层中的集中度造成)从而改善覆盖层部分212的局部平面度的蚀刻工艺。整个附加层222和覆盖层部分212的部分可以在第一蚀刻工艺中被去除。通过比较,第二蚀刻工艺可以是去除剩余的平面覆盖层部分212’的大部分至终点(即,隔层210被暴露时)的更有选择性的蚀刻工艺。
图4B示出根据本发明的一个实施例已经经历隔层去除工艺的基板。隔层210的部分被去除,以暴露下面的掩模层402。仅形成在特征202、204、206内的隔层210的部分留下。典型的第二蚀刻工艺以高速和对隔层210的高选择性去除覆盖层部分212的大部分。例如,如果覆盖层部分212是铜,则卤素基化学物质(例如,Cl2、CF4、HCl、HBr、BCl3)可被有效用于第二蚀刻工艺。在另一方法中,也可使用例如Ar(或其它稀有或惰性气体)基溅射工艺等物理为主的蚀刻工艺。可调整多个工艺参数来控制蚀刻速度和选择性。多个工艺参数可包括调整例如活性物质的基板温度平衡等工艺变量,其中活性物质包括一种或多种添加剂(例如,H2、O2、Ar、He、Xe、Ne、Kr等)。
图5是根据本发明的一个实施例执行局部平面化的方法操作的流程图500。操作505中,附加层222添加在传导覆盖层部分212的顶部上。在操作510中,应用第一蚀刻工艺去除添加层222和传导覆盖层部分212的大部分。在操作515中,应用第二蚀刻工艺来去除剩余的覆盖层部分212’到终点。
在可选实施例中,操作515也可包括如上所述的精加工蚀刻工艺。精加工蚀刻后的工艺可包括选择性隔层去除和使剩余的传导材料120钝化,以防止腐蚀,并且提供用于进一步处理的稳定性。精加工蚀刻工艺后的附加操作可被设计为不明显去除任何材料,而是使剩余的传导材料220钝化,以防止腐蚀,并且提供用于进一步处理的稳定性。
图6A-6D示出根据本发明的一个实施例应用于基板600以提高局部均匀度的化学转化和回蚀工艺的顺序。图7是根据本发明的一个实施例应用于基板600以提高局部均匀度的化学转化和回蚀工艺的方法操作的流程图700。如图6A中所示,与上面图2A中描述的基板100类似,基板600包括具有不平坦表面轮廓606的大体不平坦覆盖层部分602。
现在参看图6B和7,在操作705中,附加层604形成在覆盖层部分602的顶部上。附加层604可以被沉积或形成在覆盖层部分602上。例如,附加层604可通过覆盖层部分602的最顶部的化学转化形成。如果覆盖层部分602是铜或铜合金,则受控制暴露于气体可形成铜反应产物层604。一个实例是可形成Cu卤化物层604的卤素气体。铜反应产物层604扩散到铜覆盖层部分602的表面内,以转化铜负载部分602的顶部。用于铜的化学转化的工艺在本领域中是已知的,例如Nagraj S.Kulkarni和Rober T.DeHoff“Application of VolatilityDiagrams for Low Temperature,Dry Etching,and Planarization ofCopper”,Journal of Electrochemical Society,149(11)G620-G632,2002。
在另一实例中,附加层604可沉积在覆盖层部分602上。沉积的层604可包括被沉积在覆盖层部分602上的聚合物层或氧化物层。
现在参看操作710和图6C,应用回蚀工艺来去除附加层604。覆盖层部分602的部分也可被去除。去除附加层604造成覆盖层部分602的轮廓进一步软化(即,平面化)到轮廓606’。Cu卤化物大体软化覆盖层部分602的轮廓。Cu卤化物也可保持对铜覆盖层部分602的大体为1:1的回蚀选择性。如图6D中所示,可重复操作705和710多次,以使覆盖层部分602大体平面化到随后的轮廓606’和606”,直到所形成的轮廓大体为平坦的。
通过在Cu活性物质界面处氧化铜,通常可获得利用化合物形成的形状依赖性对铜覆盖层部分602的化学转化。在此情形下的铜氧化可包括将元素铜化学转化成铜处于正氧化状态的化合物铜。例如,在此表面处将铜氧化成一价铜或二价铜(Cu或CuCl2)可在较低温度下(例如,<200℃)发生在氯等离子体中。
回蚀工艺涉及此铜化合物减少为易挥发的化合物,从而以固定的基板温度留下剩余的覆盖层部分602’的表面。例如,在活性氢类物质(例如,H2等离子体)存在时CuCl2减少为易挥发的Cu3Cl3。转化部分的回蚀之后进行赖形状的转化可造成铜覆盖层部分602的大量去除,同时使铜覆盖层部分602的外形(例如,轮廓)平面化。
在操作715中,如果覆盖层部分602大体被平面化,则所述方法操作结束。可选地,如果在操作715中,覆盖层部分602没有被大体平面化,则所述方法操作在上述操作705继续。在一个实施例中,操作705-715可原地发生在单个蚀刻室中。在可选实施例中,操作710可在外部发生,并且可包括ECD或低下压力CMP工艺,以获得如图6D中所示的大体平坦的覆盖层部分602’。
图6A-7中所描述的方法操作可用作执行不平坦覆盖层部分602的平面化和覆盖层部分602的整体去除的平坦整体去除工艺。
基板200、600的局部平面化可通过本领域中已知的几种已知层厚度映射技术的任一种或多种确定。例如,涡流传感器可映射覆盖层部分212、212’的厚度,如共同拥有的Gotkis等人于2002年12月23日提交的名称为“System,Method And Apparatus For Thin-FilmSubstrate Signal Separation Using Eddy Current”的U.S.专利申请10/328,912和Gotkis等人于2002年9月19日提交的名称为“SystemAnd Method For Metal Residue Detection And Mapping Within AMulti-Step Sequence”的U.S.专利申请10/251,033中所描述的,其全部内容结合于此作为参考。
上述图2A-7中描述的方法和系统描述了大体消除覆盖层部分中的局部的依赖于图案的不均匀度的多种方法。然而,图2A-7中描述的方法和系统没有直接解决全局不均匀度的纠正。全局不均匀度可包括与基板边缘比基板中心中的材料的去除速度的改变和不是局部现象的其它不均匀度。
图8是根据本发明的一个实施例纠正全局不均匀度的方法操作的流程图。在操作805中,收到在覆盖层部分中具有局部不均匀度(例如,依赖于特征-图案的不均匀度)的基板。在操作810中,例如通过CMP、ECP或上面图2A-7中描述的方法和系统或本领域中已知的任何其它方法大体消除局部不均匀度。大体去除局部不均匀度形成大体局部平面化的覆盖层部分(例如上面图3中所示的平面化的覆盖层部分212’)。
图9示出根据本发明的一个实施例的大体去除的平面化的覆盖层部分。大体去除的平面化的覆盖层部分902可以是较薄的覆盖层部分(例如,厚度为数百埃)。
在操作185中,映射具有平面化的覆盖层部分的基板,以识别和量化平面化的覆盖层部分中的任何全局不均匀度。可利用如上所述的本领域中已知的几种已知层厚度映射技术的任一种或多种映射平面化的覆盖层部分。映射可以在原地(当前的处理室内)或外部(当前的处理室外面)。原位映射工艺也可是动态的,并且允许随着随后的工艺进行中动态调整随后的工艺。
在操作820中,通过调整蚀刻工艺来满足精加工蚀刻工艺中检测到的全部不均匀度的具体要求,在大体为机械无应力的工艺中去除如在上述操作815中确定的全部不均匀度的位置和量。例如,如果剩余的覆盖层部分902在中心约为500埃厚,在边缘上为300埃厚,则可调整制法,使得可补偿中心到边缘的不均匀度,从而将同时暴露整个隔层210。由于在回蚀工艺期间没有机械力施加给基板,所以无应力工艺避免了上述CMP问题。
所选择的制法(例如,工艺变量所选择的值)对隔层210是有选择性的(即,将以比制法将蚀刻铜的速度慢得多的速度蚀刻隔层,例如,在这些工艺中隔层蚀刻上的铜蚀刻的典型选择范围大于约1但小于约3),并且将使任何凹陷(例如,特征202、204、206中的传导材料120的过多去除)最小。
精加工蚀刻对于剩余的覆盖层部分902的铜和隔层210都可具有较慢蚀刻速度,以使进入特征202、204、206中的任何凹陷相对于隔层210的隔层的剩余高度最小。结果,抛光蚀刻不能具有非常高的选择性来蚀刻铜。
也可包括最终的回蚀工艺。最终的回蚀工艺包括利用适当的选择性和均匀度控制回蚀掩模材料和/或ILD材料,使得最终结果提供大体全局性的均匀和大体平坦的特征,但铜和ILD损失最小(例如,在最终的蚀刻和隔层去除工艺结束时在基板200上的任何铜凹陷都是全局均匀的)。在此情形下,最终的蚀刻将包括均匀工艺,以高选择性来回蚀掩模材料,以使铜损失最小,使铜凹陷最小。例如,其中卤素浓度较低且基板温度较低(例如,小于约200℃)的基于卤素的工艺将保持较低的铜蚀刻速度,同时仍充分地化学蚀刻掩模材料。也可使用包括卤素活性物质(例如,CF4、C2F6、C4F6)的任何等离子体供应气体。蚀刻速度控制添加剂可包括Ar、O2、CH2F2,也可包括其它物质。
如果在抛光蚀刻和最终的回蚀工艺结束时在基板上的全部铜凹陷和/或掩模/ILD损失不均匀,则必须制法中采用另外改变,以纠正全部不均匀度。例如,作为蚀刻不均匀的结果的典型例子是中央快速或边缘快速的蚀刻速度。这些例子中的任何一个都可造成基板上铜凹陷和/或掩模/ILD损失的变化。在掩模/ILD材料的最终回蚀期间,利用适当的均匀度和选择性控制,可实现补偿,以对抗这种变化,从而获得全局平坦特征,同时使铜和掩模损失最小。在中央快速精加工蚀刻工艺的例子中,可通过边缘快速最终回蚀工艺补偿在基板中心中形成的较大铜凹陷,其中所述边缘快速最终回蚀工艺有选择地蚀刻掩模材料,以具有与特征202、204、206中的铜高度相同的高度。为了进行均匀度控制而提供的制法的改变包括基板上的压力、温度变化、离子流均匀度控制、气体浓度,且用于控制选择性的室壁温度变化包括活性卤素类物质浓度、基板温度和偏压功率。
这里所描述的用于形成本发明的部分的任何操作都是有用的机器操作。本发明也涉及用于执行这些操作的装置或设备。所述设备可被专门设计为用于要求的目的,或它也可是通过存储在计算机中的计算机程序有选择地启动或配置的通用型计算机。具体而言,各种通用型机器也可与根据这里的讲授写出的计算机程序一起使用,或更方便的是构造更专业的设备来执行所要求的操作。
本发明也可具体化为计算机可读介质上的计算机可读代码。计算机可读介质是能存储此后由计算机系统读取的数据的任何数据存储装置。计算机可读介质的实例包括硬盘驱动器、网络存储设备(NAS)、只读存储器、随机存取存储器、CD-ROM、CD-R、CD-RW、磁带、和其它光学和非光学数据存储装置。计算机可读介质也可分布在网络连接的计算机系统中,从而以分布形式存储和执行计算机可读代码。
将进一步理解,不要求以例示的顺序执行用上述图中的任一中的操作表示的指令,用操作表示的全部处理过程对于实施本发明并不都是必要的。并且,上述任一图中所描述的工艺也可以存储在RAM、ROM或硬盘驱动器的任一或其组合中的软件实现。
尽管为了清楚理解起见已经较详细地描述了本发明,但显然,在所附权利要求书的范围内也可实现一定的改变和修改。因此,本发明的实施例应被认为是例示性而非限定性的,并且本发明不受这里给出的细节的限制,也可在所附权利要求书的范围及其等同物的范围内修改本发明。

Claims (19)

1.一种处理基板的方法,包括:
将基板装载到等离子体室中;
将所述等离子体室的压力设定为预定压力设定值;
加热限定等离子体区的多个内表面至高于200℃的处理温度,所述多个内表面包括热衬套,所述热衬套具有足以防止所述热衬套上的沉积的温度;
将处理气体注入所述等离子体区内,以形成等离子体;及
处理所述基板。
2.根据权利要求1所述的方法,进一步包括通过冷收集器从等离子体区吸走副产物蒸汽,以在所述冷收集器中冷凝所述副产物蒸汽。
3.根据权利要求2所述的方法,其中所述冷收集器具有比所述处理温度低至少约50℃的温度。
4.根据权利要求1所述的方法,其中处理所述基板包括蚀刻所述基板。
5.根据权利要求1所述的方法,其中处理所述基板包括在所述基板上蚀刻铜膜。
6.根据权利要求1所述的方法,其中加热限定所述等离子体区的所述多个内表面至所述处理温度包括使所述基板的表面保持在足以使得副产物蒸汽冷凝在所述基板的表面上的第二温度,以在所述基板上沉积膜。
7.根据权利要求6所述的方法,其中所述第二温度比所述处理温度低至少约50℃。
8.根据权利要求1所述的方法,其中所述预定压力设定值小于大气压力。
9.根据权利要求1所述的方法,其中所述等离子体室包括:
第一电极,所述第一电极形成用于支承所述基板的吸盘;及
第二电极,所述第二电极平行于所述第一电极设置,所述第二电极是多个内表面的一个,所述第一和第二电极隔开一个0.5cm至5cm范围的预定距离;以及
热衬垫,其中所述热衬垫和所述第二电极形成一个有多个内表面的部分,以限定等离子体区,所述热衬垫具有足以防止所述热衬垫上的沉积的温度。
10.根据权利要求1所述的方法,其中处理所述基板包括无应力平面化。
11.根据权利要求10所述的方法,其中将所述基板图案化,在所述基板中形成多个特征,用传导互连材料填充图案中的多个特征,所述传导互连材料包括一个覆盖层部分,所述覆盖层部分具有至少一个不均匀度,且其中所述无应力平面化包括:
将所述覆盖层部分平面化,包括:
将附加层沉积在所述覆盖层部分上;及
将所述附加层和所述覆盖层部分平面化,所述附加层在所述平面化工艺中被完全去除。
12.一种等离子体室,包括:
第一电极,所述第一电极形成用于支承所述基板的吸盘;
第二电极,所述第二电极平行于所述第一电极设置,所述第一和第二电极隔开预定距离;及
热衬垫,其中所述热衬垫和所述第二电极形成多个内表面的部分,以限定等离子体区,所述热衬垫具有足以防止所述热衬垫上的沉积的温度。
13.根据权利要求12所述的等离子体室,其中所述预定距离在0.5cm和5cm之间的范围内。
14.根据权利要求12所述的等离子体室,进一步包括冷收集器,所述冷收集器比限定所述等离子体区的多个内表面充分冷,以使得等离子体副产物蒸汽在所述冷收集器中冷凝,所述冷收集器通过经过所述热衬垫的通道连接至所述等离子体区。
15.根据权利要求14所述的等离子体室,其中所述经过热衬垫的通道在5mm至20mm之间。
16.根据权利要求12所述的等离子体室,其中所述热衬垫是由抗等离子体的材料制成的。
17.根据权利要求12所述的等离子体室,其中所述第一电极、第二电极和热衬垫具有高于200℃的处理温度的温度。
18.根据权利要求17所述的等离子体室,其中所述冷收集器具有比所述处理温度低至少50℃的温度。
19.一种通过下述方法形成的半导体器件,所述方法包括:
将图案化的基板装载到等离子体室中,所述图案包括形成在基板中的多个特征,所述基板具有填充图案中的多个特征的传导互连材料,所述传导互连材料包括一个覆盖层部分,所述覆盖层部分具有至少一个不均匀度;
将所述等离子体室的压力设定为预定压力设定值;
加热限定等离子体区的多个内表面至高于200℃的处理温度,所述多个内表面包括热衬套,所述热衬套具有足以防止所述热衬套上的沉积的温度;
将处理气体注入所述等离子体区内,以形成等离子体;及
处理所述基板,包括:
将附加层形成在所述覆盖层部分上;及
将所述附加层和所述覆盖层部分平面化,所述附加层在所述平面化工艺中被完全去除;及
通过冷收集器从等离子体区吸走副产物蒸汽,以在所述冷收集器中冷凝所述副产物蒸汽。
CNB200480038467XA 2003-12-22 2004-12-06 具有热内表面的小体积处理室 Expired - Fee Related CN100508108C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/744,355 US7009281B2 (en) 2003-03-14 2003-12-22 Small volume process chamber with hot inner surfaces
US10/744,355 2003-12-22

Publications (2)

Publication Number Publication Date
CN1898774A CN1898774A (zh) 2007-01-17
CN100508108C true CN100508108C (zh) 2009-07-01

Family

ID=34749217

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200480038467XA Expired - Fee Related CN100508108C (zh) 2003-12-22 2004-12-06 具有热内表面的小体积处理室

Country Status (9)

Country Link
US (2) US7009281B2 (zh)
EP (1) EP1697973A1 (zh)
JP (1) JP5314247B2 (zh)
KR (1) KR101211446B1 (zh)
CN (1) CN100508108C (zh)
IL (1) IL176269A0 (zh)
SG (1) SG131933A1 (zh)
TW (1) TWI298004B (zh)
WO (1) WO2005067005A1 (zh)

Families Citing this family (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001284267A (ja) * 2000-04-03 2001-10-12 Canon Inc 排気処理方法、プラズマ処理方法及びプラズマ処理装置
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
EP1661161A2 (en) * 2003-08-07 2006-05-31 Sundew Technologies, LLC Perimeter partition-valve with protected seals
CN100358099C (zh) * 2005-08-05 2007-12-26 中微半导体设备(上海)有限公司 等离子体处理装置
US8366829B2 (en) * 2005-08-05 2013-02-05 Advanced Micro-Fabrication Equipment, Inc. Asia Multi-station decoupled reactive ion etch chamber
JP5044931B2 (ja) * 2005-10-31 2012-10-10 東京エレクトロン株式会社 ガス供給装置及び基板処理装置
US20070227663A1 (en) * 2006-03-28 2007-10-04 Tokyo Electron Limited Substrate processing apparatus and side wall component
US9184043B2 (en) * 2006-05-24 2015-11-10 Lam Research Corporation Edge electrodes with dielectric covers
US7879184B2 (en) * 2006-06-20 2011-02-01 Lam Research Corporation Apparatuses, systems and methods for rapid cleaning of plasma confinement rings with minimal erosion of other chamber parts
DE102006030265B4 (de) * 2006-06-30 2014-01-30 Globalfoundries Inc. Verfahren zum Verbessern der Planarität einer Oberflächentopographie in einer Mikrostruktur
JP2009021584A (ja) * 2007-06-27 2009-01-29 Applied Materials Inc 高k材料ゲート構造の高温エッチング方法
US7879732B2 (en) * 2007-12-18 2011-02-01 Chartered Semiconductor Manufacturing Ltd. Thin film etching method and semiconductor device fabrication using same
US8540844B2 (en) * 2008-12-19 2013-09-24 Lam Research Corporation Plasma confinement structures in plasma processing systems
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8216376B1 (en) * 2009-01-15 2012-07-10 Intermolecular, Inc. Method and apparatus for variable conductance
US8313612B2 (en) * 2009-03-24 2012-11-20 Lam Research Corporation Method and apparatus for reduction of voltage potential spike during dechucking
US8840725B2 (en) * 2009-11-11 2014-09-23 Applied Materials, Inc. Chamber with uniform flow and plasma distribution
US20110136346A1 (en) * 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
US8597462B2 (en) * 2010-05-21 2013-12-03 Lam Research Corporation Movable chamber liner plasma confinement screen combination for plasma processing apparatuses
JP5567392B2 (ja) * 2010-05-25 2014-08-06 東京エレクトロン株式会社 プラズマ処理装置
US9793126B2 (en) 2010-08-04 2017-10-17 Lam Research Corporation Ion to neutral control for wafer processing with dual plasma source reactor
US9117767B2 (en) 2011-07-21 2015-08-25 Lam Research Corporation Negative ion control for dielectric etch
CN102376604B (zh) * 2010-08-19 2013-10-30 北京北方微电子基地设备工艺研究中心有限责任公司 真空加工设备及其温度控制方法、半导体器件加工方法
US8591755B2 (en) * 2010-09-15 2013-11-26 Lam Research Corporation Methods for controlling plasma constituent flux and deposition during semiconductor fabrication and apparatus for implementing the same
WO2012045187A2 (en) * 2010-10-05 2012-04-12 Oc Oerlikon Balzers Ag In-situ conditioning for vacuum processing of polymer substrates
CN102543839B (zh) * 2010-12-22 2014-01-08 中国科学院微电子研究所 层间电介质层的平面化方法
JP5728221B2 (ja) * 2010-12-24 2015-06-03 東京エレクトロン株式会社 基板処理方法及び記憶媒体
WO2012092064A1 (en) 2010-12-30 2012-07-05 Veeco Instruments Inc. Wafer processing with carrier extension
US11171008B2 (en) * 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
KR101895307B1 (ko) * 2011-03-01 2018-10-04 어플라이드 머티어리얼스, 인코포레이티드 듀얼 로드락 구성의 저감 및 스트립 프로세스 챔버
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
TWI511223B (zh) * 2011-06-03 2015-12-01 Hermes Epitek Corp 半導體設備
US20130105085A1 (en) * 2011-10-28 2013-05-02 Applied Materials, Inc. Plasma reactor with chamber wall temperature control
KR102068186B1 (ko) 2012-02-29 2020-02-11 어플라이드 머티어리얼스, 인코포레이티드 로드 록 구성의 저감 및 스트립 프로세스 챔버
US9157730B2 (en) 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
US9388493B2 (en) * 2013-01-08 2016-07-12 Veeco Instruments Inc. Self-cleaning shutter for CVD reactor
US9245761B2 (en) 2013-04-05 2016-01-26 Lam Research Corporation Internal plasma grid for semiconductor fabrication
US9147581B2 (en) 2013-07-11 2015-09-29 Lam Research Corporation Dual chamber plasma etcher with ion accelerator
KR101598465B1 (ko) * 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
US9865437B2 (en) * 2014-12-30 2018-01-09 Applied Materials, Inc. High conductance process kit
KR101792941B1 (ko) * 2015-04-30 2017-11-02 어드밴스드 마이크로 패브리케이션 이큅먼트 인코퍼레이티드, 상하이 화학기상증착장치 및 그 세정방법
US10954594B2 (en) * 2015-09-30 2021-03-23 Applied Materials, Inc. High temperature vapor delivery system and method
US11694911B2 (en) * 2016-12-20 2023-07-04 Lam Research Corporation Systems and methods for metastable activated radical selective strip and etch using dual plenum showerhead
CN106672892A (zh) * 2016-12-21 2017-05-17 中国电子科技集团公司第五十五研究所 减小三维堆叠中牺牲层在化学机械抛光中凹陷变形的方法
KR102492733B1 (ko) * 2017-09-29 2023-01-27 삼성디스플레이 주식회사 구리 플라즈마 식각 방법 및 디스플레이 패널 제조 방법
JP6575641B1 (ja) * 2018-06-28 2019-09-18 株式会社明電舎 シャワーヘッドおよび処理装置
KR20210039422A (ko) * 2018-07-30 2021-04-09 노드슨 코포레이션 플라즈마로 작업편을 처리하기 위한 시스템
CN117305815A (zh) * 2018-09-28 2023-12-29 应用材料公司 具有动态调平的同轴升降装置
CN111326391B (zh) * 2018-12-17 2023-01-24 中微半导体设备(上海)股份有限公司 等离子体处理装置
US11499223B2 (en) * 2020-12-10 2022-11-15 Applied Materials, Inc. Continuous liner for use in a processing chamber
US20230033058A1 (en) * 2021-07-29 2023-02-02 Applied Materials, Inc. Reactor with inductively coupled plasma source

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5000113A (en) * 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
JPS6444043A (en) * 1987-08-11 1989-02-16 Nec Corp Formation of multilayer interconnection structure
US4985113A (en) 1989-03-10 1991-01-15 Hitachi, Ltd. Sample treating method and apparatus
DE3914065A1 (de) 1989-04-28 1990-10-31 Leybold Ag Vorrichtung zur durchfuehrung von plasma-aetzverfahren
US5256565A (en) 1989-05-08 1993-10-26 The United States Of America As Represented By The United States Department Of Energy Electrochemical planarization
EP0809283A3 (en) 1989-08-28 1998-02-25 Hitachi, Ltd. Method of treating wafers
JPH03215687A (ja) * 1990-01-19 1991-09-20 Nec Corp ドライエッチング装置
US5098516A (en) 1990-12-31 1992-03-24 Air Products And Chemicals, Inc. Processes for the chemical vapor deposition of copper and etching of copper
JPH04311033A (ja) 1991-02-20 1992-11-02 Micron Technol Inc 半導体デバイスのエッチング後処理方法
US5200031A (en) 1991-08-26 1993-04-06 Applied Materials, Inc. Method for removal of photoresist over metal which also removes or inactivates corrosion-forming materials remaining from one or more previous metal etch steps
US5198677A (en) * 1991-10-11 1993-03-30 The United States Of America As Represented By The United States Department Of Energy Production of N+ ions from a multicusp ion beam apparatus
JP3045259B2 (ja) * 1992-03-02 2000-05-29 東京エレクトロン株式会社 プラズマ装置
JP3314403B2 (ja) * 1992-03-24 2002-08-12 株式会社日立製作所 半導体集積回路装置の製造方法
US5387315A (en) 1992-10-27 1995-02-07 Micron Technology, Inc. Process for deposition and etching of copper in multi-layer structures
US5798016A (en) * 1994-03-08 1998-08-25 International Business Machines Corporation Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability
JP2000082699A (ja) * 1994-04-20 2000-03-21 Tokyo Electron Ltd エッチング処理装置
JPH08153710A (ja) 1994-11-30 1996-06-11 Toshiba Corp 半導体装置の製造方法
US5534751A (en) 1995-07-10 1996-07-09 Lam Research Corporation Plasma etching apparatus utilizing plasma confinement
US5788799A (en) * 1996-06-11 1998-08-04 Applied Materials, Inc. Apparatus and method for cleaning of semiconductor process chamber surfaces
US6308654B1 (en) * 1996-10-18 2001-10-30 Applied Materials, Inc. Inductively coupled parallel-plate plasma reactor with a conical dome
JP3109449B2 (ja) 1997-04-25 2000-11-13 日本電気株式会社 多層配線構造の形成方法
US6071372A (en) * 1997-06-05 2000-06-06 Applied Materials, Inc. RF plasma etch reactor with internal inductive coil antenna and electrically conductive chamber walls
WO1999009587A2 (en) 1997-08-13 1999-02-25 Applied Materials, Inc. Method of etching copper for semiconductor devices
US6008130A (en) 1997-08-14 1999-12-28 Vlsi Technology, Inc. Polymer adhesive plasma confinement ring
TW505984B (en) 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6096230A (en) 1997-12-29 2000-08-01 Intel Corporation Method of planarizing by polishing a structure which is formed to promote planarization
US6140226A (en) 1998-01-16 2000-10-31 International Business Machines Corporation Dual damascene processing for semiconductor chip interconnects
US5968847A (en) 1998-03-13 1999-10-19 Applied Materials, Inc. Process for copper etch back
JP3066007B2 (ja) * 1998-06-24 2000-07-17 株式会社日立製作所 プラズマ処理装置およびプラズマ処理方法
TW430946B (en) 1998-07-22 2001-04-21 United Microelectronics Corp Dual damascene process
TW398036B (en) 1998-08-18 2000-07-11 Promos Technologies Inc Method of monitoring of chemical mechanical polishing end point and uniformity
US6004188A (en) 1998-09-10 1999-12-21 Chartered Semiconductor Manufacturing Ltd. Method for forming copper damascene structures by using a dual CMP barrier layer
US6051496A (en) 1998-09-17 2000-04-18 Taiwan Semiconductor Manufacturing Company Use of stop layer for chemical mechanical polishing of CU damascene
US6221775B1 (en) 1998-09-24 2001-04-24 International Business Machines Corp. Combined chemical mechanical polishing and reactive ion etching process
US6056864A (en) 1998-10-13 2000-05-02 Advanced Micro Devices, Inc. Electropolishing copper film to enhance CMP throughput
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6368517B1 (en) 1999-02-17 2002-04-09 Applied Materials, Inc. Method for preventing corrosion of a dielectric material
JP2000331991A (ja) * 1999-03-15 2000-11-30 Sony Corp 半導体装置の製造方法
US6153530A (en) 1999-03-16 2000-11-28 Applied Materials, Inc. Post-etch treatment of plasma-etched feature surfaces to prevent corrosion
US6173673B1 (en) * 1999-03-31 2001-01-16 Tokyo Electron Limited Method and apparatus for insulating a high power RF electrode through which plasma discharge gases are injected into a processing chamber
US6352081B1 (en) 1999-07-09 2002-03-05 Applied Materials, Inc. Method of cleaning a semiconductor device processing chamber after a copper etch process
SG93856A1 (en) 1999-07-19 2003-01-21 Chartered Semiconductor Mfg A selective & damage free cu cleaning process for pre-dep, post etch/cmp
US6147005A (en) 1999-07-23 2000-11-14 Worldwide Semiconductor Manufacturing Corp. Method of forming dual damascene structures
US6133144A (en) 1999-08-06 2000-10-17 Taiwan Semiconductor Manufacturing Company Self aligned dual damascene process and structure with low parasitic capacitance
US6083822A (en) 1999-08-12 2000-07-04 Industrial Technology Research Institute Fabrication process for copper structures
DE19938404A1 (de) 1999-08-13 2001-02-22 Clariant Gmbh Kosmetische Zubereitungen
US6573187B1 (en) 1999-08-20 2003-06-03 Taiwan Semiconductor Manufacturing Company Method of forming dual damascene structure
US6234870B1 (en) 1999-08-24 2001-05-22 International Business Machines Corporation Serial intelligent electro-chemical-mechanical wafer processor
US6365327B1 (en) 1999-08-30 2002-04-02 Agere Systems Guardian Corp. Process for manufacturing in integrated circuit including a dual-damascene structure and an integrated circuit
US6313025B1 (en) 1999-08-30 2001-11-06 Agere Systems Guardian Corp. Process for manufacturing an integrated circuit including a dual-damascene structure and an integrated circuit
US6350664B1 (en) 1999-09-02 2002-02-26 Matsushita Electric Industrial Co., Ltd. Semiconductor device and method of manufacturing the same
US6227140B1 (en) 1999-09-23 2001-05-08 Lam Research Corporation Semiconductor processing equipment having radiant heated ceramic liner
US6408786B1 (en) 1999-09-23 2002-06-25 Lam Research Corporation Semiconductor processing equipment having tiled ceramic liner
US6423200B1 (en) 1999-09-30 2002-07-23 Lam Research Corporation Copper interconnect seed layer treatment methods and apparatuses for treating the same
US20020102672A1 (en) * 1999-10-04 2002-08-01 Joseph Mizrahi Process for producing a purified lactic acid solution
US6635114B2 (en) * 1999-12-17 2003-10-21 Applied Material, Inc. High temperature filter for CVD apparatus
US6949203B2 (en) 1999-12-28 2005-09-27 Applied Materials, Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6500357B1 (en) 1999-12-28 2002-12-31 Applied Materials Inc. System level in-situ integrated dielectric etch process particularly useful for copper dual damascene
US6184128B1 (en) 2000-01-31 2001-02-06 Advanced Micro Devices, Inc. Method using a thin resist mask for dual damascene stop layer etch
US6350364B1 (en) 2000-02-18 2002-02-26 Taiwan Semiconductor Manufacturing Company Method for improvement of planarity of electroplated copper
KR100545034B1 (ko) 2000-02-21 2006-01-24 가부시끼가이샤 히다치 세이사꾸쇼 플라즈마처리장치 및 시료의 처리방법
JP2001244240A (ja) 2000-02-25 2001-09-07 Speedfam Co Ltd 半導体ウエハの製造方法
JP2001267310A (ja) * 2000-03-17 2001-09-28 Tokyo Electron Ltd プラズマ成膜方法及びその装置
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6323121B1 (en) 2000-05-12 2001-11-27 Taiwan Semiconductor Manufacturing Company Fully dry post-via-etch cleaning method for a damascene process
US6576550B1 (en) 2000-06-30 2003-06-10 Infineon, Ag ‘Via first’ dual damascene process for copper metallization
WO2002010729A1 (en) 2000-07-31 2002-02-07 Asml Us, Inc. In-situ method and apparatus for end point detection in chemical mechanical polishing
US6475298B1 (en) 2000-10-13 2002-11-05 Lam Research Corporation Post-metal etch treatment to prevent corrosion
US6383935B1 (en) 2000-10-16 2002-05-07 Taiwan Semiconductor Manufacturing Company Method of reducing dishing and erosion using a sacrificial layer
US6517413B1 (en) 2000-10-25 2003-02-11 Taiwan Semiconductor Manufacturing Company Method for a copper CMP endpoint detection system
US6417093B1 (en) 2000-10-31 2002-07-09 Lsi Logic Corporation Process for planarization of metal-filled trenches of integrated circuit structures by forming a layer of planarizable material over the metal layer prior to planarizing
KR100887014B1 (ko) * 2000-11-01 2009-03-04 어플라이드 머티어리얼스, 인코포레이티드 확대된 프로세스 윈도우를 갖는 유전체 에칭 챔버
US6482755B1 (en) 2000-11-02 2002-11-19 Advanced Micro Devices, Inc. HDP deposition hillock suppression method in integrated circuits
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020121500A1 (en) 2000-12-22 2002-09-05 Rao Annapragada Method of etching with NH3 and fluorine chemistries
US20020124867A1 (en) 2001-01-08 2002-09-12 Apl Co., Ltd. Apparatus and method for surface cleaning using plasma
US6696358B2 (en) 2001-01-23 2004-02-24 Honeywell International Inc. Viscous protective overlayers for planarization of integrated circuits
US6482331B2 (en) 2001-04-18 2002-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for preventing contamination in a plasma process chamber
US6486059B2 (en) 2001-04-19 2002-11-26 Silicon Intergrated Systems Corp. Dual damascene process using an oxide liner for a dielectric barrier layer
TWI243404B (en) 2001-05-24 2005-11-11 Lam Res Corp Applications of oxide hardmasking in metal dry etch processors
US20020182853A1 (en) 2001-05-31 2002-12-05 Hsueh-Chung Chen Method for removing hard-mask layer after metal-CMP in dual-damascene interconnect structure
US20020187627A1 (en) 2001-06-06 2002-12-12 Yu-Shen Yuang Method of fabricating a dual damascene structure
US20020192966A1 (en) 2001-06-19 2002-12-19 Shanmugasundram Arulkumar P. In situ sensor based control of semiconductor processing procedure
US6527911B1 (en) 2001-06-29 2003-03-04 Lam Research Corporation Configurable plasma volume etch chamber
KR100430472B1 (ko) 2001-07-12 2004-05-10 삼성전자주식회사 듀얼 다마신 공정을 이용한 배선 형성 방법
US6696222B2 (en) 2001-07-24 2004-02-24 Silicon Integrated Systems Corp. Dual damascene process using metal hard mask
TW567554B (en) 2001-08-08 2003-12-21 Lam Res Corp All dual damascene oxide etch process steps in one confined plasma chamber
US6984288B2 (en) 2001-08-08 2006-01-10 Lam Research Corporation Plasma processor in plasma confinement region within a vacuum chamber
US6780086B2 (en) 2001-10-12 2004-08-24 Mosel Vitelic, Inc. Determining an endpoint in a polishing process
US6579800B2 (en) 2001-10-12 2003-06-17 Nutool, Inc. Chemical mechanical polishing endpoint detection
US6709314B2 (en) 2001-11-07 2004-03-23 Applied Materials Inc. Chemical mechanical polishing endpoinat detection
US6582974B2 (en) 2001-11-15 2003-06-24 Taiwan Semiconductor Manufacturing Co., Ltd Method for forming a dual damascene aperture while employing a peripherally localized intermediate etch stop layer
JP3971603B2 (ja) * 2001-12-04 2007-09-05 キヤノンアネルバ株式会社 絶縁膜エッチング装置及び絶縁膜エッチング方法
US20030119305A1 (en) 2001-12-21 2003-06-26 Huang Robert Y. S. Mask layer and dual damascene interconnect structure in a semiconductor device
US6653224B1 (en) 2001-12-27 2003-11-25 Lam Research Corporation Methods for fabricating interconnect structures having Low K dielectric properties
US6440840B1 (en) 2002-01-25 2002-08-27 Taiwan Semiconductor Manufactoring Company Damascene process to eliminate copper defects during chemical-mechanical polishing (CMP) for making electrical interconnections on integrated circuits
DE10208165C1 (de) 2002-02-26 2003-10-02 Advanced Micro Devices Inc Verfahren, Steuerung und Vorrichtung zum Steuern des chemisch-mechanischen Polierens von Substraten
DE10208166B4 (de) 2002-02-26 2006-12-14 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Metallleitungen mit verbesserter Gleichförmigkeit auf einem Substrat
US6828245B2 (en) 2002-03-02 2004-12-07 Taiwan Semiconductor Manufacturing Co. Ltd Method of improving an etching profile in dual damascene etching
US20030199112A1 (en) 2002-03-22 2003-10-23 Applied Materials, Inc. Copper wiring module control
US6806948B2 (en) 2002-03-29 2004-10-19 Lam Research Corporation System and method of broad band optical end point detection for film change indication
US6764810B2 (en) 2002-04-25 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for dual-damascene formation using a via plug
US6706637B2 (en) 2002-05-09 2004-03-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dual damascene aperture formation method absent intermediate etch stop layer
DE10223945B4 (de) 2002-05-29 2006-12-21 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Verbessern der Herstellung von Damaszener-Metallstrukturen
US6739953B1 (en) * 2003-04-09 2004-05-25 Lsi Logic Corporation Mechanical stress free processing method

Also Published As

Publication number Publication date
KR101211446B1 (ko) 2012-12-12
WO2005067005A1 (en) 2005-07-21
TW200527979A (en) 2005-08-16
EP1697973A1 (en) 2006-09-06
CN1898774A (zh) 2007-01-17
JP2007520059A (ja) 2007-07-19
US20060105575A1 (en) 2006-05-18
IL176269A0 (en) 2006-10-05
KR20060115898A (ko) 2006-11-10
US7009281B2 (en) 2006-03-07
JP5314247B2 (ja) 2013-10-16
TWI298004B (en) 2008-06-11
US20050070105A1 (en) 2005-03-31
SG131933A1 (en) 2007-05-28

Similar Documents

Publication Publication Date Title
CN100508108C (zh) 具有热内表面的小体积处理室
US10770346B2 (en) Selective cobalt removal for bottom up gapfill
US7368394B2 (en) Etch methods to form anisotropic features for high aspect ratio applications
US8435901B2 (en) Method of selectively etching an insulation stack for a metal interconnect
US8334083B2 (en) Etch process for controlling pattern CD and integrity in multi-layer masks
US6676760B2 (en) Process chamber having multiple gas distributors and method
US7368392B2 (en) Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US20070202700A1 (en) Etch methods to form anisotropic features for high aspect ratio applications
US10861676B2 (en) Metal recess for semiconductor structures
CN1906751B (zh) 用于无应力导体去除的系统和方法
JP2003506866A (ja) エッチングプロセス用側壁ポリマー形成ガス添加物
US6861362B2 (en) Self-aligned contact process implementing bias compensation etch endpoint detection and methods for implementing the same
US6821899B2 (en) System, method and apparatus for improved local dual-damascene planarization
US6939796B2 (en) System, method and apparatus for improved global dual-damascene planarization
US20100270262A1 (en) Etching low-k dielectric or removing resist with a filtered ionized gas
US7037832B1 (en) Method of forming a conductive pattern by removing a compound with heat in a substantially inert atmosphere
JP3887123B2 (ja) ドライエッチング方法
US11488835B2 (en) Systems and methods for tungsten-containing film removal
CN115161613B (zh) 沉积室的清洁方法
US20230386830A1 (en) Highly conformal metal etch in high aspect ratio semiconductor features

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090701

Termination date: 20161206

CF01 Termination of patent right due to non-payment of annual fee