CN100514544C - 用于在等离子体处理系统中确定端点的方法 - Google Patents

用于在等离子体处理系统中确定端点的方法 Download PDF

Info

Publication number
CN100514544C
CN100514544C CNB200580027667XA CN200580027667A CN100514544C CN 100514544 C CN100514544 C CN 100514544C CN B200580027667X A CNB200580027667X A CN B200580027667XA CN 200580027667 A CN200580027667 A CN 200580027667A CN 100514544 C CN100514544 C CN 100514544C
Authority
CN
China
Prior art keywords
group
data
statistical model
component
plasma
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB200580027667XA
Other languages
English (en)
Other versions
CN101006550A (zh
Inventor
都昡昊
布赖恩·K·麦克米林
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN101006550A publication Critical patent/CN101006550A/zh
Application granted granted Critical
Publication of CN100514544C publication Critical patent/CN100514544C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks

Abstract

公开了一种在等离子体处理系统中确定处理阈值的方法。该方法包括:露出基板以进行等离子体处理,等离子体处理包括处理开始部分、基本稳定状态部分、和处理结束部分。该方法还包括在基本稳定状态部分期间收集第一组数据;创建至少包括从由方差分量和残差分量组成的组中选取的统计模型分量的第一统计模型;以及收集第二组数据。该方法还包括创建包括统计模型分量的第二统计模型,其中,如果第一统计模型的统计模型分量与第二统计模型的统计模型分量完全不同,则基本上达到处理阈值。

Description

用于在等离子体处理系统中确定端点的方法
背景技术
总的来说,本发明涉及基板制造技术,具体地,涉及用于在等离子体处理系统中确定端点(endpoint)的方法。
在诸如使用在平板显示器制造中的基板(例如半导体基板或玻璃面板)的处理中,经常使用等离子体。例如,作为基板处理的一部分,将基板分成多个管芯(die)或矩形区域,每个管芯或矩形区域都将成为集成电路。接着,通过一系列步骤处理基板,其中,选择性地去除(蚀刻)和沉积材料,以在其上形成电子元件。
在示例性的等离子体处理中,在蚀刻之前,用硬化的感光乳剂薄膜(即,例如,光刻胶掩模)涂覆基板。然后,选择性地去除硬化的感光乳剂区域,使得露出底层部件。然后,将基板放置在基板支撑结构上的等离子体处理室中,该基板支撑结构(称为卡盘或底座)包括单极电极或双极电极。随后,适当的蚀刻源流入室中并被撞击以形成等离子体,来蚀刻基板的暴露区域。
图1示出包括室100的等离子体处理系统150,其中,室100配备有泵120以保持较低的室压并排出处理废气。将室100接地用作上部电极104,该上部电极104还被用作喷头型空气分配系统。将来自功率源101的RF功率提供给位于下部电极组件106上的静电卡盘(卡盘)108。RF功率源可包括用于通过频率调谐或通过调整匹配网络145中的可变阻抗来匹配到等离子体阻抗的装置。使用具有通过线缆141传送到处理模块控制器116的信号的探测器140进行RF电测量。通过将RF功率提供给卡盘108生成等离子体102,以处理基板109。在该实例系统中,通过可控制等离子体102内压力的密封环103,在卡盘108和电极104之间限定等离子102。通常可以通过使用凸轮环移动密封环103,以增加或减少相邻的密封环之间的间隔或间隙。气体分配系统122通常由包括等离子体处理气体(例如,C4F8,C4F6,CHF3,CH2F3,CF4,HBr,CH3F,C2F4,N2,O2,Ar,Xe,He,H2,NH3,SF6,BCl3,Cl2,WF6等)的压缩气筒组成。
在操作期间,可通过窗110收集由电磁辐射(光学发射)激发的等离子体,并通过透镜111和光纤112反映到光谱仪114上。光谱仪114内的光学检测器通过信号线缆115将光谱分解的发射信号传送到蚀刻处理控制器116。
优选地,光谱仪114可以是诸如由Ocean Optics公司制造的S2000型号的商业可用单元。典型地,小型光谱仪将通过内部光栅和光学器件、以及具有大约2048像素的机载CCD阵列,来分散(disperse)并收集在大约200nm至大约850nm波长范围内的光谱信号。利用这种系统,光学分辨率一般为约1nm。在处理基板时,以大约1Hz到大约10Hz的采样率来收集光学发射光谱。
通常,一些类型的冷却系统连接至卡盘,以实现等离子体被点火时的热平衡。该冷却系统本身通常由通过卡盘中的空腔抽吸冷却剂的冷却器、以及对卡盘和基板之间的小间隙加压的氦气组成。除了除去生成的热量之外,氦气还使冷却系统快速地控制散热。即,连续增加的氦气压力随后也增加了传热速率。大部分等离子体处理系统还被包括运行软件程序的复杂计算机所控制。在典型的运行环境中,通常为特定的等离子体处理系统和特定方法配置制造处理参数(例如,电压、气流混合、气体流速、压力等)。
在所知双镶嵌的普通基板制造方法中,介电层由填充通孔的导电塞电连接。一般地,在通常排列有TaN或TiN阻挡层的介电层中形成开口,然后顺序填充有使两组导电图样进行电接触的导电材料(例如,铝(Al)、铜(Cu)等)。这样就在基板的两个有源区(例如,源极/漏极区)之间建立了电接触。通常通过化学机械研磨(CMP)去除介电层的表面上过多的导电材料。然后沉积氮化硅覆盖层以覆盖铜。
通常存在三种常用的制造双镶嵌基板的方法:先蚀刻通孔(via-first),先蚀刻沟槽(trench-first)和双硬掩模(dual hard mask)。在先蚀刻通孔方法的一个实例中,首先用光刻胶涂覆基板,然后光刻图样化通孔。接下来,各向异性蚀刻蚀透表面覆盖材料并向下蚀穿基板的低k层,并终止于底部金属层之上的氮化硅阻挡层上。接着,剥除通孔光刻胶层,以及涂覆并光刻图样化沟槽光刻胶。通常,为了防止在沟槽蚀刻处理期间过蚀刻下部通孔,将一些光刻胶留在通孔底部,或者可通过有机ARC塞来覆盖通孔。接着,第二各向异性蚀刻蚀透表面覆盖材料,并将低k材料蚀刻到期望深度。这种蚀刻形成沟槽。然后剥除光刻胶,并且以非常软的低能蚀刻将位于通孔底部的氮化硅阻挡层开口,这种蚀刻将不会使底层铜溅射进通孔。如上所述,使用导电材料(例如,铝(Al)、铜(Cu)等)填充沟槽和通孔,并通过化学机械研磨(CMP)进行研磨。
可选方法是先蚀刻沟槽。在一个实例中,用光刻胶涂覆基板,并施加沟槽光刻图样。然后,各向异性干蚀刻蚀透表面硬掩模(通常还是SiN、TiN、或TaN),接着剥除光刻胶。将另一种光刻胶涂覆到个沟槽硬掩模上,然后光刻图样化通孔。接着,第二各向异性蚀刻蚀透覆盖层,并部分蚀刻进低k材料。这种蚀刻形成部分通孔。然后,使用硬掩模剥除光刻胶,以在通孔上进行沟槽蚀刻。接着,沟槽蚀刻蚀透覆盖层,并部分蚀刻低k材料到到期望深度。这种蚀刻在终止在位于通孔底部的最终阻挡层上的同时,也清洁了通孔。然后,利用特定蚀刻使底部阻挡层开口。
第三种方法是双硬掩模。这个方法组合了氧化物蚀刻步骤,但需要具有中间氮化物掩模的两个单独的ILD(层间介电)沉积和蚀刻步骤。通过顶部和底部上的氮化物蚀刻终止来沉积底部(通孔)介电层。掩盖并蚀刻顶部氮化物,以形成通孔硬掩模。这需要特定的氮化物蚀刻处理。然后,沉积顶部(线)介电层。最后,使沟槽掩模与已在氮化物中蚀刻的通孔开口对齐,利用一个蚀刻步骤在两层氧化物层上蚀刻沟槽和通孔。
为了方便讨论,图2A示出了在光刻步骤之前表示示例性基板层的层叠(layer stack)的理想截面图。在以下讨论中,这里讨论各层的空间关系所使用的例如“之上(上面)”和“之下(下面)”的术语可能是但不总是表示所涉及到的各层之间的直接接触。应当注意,在所示的层之上、之下、或之间也可存在其它层。进一步,不是所有示出的层都必须存在,一些或全部层可用其它不同的层代替。
在层叠的底部,示出了包括半导体的层208。在层208之上设置阻挡层204,其通常包含氮化物或碳化物(SiN或SiC)。双镶嵌基板还包括一组含有的M1 209a和209b的金属层,该金属层通常包含铝或铜。在阻挡层204之上设置包含低k金属(例如,SiOC等)的中间介电(IMD)层206。在IMD层206之上可设置通常包括SiO2的覆盖层203。在覆盖层203之上可沉积通常包括TiN、SiN、或TaN的沟槽掩模层202。
图2B示出了在进一步增加光刻胶层220和BARC层222之后图2A层叠的相当理想化的截面图。
图2C示出在通过光刻处理了光刻胶层220和BARC层222之后图2B层叠的相当理想化的截面图。在该实例中,用一组沟槽214a和214b创建光刻胶掩模图样。
图2D示出在等离子体系统中处理了沟槽掩模层202,进一步将沟槽214a和214b延伸到覆盖层203之后图2C层叠的截面图。
图2E示出去除光刻胶层220和BARC层222之后图2D层叠的截面图。
图2F示出为了生成第二金属层和将其连接到第一金属层209a和209b的通孔而设置第二光刻胶层216和BARC层218之后图2E层叠的截面图。
图2G示出将光刻胶层开口并且执行蚀刻以部分蚀刻进IMD层206来生成通孔之后图2F层叠的截面图。
图2H示出在剥除光刻胶层216和BARC层218以及执行附加蚀刻处理以将沟槽延伸到期望深度并蚀穿终止在阻挡层204上的通孔之后图2G层叠的截面图。
在图2I中,通过使用例如CH2F2、CH3F等蚀穿阻挡层204。
在图2J中,已经执行了化学机械研磨处理以将层叠研磨至覆盖层203,并且已经沉积了导电材料(例如,铝(Al)、铜(Cu)等)以接触现有的M1金属材料。
等离子体蚀刻处理期间最重要的处理步骤是端点。端点通常是指在认为处理完成的等离子体处理中的一组值或范围(例如,时间)。例如,当蚀刻通孔时,重要的是确定基本上穿透诸如SiN的阻挡层的时刻,以使蚀刻入底层的量最小化。
然而,使用这些和其它等离子体处理,由于室残留物堆积、等离子体对室结构的损坏等而导致等离子体处理系统中处理条件可能是动态的,所以使得经常难以监控该处理。
在等离子体系统中使用的一种普通技术是光学发射频谱分析(OES)。在OES中,可将来自等离子体处理系统中一组选取的化学物质(即,例如原子团、离子等)的光学发射与诸如端点的处理阈值相关。即,在等离子体处理室中每种激活的物质通常具有唯一的光谱符号,其通常对应于唯一的一组电磁辐射波长(通常在约245nm到约800nm之间)。通过监控不是基本上由任何其它物质或由等离子体处理本身所生成的特定波长的强度,可以通过观察等离子体室中特定物质相关量的变化来确定处理阈值。
例如,当使用基于CF的蚀刻剂(例如,CF4)来蚀刻SiO2时,通过约483.5nm的特定波长生成CO物质。类似地,当也使用基于CF的蚀刻剂来蚀刻SiN时,通过约674nm的特定波长生成N物质。一旦基本消耗了适当的SiO2或SiN材料,所生成物质的对应波长通常会下降,指示该处理已达到端点。
现在参考图3,示出覆盖氧化物基板(Ar/C4F8/CH2F2/O2化学物-6kW/50mTorr)的光学发射光谱瞬态图的简化实例,其中,将波长(304)映射到信号强度(302)。在该实例中,CF2(306)示出主要在275nm和321nm的光谱发射。CO(308)示出主要在451nm、520nm、561nm、和662nm的光谱发射。H(310)示出主要在656nm的光谱发射。而Ar(312)示出主要在750nm的光谱发射。
然而,当前的光学发射光谱分析端点检测方法的问题在于等离子体光学发射对室条件的变化很敏感。在一些实例中,等离子体光学发射中的这些变化可比得上用于触发端点呼叫(call)的期望变化,由此发生错误端点呼叫。另外,由于只有整个表面区域的一小部分(通常小于约1%)可实际上在端点产生信号变化,因此在背景室OES信号存在时将难以检测到变化。而且,通过对具有亚微米通孔接触和高纵横比的基板的要求逐渐提高,也使有效的发射光谱分析变得更加困难。
鉴于上述问题,期望一种用于在等离子体处理系统中确定端点的方法和装置。
发明内容
在一个实施例中,本发明公开了一种涉及在等离子体处理系统中确定处理阈值的方法。该方法包括:露出基板以进行等离子体处理,等离子体处理包括处理开始部分、基本稳定状态部分、以及处理结束部分。该方法还包括:在基本稳定状态部分期间收集第一组数据;创建至少包括从由方差分量和残差分量组成的组中所选取的统计模型分量的第一统计模型;以及收集第二组数据。该方法还包括创建包括统计模型分量的第二统计模型,其中,如果第一统计模型的统计模型分量与第二统计模型的统计模型分量完全不同,则基本达到处理阈值。
在一个实施例中,本发明涉及在等离子体处理系统中确定处理阈值的装置。该装置包括用于露出基板以进行等离子体处理的装置,等离子体处理包括处理开始部分、基本稳定状态部分、以及处理结束部分。该装置还包括:用于在基本稳定状态部分期间收集第一组数据的装置;用于创建第一统计模型的装置,其中,第一统计模型至少包括从由方差分量和残差分量组成的组中选取的统计模型分量;以及用于收集第二组数据的装置。该装置还包括用于创建第二统计模型的装置,其中,第二统计模型包括统计模型分量,其中,如果第一统计模型的统计模型分量与第二统计模型的统计模型分量完全不同,则基本达到处理阈值。
下面,将通过本发明的详细描述并结合附图,更详细地描述本发明的这些和其他特征。
附图说明
参考实例示出本发明,而并未限制本发明,在附图中,相同的标号表示相同的元件,其中:
图1示出了等离子体处理系统的简图;
图2A至图2J示出了表示示例性基板层的层叠的理想截面图;
图3示出了覆盖氧化物基板的光学发射光谱瞬态图的简化实例;
图4示出了根据本发明一个实施例的采用在等离子体处理系统中使用的统计模型的简化处理,其中,方差用于确定处理阈值(即,端点等);
图5示出了根据本发明一个实施例的采用在等离子体处理系统中使用的统计模型的简化处理,其中,残差用于确定处理阈值(即,端点等);
图6示出了根据本发明一个实施例的用于等离子体处理系统中基板的CF2光学发射的简图;
图7示出了根据本发明一个实施例的由包括一组基本稳定状态测量值和一组处理结束测量值的一组统计模型产生一组霍特林(Hotelling)T2方差的简图;以及
图8示出了根据本发明一个实施例的由包括一组基本稳定状态测量值和一组处理结束测量值的一组统计模型产生一组q统计残差的简图。
具体实施方式
现在,将参考附图中所示的本发明的几个优选实施例详细描述本发明。在以下的描述中,为了提供对本发明的透彻理解,将阐述多个具体细节。然而,本领域的技术人员应该明白,没有这些具体细节的一些或全部也可实施本发明。在其它情况下,为了避免对本发明造成不必要的混淆,没有详细描述众所周知的处理步骤和/或结构。
尽管不希望被理论所束缚,但这里发明人相信,等离子体处理的统计模型可用于确定诸如蚀刻端点的处理阈值。一般地,许多统计分析技术能够将一组测量值或采样转换为比较好描述并可以预测观察测量值的统计模型。
统计模型本身可包括:第一组成分(element),描述新采样如何符合统计模型(通常称作方差);以及第二组成分,捕获不符合统计模型的新采样中的方差(通常称作残差)。在不明显方式中,在具有相对较小变化的部分等离子体处理期间,可以从一组测量值中生成相对更敏感的统计模型。即,统计模型的方差和残差可以相对较小。充分增加方差或残差的新的后续测量值可发出诸如蚀刻端点的处理阈值的信号。在一个实施例中,对每个单独的基板创建统计模型,随后,降低由处理匹配、等离子室匹配、和基板匹配所产生的处理阈值探测的敏感性。在另一个实施例中,统计模型包括一组密封环。在另一个实施例中,统计模型包括低开口区蚀刻等离子体处理。
然而,如前所述,利用这些和其它等离子体处理经常难以监测处理,这是由于室残留物堆积、等离子体对室结构的损坏等使等离子体处理系统中的处理条件可能是动态的。
在动态环境中使用的普通统计技术是主成分分析(PCA)。作为多变量技术,PCA可与被周期性测量以及随后被转换为更小一组的不相关变量或因子的多个变量相关联,其描述数据组中主趋势。PCA寻找描述数据组中的主趋势并将每个表示为一系列主分量(component)的变量或因子的组合。例如,PCA可用于在目标蚀刻步骤期间基于一组连续测量的电磁发射光谱创建因子分解模型。
一旦创建了PCA模型,则可将随后的测量值与PCA模型进行比较,以确定诸如端点的处理阈值。端点通常是指在认为处理完成的等离子体处理中的一组值或范围(例如,时间)。通常,在可以基于来自等离子体处理的信息(例如,蚀刻速率等)创建基本代表性PCA模型之前,处理工程师限定所需的测量范围。
为了增加统计模型的敏感性,可从处理基本稳定状态周期中创建模型。即,大多数等离子体处理通常包括三个阶段:处理开始、稳定状态、和处理结束。在压力、功率、和化学物在等离子体稳定之前可能显示显著的瞬时现象的处理开始阶段期间,对应组的测量值通常将具有相对较高的方差(对于通常由T2统计测量的PCA)和残差(对于通常由Q统计测量的PCA)。在确定的时间间隔(通常是几秒钟)之后,处理进入稳定状态周期,其中,随后的测量值趋向于具有相对较低的方差和残差。最后,在处理结束阶段期间,对应组的测量值再次趋向于具有相对较高的方差和残差。
通过从稳定状态组测量值中创建初始统计模型,当与包括处理开始和稳定状态阶段的模型相比时,总体模型的变化与残差分量相对较小。尽管在OES信号中从稳定状态阶段跨越到处理结束阶段可具有最小的变化,但是使用PCA模型的PCA估计仍可捕获方差和残差足够的增大,以确定已经达到处理阈值。一旦通过基本特定数量的主分量确定来自稳定状态的PCA模型,则PCA估计可使用从稳定状态阶段获得的协方差特征值和特征向量,来计算结束阶段中的PCA参数(例如,Q、T2等)。
在美国专利第5,288,367号中提出了一种方法,其中,使用主成分分析方法自动确定发射光谱的特定波长并基于特定波长来检测蚀刻端点。根据这种方法,可以自动确定特定波长。然而,与本发明不同,该方法包括具有处理开始、稳定状态阶段、和结束阶段的统计模型。即,与本发明中用于处理稳定状态部分的基本PCA模型以及对结束阶段的PCA估计不同,连续跟踪每个测量光谱的强度,并且从处理开始到结束创建PCA模型。另外,与本发明的使用统计模型的方差或残差不同,美国专利第5,288,367号主要依赖一组主分量来确定端点。
在数学上,PCA依赖协方差的特征值分解或处理变量的相关矩阵。对于具有m行n列的给定数据矩阵X,X的协方差矩阵被定义为:
Cov ( X ) = X T X m - 1             (公式1)
一旦X的列已经被中心平均(mean center)(即,通过减去每列的平均值来调整到具有零平均值)和自动定标(autoscale)(即,通过将每列除以其标准偏差,来将其调整为零平均值和单位方差),公式1给出X的相关矩阵。
PCA将数据矩阵X分解为向量ti和pi的外积之和加上剩余阵E:
X=t1pT 1+t2pT 2+...+tkpT k+E      (公式2)
向量ti是关于采样如何彼此相关的分数和包含信息,而向量pi为协方差的特征向量。
第一主成分(t1pT 1)通常不用于确定端点,其一般占总方差的大约80%,并跟踪由窗沉积等而导致的漂流窗透射(drifting windowtransmission)传输而引起的平均信号水平变化。第二(t2pT 2)、第三(t3pT 3)、以及可能的第四主成分一般小于总方差的20%,并一般可用于检测端点。其余的主成分通常包括噪声,因此一般不用于有意义的模式。
也可以计算每个采样的残差、Q统计。例如,对于X中的第i个采样xi,Q只是E(来自公式2)的每行的平方和:
Qi=eieT i=xi(I-PkPT k)xT i      (公式3)
其中,ei是E的第i行,Pk是保留在PCA模型中的第一k装入向量的矩阵(其中,每个向量都是Pk的列),以及I是适当大小的单位矩阵(n×n)。因此,如果通过来自稳定状态的mth主分量生成PCA模型,则通过PCA估计的结束阶段中的Qj表示为:
Qj=ejeT j=xj(I-PmPT m)xT j      (公式4)
Q统计为不是被保留在模型中的主分量从稳定状态捕获的每个采样中变化量的测量值。同时,它是在相对于稳定状态的结束阶段中新变化量的测量值。如前所述,通过从稳定状态周期中的测量值创建PCA模型并对结束阶段执行PCA估计,Q统计可发出越过诸如端点的处理阈值的信号。
通过霍特林T2统计给出PCA模型内变化的测量值。T2是正态平方得分(normalized squared scores),定义为:
Ti 2=tiλ-1tT i=xiPkλ-1PT kxT i      (公式5)
其中,在该实例中ti表示Tk的第i行,k的矩阵记下来自PCA模型向量的得分。矩阵1-1是包括与保留在模型中的k特征向量(主分量)相关的逆特征值的对角矩阵。如果通过来自稳定状态的mth主分量来生成PCA模型,则通过PCA估计的结束阶段中的T2 j表示为:
Tj 2=tjλ-1tT j=xjPmλ-1PT mxT j      (公式6)
其中,Pm是来自稳定状态的PCA模型装入向量的矩阵。如前所述,通过从稳定状态周期中的测量值创建PCA模型,结束阶段中通过PCA估计的T2统计可发出越过诸如端点的处理阈值。
可使用PCA的常用等离子体处理系统测量值为:以光发射、残留气体分析器、光吸收等测量的等离子体物存在或集中、基板电极的偏压、ESC DC电流、以及诸如RF电压、电流、相位和相关谐波的其它电参数,在频率调谐系统中用于使等离子体与发生器阻抗相匹配的RF调谐频率或者在可变电容/电感器匹配网络中用于使等离子体与发生器阻抗相匹配的RF调谐电容/电感。
例如,在端点确定中,可以测量等离子体处理的各个方面(例如,对应于特定物的波长处的光发射信号强度、电测量等),然后将其转换为基本可以确定端点的统计模型。
如前所述,对于目的是蚀刻基板整个表面区域的相对较小开口(未掩盖)区域(例如,低开口区域蚀刻等)的等离子体处理来说,端点确定是个问题。由于给定物中的小变化可使在存在背景信号的情况下难以从端点之前的一定等级存在于等离子体中的该物中检测到相应的信号改变,因此当使用OES时,这个问题更严重。具体地,在等离子体光学发射中的这些干扰可比得上用于触发端点呼叫的期望干扰,从而导致出现错误的端点呼叫。
现在,参照图4,根据本发明的一个实施例,示出了利用在等离子体处理系统中使用的统计模型的简化过程,其中,方差用于确定处理阈值(即,端点等)。首先,在步骤402中,为等离子体处理的基本稳定状态阶段收集一组OES光谱采样。接下来,创建初始统计模型(例如,PCA等)。即,在步骤404中,生成包含x-1方差和x-1残差的x-1统计模型。然后,在步骤406中,收集另外的OES光谱采样。然后,生成第二统计模型。即,在步骤408中,生成包含x方差和x残差的x统计模型。在步骤410中,如果x-1(先前的)方差基本不小于x(随后的)方差,则未达到处理阈值,并且在步骤414中,通过x=x+1继续监测处理。即,在步骤406中,再次收集另外的OES光谱采样,并生成另一统计模型。在步骤410中,如果x-1(先前的)方差基本小于x(随后的)方差,则在步骤412中,达到了处理阈值。
现在,参照图5,根据本发明的一个实施例,示出了利用在等离子体处理系统中使用的统计模型的简化过程,其中,残差用于确定处理阈值(即,端点等)。首先,在步骤502中,为等离子体处理的基本稳定状态阶段收集一组OES光谱采样。接下来,创建初始统计模型(例如,PCA等)。即,在步骤504中,生成包含x-1方差和x-1残差的x-1统计模型。然后,在步骤506中,收集另外的OES光谱采样。然后,生成第二统计模型。即,在步骤508中,生成包含x方差和x残差的x统计模型。在步骤510中,如果x-1(先前的)残差基本不小于x(随后的)残差,则未达到处理阈值,并且在步骤514中,通过x=x+1继续监测处理。即,在步骤506中,再次收集另外的OES光谱采样,并生成另一统计模型。在步骤510中,如果x-1(先前的)残差基本小于x(随后的)残差,则在步骤512中,达到了处理阈值。
现在,参照图6,示出了根据本发明的一个实施例的在等离子体处理系统(50mT/6kW/Ar/C2F2/O2处理)中基板的CF2的光学发射简图,其中,只有大约0.8%的基板表面未被掩盖和蚀刻。在约70秒之后,在602处,出现处理端点。然而,由于被蚀刻的表面区域小于基板整个表面区域的1%,因此在波长260nm处的对应可检测信号的变化仅为大约0.5%。
现在,参照图7,示出了根据本发明的一个实施例的从包含一组基本稳定状态测量值和一组处理结束测量值的一组统计模型中生成一组霍特林T2方差的简图。如前所述,从一组稳定状态测量值中创建初始组的统计模型。因此,当与包括处理开始和稳定状态阶段的模型相比较时,总体模型的方差和残差相对较小。在702处,在大约80秒时从稳定状态阶段进入到处理结束阶段可充分增加统计模型的方差和残差,指示达到了诸如端点的等离子体处理阈值。
现在,参照图8,示出了根据本发明的一个实施例的从包含一组基本稳定状态测量值和一组处理结束测量值的一组统计模型中生成一组q统计残差的简图。如前所述,从一组稳定状态测量值中创建初始组的统计模型。因此,当与包括处理开始和稳定状态阶段的模型相比较时,总体模型的方差和残差分量相对较小。在802处,在大约80秒时从稳定状态阶段进入处理结束阶段可充分增加统计模型的方差和残差分量,指示达到了诸如端点的等离子体处理阈值。
尽管根据几个优选实施例描述了本发明,但是存在落入本发明范围内的变化、改变、和等同替换。例如,尽管结合Lam Research的等离子体处理系统(例如,ExelanTM、ExelanTM HP、ExelanTM HPT、2300TM、VersysTMStar等)描述了本发明,但是也可使用其它等离子体处理系统。本发明还可以使用各种直径(例如,200mm、300mm等)的基板。此外,也可以使用包括除氧气以外的气体的光刻胶等离子体蚀刻剂。还应该注意,存在多种实施本发明方法的可选方式。
另外,可使用诸如偏最小二乘法(PLS)的其它统计分析技术。而且,一组测量值可以包含电磁辐射、等离子体处理系统中的物理变化(例如,压力、温度、密封环位置等)、以及RF变化(RF底功率、RFB反射功率、RF调谐频率、RF负载、相位误差、RF功率、RF阻抗、RF电压、RF电流等)。所要求的发明还可用于优化等离子体处理系统中其它类型的等离子体处理的处理模型。
本发明的优点包括用于优化在等离子体处理系统中的处理端点确定的方法和装置。其它优点包括优化等离子体处理系统中的处理模型、创建对处理阈值确定更敏感的统计模型、以及动态生成每个单独基板的统计模型。在图6所示的上述实例中,在大约40<t<50秒期间,选择稳定状态部分。注意,由于密封环的移动,使得在大约t=30-40秒的601处出现信号干扰。如果在稳定状态部分期间期待这种干扰,则它们应该包括在第一模型组中。例如,如果密封环未固定,则可能出现这些干扰。
尽管已经公开了示例性实施例和最佳模式,但可对所公开的实施例做出的更改和改变,仍然保持在由所附权利要求限定的本发明的主题和精神的范围内。

Claims (25)

1.一种在等离子体处理系统中确定处理阈值的方法,包括:
露出基板以进行等离子体处理,所述等离子体处理包括处理开始部分、基本稳定状态部分、以及处理结束部分;
在所述基本稳定状态部分期间收集第一组数据,所述第一组数据排除与所述处理开始部分和所述处理结束部分相关的数据;
使用所述第一组数据创建至少包括从由方差分量和残差分量组成的组中选择的统计模型分量的第一统计模型;以及
在所述基本稳定状态部分期间收集第二组数据;
使用所述第二组数据创建包括所述统计模型分量的第二统计模型,其中,如果所述第一统计模型的所述统计模型分量与所述第二统计模型的所述统计模型分量不同,则达到所述处理阈值。
2.根据权利要求1所述的方法,其中,所述第一统计模型和所述第二统计模型包括主成分分析。
3.根据权利要求1所述的方法,其中,所述第一统计模型和所述第二统计模型包括偏最小二乘法。
4.根据权利要求1所述的方法,其中,所述等离子体处理是利用蚀刻剂的蚀刻处理。
5.根据权利要求1所述的方法,其中,所述处理阈值是端点。
6.根据权利要求4所述的方法,其中,所述蚀刻剂是CF4
7.根据权利要求4所述的方法,其中,所述蚀刻剂是CHF3
8.根据权利要求4所述的方法,其中,所述蚀刻剂是C4F6
9.根据权利要求4所述的方法,其中,所述蚀刻剂是C4F8
10.根据权利要求1所述的方法,其中,所述等离子体处理是低开口区域蚀刻。
11.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括关于光学发射的信息。
12.根据权利要求1所述的方法,其中,所述第一组数据包括在多个密封环位置处收集的光学发射信号,以包括由光学收集孔径变化引起的正常信号干扰。
13.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括RF传送系统内的电测量值。
14.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括表示等离子体物的存在的信息。
15.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括RF功率。
16.根据权利要求1所述的方法,其中,所述等离子体处理是介电薄膜蚀刻。
17.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括室压。
18.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括RF匹配网络可调阻抗。
19.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括在RF传送系统上测量的RF电压。
20.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括晶片DC偏压。
21.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括在RF传送系统上测量的阻抗。
22.根据权利要求1所述的方法,其中,所述第一组数据和所述第二组数据包括RF调谐频率。
23.根据权利要求1所述的方法,其中,所述第一统计模型和所述第二统计模型包括密封环移动。
24.一种在等离子体处理系统中构造原位基板处理模型的方法,包括:
露出基板以进行等离子体处理,所述等离子体处理包括处理开始部分、基本稳定状态部分、以及处理结束部分;
在所述基本稳定状态部分期间收集第一组数据,所述第一组数据排除与所述处理开始部分和所述处理结束部分相关的数据;
使用所述第一组数据创建至少包括从由方差分量和残差分量组成的组中选择的统计模型分量的第一统计模型;以及
在所述基本稳定状态部分期间收集第二组数据;
使用所述第二组数据创建包括所述统计模型分量的第二统计模型,其中,如果所述第一统计模型的所述统计模型分量与所述第二统计模型的所述统计模型分量不同,则达到所述处理阈值。
25.根据权利要求24所述的方法,其中,在所述基本稳定状态部分期间,根据稳定状态收集所述第一组数据。
CNB200580027667XA 2004-06-30 2005-06-14 用于在等离子体处理系统中确定端点的方法 Active CN100514544C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/882,474 US20060000799A1 (en) 2004-06-30 2004-06-30 Methods and apparatus for determining endpoint in a plasma processing system
US10/882,474 2004-06-30

Publications (2)

Publication Number Publication Date
CN101006550A CN101006550A (zh) 2007-07-25
CN100514544C true CN100514544C (zh) 2009-07-15

Family

ID=35512819

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB200580027667XA Active CN100514544C (zh) 2004-06-30 2005-06-14 用于在等离子体处理系统中确定端点的方法

Country Status (5)

Country Link
US (1) US20060000799A1 (zh)
JP (1) JP2008505493A (zh)
CN (1) CN100514544C (zh)
TW (1) TWI464816B (zh)
WO (1) WO2006012022A2 (zh)

Families Citing this family (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7871830B2 (en) * 2005-01-19 2011-01-18 Pivotal Systems Corporation End point detection method for plasma etching of semiconductor wafers with low exposed area
US7459175B2 (en) * 2005-01-26 2008-12-02 Tokyo Electron Limited Method for monolayer deposition
KR100892248B1 (ko) * 2007-07-24 2009-04-09 주식회사 디엠에스 플라즈마 반응기의 실시간 제어를 실현하는 종말점 검출장치 및 이를 포함하는 플라즈마 반응기 및 그 종말점 검출방법
US8158017B2 (en) * 2008-05-12 2012-04-17 Lam Research Corporation Detection of arcing events in wafer plasma processing through monitoring of trace gas concentrations
JP5778893B2 (ja) * 2010-03-19 2015-09-16 株式会社東芝 終点検出装置、プラズマ処理装置および終点検出方法
KR20120126418A (ko) * 2011-05-11 2012-11-21 (주)쎄미시스코 플라즈마 모니터링 시스템
US8609548B2 (en) 2011-06-06 2013-12-17 Lam Research Corporation Method for providing high etch rate
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US9295148B2 (en) * 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
JP5739841B2 (ja) * 2012-06-13 2015-06-24 株式会社東芝 電子デバイスの生産管理装置、生産管理システム及び生産管理プログラム
US9330990B2 (en) 2012-10-17 2016-05-03 Tokyo Electron Limited Method of endpoint detection of plasma etching process using multivariate analysis
CN103869769B (zh) * 2012-12-14 2017-04-12 朗姆研究公司 用于统计数据抽取的统计计算
US9184029B2 (en) * 2013-09-03 2015-11-10 Lam Research Corporation System, method and apparatus for coordinating pressure pulses and RF modulation in a small volume confined process reactor
US10522429B2 (en) 2015-11-30 2019-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing semiconductor device
US10741363B1 (en) * 2019-10-08 2020-08-11 Mks Instruments, Inc. Extremum seeking control apparatus and method for automatic frequency tuning for RF impedance matching
US20220093429A1 (en) * 2020-09-21 2022-03-24 Taiwan Semiconductor Manufacturing Co., Ltd. Systems and methods for determining residual compounds in plasma process
US20230315047A1 (en) * 2022-03-31 2023-10-05 Tokyo Electron Limited Virtual metrology model based seasoning optimization

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5198072A (en) * 1990-07-06 1993-03-30 Vlsi Technology, Inc. Method and apparatus for detecting imminent end-point when etching dielectric layers in a plasma etch system
US5653894A (en) * 1992-12-14 1997-08-05 Lucent Technologies Inc. Active neural network determination of endpoint in a plasma etch process
US5288367A (en) * 1993-02-01 1994-02-22 International Business Machines Corporation End-point detection
US5658423A (en) * 1995-11-27 1997-08-19 International Business Machines Corporation Monitoring and controlling plasma processes via optical emission using principal component analysis
US6153115A (en) * 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
US6381008B1 (en) * 1998-06-20 2002-04-30 Sd Acquisition Inc. Method and system for identifying etch end points in semiconductor circuit fabrication
US6442445B1 (en) * 1999-03-19 2002-08-27 International Business Machines Corporation, User configurable multivariate time series reduction tool control method
JP4051470B2 (ja) * 1999-05-18 2008-02-27 東京エレクトロン株式会社 終点検出方法
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6582618B1 (en) * 1999-09-08 2003-06-24 Advanced Micro Devices, Inc. Method of determining etch endpoint using principal components analysis of optical emission spectra
US6238937B1 (en) * 1999-09-08 2001-05-29 Advanced Micro Devices, Inc. Determining endpoint in etching processes using principal components analysis of optical emission spectra with thresholding
US6413867B1 (en) * 1999-12-23 2002-07-02 Applied Materials, Inc. Film thickness control using spectral interferometry
GB0007063D0 (en) * 2000-03-23 2000-05-10 Simsci Limited Mulitvariate statistical process monitors
US6789052B1 (en) * 2000-10-24 2004-09-07 Advanced Micro Devices, Inc. Method of using control models for data compression
US6534328B1 (en) * 2001-07-19 2003-03-18 Advanced Micro Devices, Inc. Method of modeling and controlling the endpoint of chemical mechanical polishing operations performed on a process layer, and system for accomplishing same
US20040058359A1 (en) * 2002-05-29 2004-03-25 Lin Mei Erbin as a negative regulator of Ras-Raf-Erk signaling
US20040118344A1 (en) * 2002-12-20 2004-06-24 Lam Research Corporation System and method for controlling plasma with an adjustable coupling to ground circuit

Also Published As

Publication number Publication date
WO2006012022A3 (en) 2006-08-17
JP2008505493A (ja) 2008-02-21
TWI464816B (zh) 2014-12-11
WO2006012022A2 (en) 2006-02-02
TW200611363A (en) 2006-04-01
CN101006550A (zh) 2007-07-25
US20060000799A1 (en) 2006-01-05

Similar Documents

Publication Publication Date Title
CN100514544C (zh) 用于在等离子体处理系统中确定端点的方法
US7127358B2 (en) Method and system for run-to-run control
CN1684224B (zh) 用于创建等离子体处理系统的数学模型的方法和阵列
JP5134363B2 (ja) プラズマ加工システムによる基板エッチング法
DE60021982T2 (de) Verfahren zur Endpunktbestimmung einer sauerstofffreien Plasmabehandlung
US7482178B2 (en) Chamber stability monitoring using an integrated metrology tool
GB2238024A (en) Etch back process for tungsten contact/via filling
WO2004003969A2 (en) Method and system for predicting process performance using material processing tool and sensor data
WO2002091449A2 (en) Etching a substrate in a process zone
US20080305639A1 (en) Dual damascene process
US6074946A (en) Integrated processing for an etch module using a hard mask technique
US5900644A (en) Test site and a method of monitoring via etch depths for semiconductor devices
US7001529B2 (en) Pre-endpoint techniques in photoresist etching
CN1326224C (zh) 在基底蚀刻制程中的干涉终点侦测
US5702956A (en) Test site and a method of monitoring via etch depths for semiconductor devices
US6645781B1 (en) Method to determine a complete etch in integrated devices
JP4480482B2 (ja) プラズマエッチング処理装置の制御方法およびトリミング量制御システム
US20090156012A1 (en) Method for fabricating low k dielectric dual damascene structures
US7758763B2 (en) Plasma for resist removal and facet control of underlying features
US6967349B2 (en) Method for fabricating a multi-level integrated circuit having scatterometry test structures stacked over same footprint area
KR20070032036A (ko) 플라즈마 프로세싱 시스템에서 종료점을 결정하는 방법 및장치
Lercel et al. Etching processes and characteristics for the fabrication of refractory x-ray masks
CN100423222C (zh) 用于检查等离子体处理系统中接触孔的方法和装置
KR100562627B1 (ko) 식각종말점 제어장치 및 그를 이용한 식각종말점 제어 방법
Hussein et al. Particle control in dielectric etch chamber

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant