CN100523296C - 沉积高介电常数薄膜的设备 - Google Patents

沉积高介电常数薄膜的设备 Download PDF

Info

Publication number
CN100523296C
CN100523296C CNB03824943XA CN03824943A CN100523296C CN 100523296 C CN100523296 C CN 100523296C CN B03824943X A CNB03824943X A CN B03824943XA CN 03824943 A CN03824943 A CN 03824943A CN 100523296 C CN100523296 C CN 100523296C
Authority
CN
China
Prior art keywords
temperature
vaporizer
zone
area
equipment according
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CNB03824943XA
Other languages
English (en)
Other versions
CN1694978A (zh
Inventor
B·M·龙塞
C·R·梅茨纳
R·O·柯林斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN1694978A publication Critical patent/CN1694978A/zh
Application granted granted Critical
Publication of CN100523296C publication Critical patent/CN100523296C/zh
Anticipated expiration legal-status Critical
Expired - Fee Related legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/407Oxides of zinc, germanium, cadmium, indium, tin, thallium or bismuth
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4409Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber characterised by sealing means
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4411Cooling of the reaction chamber walls
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4412Details relating to the exhausts, e.g. pumps, filters, scrubbers, particle traps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by evaporation using carrier gas in contact with the source material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4586Elements in the interior of the support, e.g. electrodes, heating or cooling devices
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/54Apparatus specially adapted for continuous coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers

Abstract

本申请提供一种加热设备,其包括一平台,该平台至少包含一表面,其具有一区域以支撑一晶片及一本体;一轴,耦接至该平台;以及一第一及第二加热元件。该第一加热元件是设于该平台本体的一第一平面内。该第二加热元件则以一距该平台表面较该第一加热元件为远的距离设于该平台本体的一第二平面内,且该第二加热元件于一大致与该第一平面及第二平面的至少一者相平行的平面中是偏离于该第一加热元件。

Description

沉积高介电常数薄膜的设备
技术领域
本发明是有关于一种设备,其是用于汽化液体前体并控制输送该等前体以于适当基材上形成薄膜。更明确而言,本发明是关于一种用于沉积高介电常数薄膜于硅晶片上以制造集成电路的设备,且该等集成电路尤其适用于高阶动态随机存取存储器模块及其他半导体元件的制造。
先前技术
随着晶体管尺寸的持续缩减,能否继续将二氧化硅当作介电栅极材料便成为棘手问题。最基本的问题是在维持高栅极电容的同时,栅极面积会缩减较栅极介电堆叠的厚度为快。栅极电容C是由此等式所决定:C=kε0A/d,其中A为栅极面积,d为介电堆叠的厚度,k为介电常数,而ε0为真空电容率。为确保高栅极氧化物电容,二氧化硅层厚度已降至低于2纳米,且形成特征可能需更进一步降至1.0纳米以下。由于将二氧化硅薄膜降至约3纳米厚的优势输送机构是通过直接穿隧电子或电洞,故漏电流密度会随降低的厚度而呈指数增加。在1伏特下,对1.5纳米厚的SiO2而言典型的漏电流约为1A/cm2。然而,当SiO2厚度接近1纳米时,在相同操作电压下漏电流密度会到达到100A/cm2,而此乃吾人所无法接受的数值。
因此,业界亟需一种能以够厚的厚度降低漏电流,同时能提供高栅极电容的栅极介电材料。为达此目的,该替代栅极介电材料的介电常数必须高于二氧化硅。一般而言,此种替代栅极介电材料层的厚度可以等同的氧化物厚度(Equivalent Oxide Thickness,EOT)来表示。因此,一特定电容中,该替代介电层的等同氧化物厚度(EOT)即为替代介电层在其介电常数等于二氧化硅的介电常数时的厚度。
在选择替代介电材料的另一考虑是电子在晶体管通道中的迁移率。所选介电层的材料会影响晶体管通道中载子的迁移率,通过以影响晶体管的整体表现。因此,一般乃希望找到一替代介电材料其载子在晶体管通道中的迁移率是等于、或高于二氧化硅栅极介电层的迁移率。对将来形成的晶体管而言,所期望的峰值迁移率是在400cm2/V.s或更高者。
驱使能达成更小的晶体管乃因希望于半导体晶片上形成更多的集成电路(IC)。制造商的目标都放在以256百万位元(megabit)、十亿位元或更高范围的存储器元件来取代当前64百万位元的DRAM。在相同或更小的基材连接点(或称引脚(footprint))上放置更多集成电路的需求便使其需以具高介电常数的介电薄膜(即高k值薄膜)取代现有介电薄膜,例如SiO2
高k值薄膜乃吾人所乐见,因其较高的介电常数表示它们可提供较高的电容,使元件元件间距较近而无电性干扰,而此较近之间距可增加晶体管密度。此外,电容尺寸可因电容含有高介电常数材料而降低,例如氧化钽(TA2O5),故通常较标准SiO2-Si3N4-SiO2堆叠电容的电容密度为高。事实上,氧化钽的介电常数为SiO2的六倍。因此,高介电常数材料(例如氧化钽)逐渐成为集成电路制造的选择材料。
一种形成氧化钽薄膜的常见方法为汽化一液态钽前体,并接着将钽蒸汽输送至沉积处理室。图1即为不同组成物的蒸汽压(Torr)与温度(℃)的图表,其说明钽前体及其他代表性现有前体(用于半导体相关制程)的蒸汽压中的明显变动。例如,在100℃及1大气压下,TAT-DMAE具有约0.3Torr的蒸汽压,同时TAETO具有约0.03Torr的蒸汽压。钽前体的蒸汽压明显低于一般用于现有蒸汽输送系统中该等前体的蒸汽压。再参照图1,在100℃及1大气压下,TEOS(四乙氧基硅烷,常用于化学气相沉积制程以形成SiO2薄膜,且是由数个现有蒸汽输送系统所供应)的蒸汽压约100Torr。因此TAETO及TEOS说明便说明蒸汽压有明显差异时,现有蒸汽输送系统并无法预期且无法解决因使用低蒸汽压前体(例如TAETO及TAT-DMAE)所造成的问题。
现有蒸汽输送系统一般使用一集成的液体流量控制器及汽化器,而无需一正向(positive)液体关闭阀。在如此配置下,当使用低蒸汽压的钽前体时会导致半导体元件制造中所欲的可重复钽蒸汽输出时出现钽蒸汽输出不稳、且难以达到固定的问题。现有用于TEOS及其他高蒸汽压材料的输送系统便考虑到使流量控制器与汽化器相隔一相当大的距离、或使该汽化器及液体流量计相接触而于其间无明显间距。依据现有系统定位该汽化器及流量计在使用低蒸汽压前体时并无法适当控制其蒸汽压。
先前的输送系统也设有数个可用于高蒸汽压前体的洁净系统,该等高蒸汽压前体的残余物可通过施加低压或「向下抽吸(pumping-down)」该等管线、同时流动一相对于此等材料呈惰性的气体(例如氮气)等方式适当移除(净化)。此等洁净技术并不适用于具有钽的系统中,因残余钽前体的蒸汽压很低,使得系统必须将一溶剂(例如异丙醇、乙醇、己烷或甲醇)引入汽化系统及供应线路中以将之移除。
先前的蒸汽输送系统可通过加热输送管线的方式避免蒸汽凝结。此等加热系统通常是通过助于一可弯曲式电阻加热器,而该弯曲式电阻加热器乃缠绕并与线路接触,并予以绝缘。由于此种系统一般是将前体材料操作在一宽广温度范围内(该前体材料于此温度范围内会维持蒸汽态),故不需在加热管线的诸多位置作温度取样。一般而言,单热电耦只要四到六英尺便可用来表示管道段的温度。然而不幸的是,由于此等大温度控制系统的目的是加热并检测大区段管线的平均温度,此等系统缺乏明确控制单一、较小蒸汽管区段的能力。另外的缺点是一般此等系统在较高管线温度时的效率非常低。
汽化钽输送系统需要将钽维持在高于汽化温度、但低于一既定钽前体的分解温度。因此,一旦形成蒸汽钽,若是TAT-DMAE便必须维持在介约130℃至190℃的增高温度内;而若是TAETO则须维持在介约150℃至约220℃的增高温度内。因对低蒸汽压前体(例如TAT-DMAE及TAETO)而言需要相当高的温度及适用的窄温度范围,钽及其他低蒸汽压液体输送系统便可受惠于蒸汽输送线路温度控制、以及可达成并有效提供较高温度与钽蒸汽输送所需的较高温度控制的方法。此外,由于汽化的低压液体其有用的温度范围小于现有液体的有用范围,故也需要更精确的温度控制。因为需要较高的温度蒸汽输送,钽输送系统的设计以可使加热蒸汽输送线路的长度最小化为佳。将需加热的线路长度最小化不仅可减少整个系统的复杂度,也可减少系统整个尺寸的引脚数目。
目前钽氧化物沉积方法是使用反应速率受限的化学气相沉积技术。于反应速率受限的沉积制程中,所达到的沉积速率会明显受到反应环境温度的影响。目前的化学气相沉积反应器并无法满足基材(其上欲形成钽薄膜)以及内部反应室元件例如气体分配喷洒头的热损失。此等热损失会导致钽沉积厚度不均匀,且此不均匀性亦是商业可行的氧化钽薄膜形成技术的一种障碍。同样的,商业可行的钽沉积需要可移除形成于内处理室元件上的钽沉积物且不会伤及此等元件的可实施、原位洁净制程。
因此,业界亟需一种沉积设备是可输送经汽化、测量为高介电常数的前体如钽、铪或锆等前体(且其已与制程气体适当混合至一反应处理室),以提供一经控制的沉积环境来克服先前系统的缺失。
发明内容
本发明是提供一种用于沉积一薄膜的设备,特别是沉积一高介电常数薄膜的设备。为较佳的输送高介电薄膜,该设备的一实施例具有一缩短的蒸汽输送系统,其中由蒸汽输送系统至处理区域的该等导管是分段成多个独立加热及控制的区段,以进行精确的蒸汽温度控制。此外,该设备的一实施例是将该气体及液体输送系统分段为分离、但类似独立加热及控制的区段,以改善蒸汽温度控制。此外,该设备的一实施例是将该处理室组件分段为数个独立控制区段,以使其等经加热时可作更精确的温度控制,通过以减少处理室本身的蒸汽沉积物,并将处理室组件降低至一合理温度。此外,本发明的一实施例是可同步输送两种分离的高介电常数气体源,因此可进行多元件(multi-component)的薄膜沉积。
于该设备的一实施例中是包括:一包括一处理室本体以及一处理区域的处理室组件;一第一汽化器;以及一利用一第一蒸汽路径连接该第一汽化器及该处理区域的蒸汽输送系统,其中距离该第一汽化器约小于三英尺的该第一蒸汽路径是穿过该蒸汽输送系统至该处理区域。
于该设备的另一实施例中是包括:一包括一处理室本体、一处理室盖件以及一处理区域的处理室组件;一第一汽化器;一连接该第一汽化器至该处理区域的蒸汽输送系统,而该蒸汽输送系统包括:一蒸汽输送歧管,其中:该蒸汽输送歧管是安装于该处理室盖件上、该第一汽化器是安装于该蒸汽输送歧管上且该蒸汽输送歧管是连接该第一汽化器至该处理区域。
于该设备的另一实施例中是包括:一包括一处理室本体、一处理室盖件以及一处理区域的处理室组件;一第一汽化器;一连接该第一汽化器至该处理区域的蒸汽输送系统,而该蒸汽输送系统包括:一蒸汽输送歧管,其中:该蒸汽输送歧管是安装于该处理室盖件上、该第一汽化器是安装于该蒸汽输送歧管上且该蒸汽输送歧管是连接该第一汽化器至该处理区域;数个加热区;一与该等加热区的各者作热接触的加热器;一与该等加热区的各者作热接触的热电耦;以及数个温度控制器,其中该等温度控制器的一者是与该等加热器及该等热电耦连通,以将该等加热区域维持在一第一目标温度。
该设备的另一实施例是包括:一包括一处理室本体、一处理室盖件以及一处理区域的处理室组件;一第一汽化器;一第二汽化器;一连接该第一及第二汽化器至该处理区域的蒸汽输送系统,该蒸汽输送系统包括:一蒸汽输送歧管,其中:该蒸汽输送歧管是安装于该处理室盖件、该第一及第二汽化器是安装于该蒸汽输送歧管且该蒸汽输送歧管是连接该第一及第二汽化器至该处理区域;数个加热区;一与该等加热区的各者作热接触的加热器;一与该等加热区的各者作热接触的热电耦;以及数个温度控制器,其中该等温度控制器的一者是与该等加热器及该等热电耦的各者连通,以将该等加热区维持在一第一目标温度。
该设备的另一实施例是包括一用于输送一前体至一处理室组件的双锁管线(double containment line),该双锁管线包括:一具有一第一可弯曲段的外部管路;一具有一第二可弯曲段的内部管路,其中该内部管路会通过该外部管路以形成一环形空间,且其中该第二可弯曲段主要位于该第一可弯曲段内;数个环形栓塞,其等是经定位以围绕该位于该第一及第二可弯曲段间的环形空间的部份,以形成一环形体积;以及一气体,其中该等气体会填充该环形体积并于该环形体积内形成压力。
附图说明
前文内容及本发明其他态样及特征可由下文与该等图示有关的发明较佳实施例的详细说明而清楚领会,其中
图1是不同组成物的蒸汽压(Torr)与温度(℃)图表;
图2是本发明的制程系统的立体图示;
图3是本发明的四个代表性的制程系统安装在一典型中央基材支撑系统上的立体图示。
图4是本发明的液体输送系统(Liquid Delivery System,LDS)外罩的一实施例的扩展平面图;
图5是图4的液体输送系统的一排气外罩壁的一段的组件图示;
图6是本发明的一制程处理室的截面图;
图7是本发明的一升举机构以及制程处理室的下半部的截面图;
图8是图7的该升举机构的一组件图示;
图9是本发明的该盖件的平面图;
图10是本发明的该处理室组件的一实施例的概要图;
图11是本发明该远端等离子体产生器的一实施例的立体图;
图12是本发明的该排气系统的一实施例的立体图;
图13是本发明的一远端等离子体产生器的一概要图;
图14是本发明的该蒸汽输送系统的一实施例的立体图;
图15是本发明一代表性液体流动控制器的概要图;
图16是一代表性液体输送系统(LDS)及具有一汽化器的蒸汽输送系统的概要图;
图17是一代表性LDS及具有两汽化器的蒸汽输送系统的一概要图;
图18是图2的该液体及蒸汽输送系统的一替代实施例;
图19是一第二代表性LDS及具有两汽化器的蒸汽输送系统的一概要图示;
图20是图19的该液体及蒸汽输送系统的一替代实施例;
图21是本发明的一实施例中具有两汽化器安装于该处理室盖件上的概要图;
图22是本发明的一实施例中具有两汽化器安装于处理室盖件上的立体图;
图23是本发明该可弯曲双锁管线的一实施例的截面图;
图24是该可弯曲双锁管线连接至LDS外罩以及LDS外罩的一实施例的立体图;
图25是本发明的一实施例中具有两汽化器安装于该处理室盖件的立体图,其中两汽化器是以处于开放位置的处理室盖件/汽化器组件作安装;
图26是本发明的一实施例中具有两汽化器安装于该处理室盖件上的一第二立体图;
图27是本发明的一实施例中具有两汽化器安装于该处理室盖件上的一第三立体图;
图28是依据本发明的一实施例中说明该制程系统自动化的一流程图。
具体实施方式
前文说明及其他态样与优点将可由下文本发明较佳实施例的详细说明与相关图示而清楚领会。于所有图示中是使用相同参考标号表示对应的部件。
本发明是关于一液体输送系统(Liquid Delivery System,LDS)、化学气相沉积(CVD)处理室、排气系统以及远端等离子体产生器等共同组成一独特系统,其尤其适用于沉积薄金属氧化物薄膜,例如硅酸铪(hafniumsilicate)以及其他需汽化低挥发性前体液体的薄膜。此系统也提供原位(in-situ)洁净处理,以待沉积于沉积处理室内表面的金属氧化物薄膜成为沉积制程的副产物时将之移除。该系统也应用于制造超大型集成电路(ULSI)DRAM及其他需沉积高介电常数材料的高阶电子元件。一般而言,可以本发明的系统制造的元件为该等具有一或多层绝缘层、介电层或一适当基材上的电极材料(如硅)为特征的元件。除了先前提及的高介电材料外,本发明的系统也可用以沉积硅酸盐、铝酸盐、掺杂氮的硅酸盐外加其他金属栅极电极材料。熟习此项技术人士将可领会使用所揭示配置选择的能力,以及本发明在不悖离其范围的制程细节。于某些情况下,已广知的半导体制程设备及方法并不会作详述,以避免混淆了本发明。
图2为本发明制程系统的立体图,其是表示本发明该等主要零件的相关位置。制程系统100包含一制程处理室组件200、一经加热的排气系统300、一远端等离子体产生器400、一蒸汽输送系统500以及一电路板上(on-board)的软件控制系统1000(如图21所示)。同样示于图2中者为一中央基材传送处理室110,其代表本发明的制程系统的一群组工具实施例。制程处理室组件200至少包含一盖件205以及一处理室本体210,且该制程处理室组件200是接附于中央传送处理室110。经由蒸汽输送系统500供应的蒸汽是于处理室组件200内经一加热的通导口组件220提供至一处理区域(未示出),其中该通导口组件220包括形成于一入口及混合歧管272内的温度控制导管以及中央混合区262。卡匣式加热器264是集成地形成于各区块中,并连接各个热电耦及控制器、该等歧管内的温度维持设定点。于操作期间,此等温度设定点可针对不同歧管、区块及其他处理室组件零件作变动。更明确而言,是省略独立的热电耦及控制器。图2中无法看见、但亦为本发明的一态样者是一嵌埋式盖件加热器235(如图6所示)集成至一加热器夹钳板234下方的处理室盖件205。
处理的副产物是经由加热的排气系统300(耦接至处理室组件200)由处理室组件200排出。同样所示者为一绝缘阀310、一节流阀315、一处理室旁道入口320、一冷阱(cold trap)绝缘阀330以及晶片制造设备排气处理系统出口340(或称前管线)。为使图示更为清楚,加热排气系统300、护套式加热器、热电耦及控制器(用以维持排气端305、绝缘阀310、节流阀315、处理室旁道入口320及旁道线路322中的设定温度)的各个零件间的关系以及相对位置均已省略。
用于洁净的激发物种是由远端等离子体产生器400形成,并经由加热等离子体歧管270内的导管提供至处理室组件200内的一处理区域以及中央混合区262。远端等离子体产生器400的其他零件如磁电管402、自动调整控制器410以及自动调整器408均示于图2。
蒸汽输送系统500的主要零件包括一液体流量计510以及一汽化器520。三向入口阀588可由前体供应线508将一前体、或由溶剂输送线591将一溶剂引入蒸汽输送系统500中。来自供应线508的前体会进入液体流量计510,以调节经汽化器供应线513流入汽化器520的前体液体。热交换器530及气体加热器582会预热载气(或压载气(ballast gases))并分别处理该等气体。来自载气源531的载气在经过一载气供应线532至汽化器520的前会先进入热交换器530并加热,以帮助汽化器520内有更完全的汽化,并将汽化液体载送至处理室组件200。在汽化器中汽化前体液体后,处理室旁道阀545可让蒸汽经由处理室出口550及加热通导口线560送入处理室组件200中的处理区域、或经由一出口555及加热旁道线322送入排气系统300。来自制程气体源579的制程气体在经由一制程气体供应线586至处理室组件200的前会进入气体加热器582并作加热。
该套体式加热器、热电耦以及维持处理室旁道阀545及加热通导口线560的控制器,以及该套体式加热器、热电耦以及维持旁道线322温度的控制器均已省略,以避免混淆蒸汽输送系统500的零件及其至处理室组件200及加热排气系统300的关系。
各种零件的尺寸与大小以及此等零件与彼此相关的位置是由本发明的制程所用的基材尺寸判定。此处将描述本发明的一较佳实施例与一制程系统100相关的部分,该制程系统是适于处理一圆形基材,例如直径200mm的硅晶片。虽然此处是描述一单一基材,然熟习半导体制程的技术人士应可领会本发明的方法及各种实施例亦适用于处理一单一处理室组件200内的多片基材。
现参照图3,其为本发明的四个代表性制程系统安装于一典型中央基材支撑系统上的立体图,数个制程系统100是安排于一群组工具配置中围绕中央基材传送处理室110配置,并由一主框架105支撑。加州圣塔克拉拉美商应用材料公司所制造的Centura主框架系统即为前述群组工具配置的一代表。此配置可让多个处理室(此处所示至少包含四个处理系统100)连接至一共同真空传送处理室110。
前述配置的一优点为该中央基材传送处理室110亦连接至一负载锁定室(或负载锁定室群),以固定数个于处理室(连接至该中央基材传送处理室)中处理的基材。虽然图3是描述四个相同制程系统100,然该群组工具配置的另一优点是将各种处理室类型放置于一单一中央基材传送处理室110。于前述一配置中,一基材可移动于该等配置围绕中央基材传送处理室110的处理室间,而无须暴露于空气或氧气氛围中。因此,本发明的制程系统100的特征在于具有各种预定的处理室类型,一基材可装载于该连接至中央基材传送处理室110的负载锁定室,序列通过各种处理室,因此按顺序于一以此方式处理的基材上形成预定且所欲的薄膜。
应可预期的是,该与其他处理室类型连接的制程系统100即可形成一集成电路的完整部分。尤其应理解的是该等处理室类型的选择、顺序及液体输送配置可形成一堆叠电容器,其具有多晶硅底层及以氮化硅及氮化钛阻障层(其间以一钽氧化物介电层相隔)分隔的上电极。同样应特别理解的是处理室类型的选择、顺序及液体输送配置可形成高介电常数晶体管栅极堆叠,其于底部介面上具有一交界层,其上有一高k值介电层,接着予以后处理,继而设一栅极电极材料。其他层及结构亦应可理解,故也应包含在此处所述方法及设备的范围内。也应特别理解的是,单一制程系统100可单独具有形成完整集成电路部分的处理能力。
所示各系统100于适当位置具有一盖体203。盖体203可包围加热处理室盖件205及温度控制中央混合区262、入口及混合歧管272及加热等离子体歧管270(如图2所示)。于本发明的一实施例中,虽然处理室盖件205是经加热以操作在约30℃至130℃的温度,但盖体203是维持在相对安全的温度以避免与盖件205的加热零件接触造成的热伤害。
远端等离子体产生器400也同样图示于一替代实施例中,其中各产生器是由主框架105上部支撑,而非图2所示由底部支撑。为了不混淆此远端等离子体产生器400的替代实施例,加热排气系统300并未图示出。前述远端等离子体产生器400的一支撑配置可提供制程系统100的其他零件更简易的接近性及维修,并可降低制程系统的全部引脚数。图3的该等制程系统100的实施例更说明本发明紧密的设计特征。此实施例中紧密设计的额外态样是大储存器,以及设于主框架105上位于一电路板上的LDS外罩108。此可减少容器及汽化器间的液体线路长度,以更精确地控制液体输送的重复性。
LDS外罩108具有一排气系统,其可让使用者调整LDS外罩108内数个点的排气至一所欲流率。现参照图4,其是本发明一液体输送系统(LDS)外罩的一实施例(图示为面向外罩门116),LDS外罩108于左手侧具有一排气端107,其是连接至一排气线,一般为外罩排气线。排气端107突出于一由一排气盖体106及一排气外罩壁109所形成的封围体积104。排气盖体106是以透明方式呈现以显示排气外罩壁109是与数个狭缝板111(各遮罩一段排气外罩壁109)相适配。LDS外罩108于其右手侧具有一第二排气外罩壁117,其具有另一组狭缝板111。
现参照图5,其是图4的该液体输送系统的一段排气外罩壁的组件图,两排气外罩壁109、117均具有垂直数个水平狭缝112及垂直狭缝113(以一放大的外罩壁109、117段表示)。狭缝板111具有数个水平狭缝114及螺栓孔115(为清楚呈现,图中仅标示代表性元件)。狭缝板111上的水平狭缝114以及外罩壁109、117上的水平狭缝112尺寸均相同,且均有间隔以使在狭缝板111栓入排气外罩壁109或排气外罩壁117时,所有的水平狭缝114可与对应的水平狭缝112对齐。螺栓118通过螺孔115及垂直狭缝113,以将狭缝板111连接至排气外罩壁109、117。垂直狭缝113可让各个狭缝壁111栓接于相对于排气外罩壁109或117呈一升起位置(于栓接位置119处)或呈一降低位置(于栓接位置120处)。当以栓接位置119(如图所示)栓接时,水平狭缝114会与水平狭缝112完全对齐。当以栓接位置120栓接时,水平狭缝114不会完全与水平狭缝112对齐。完全对齐一水平狭缝114及一水平狭缝112时会开启一可结合狭缝板111与排气外罩壁109或117的通道121。栓接板111处于栓接位置119及120间的一位置时会部份对齐水平狭缝114与112以及部份开启通道121。
「调整」LDS外罩108表示将狭缝板111栓接于一可开启一所欲量的通道121的位置,以使在对封围体积104施予真空时,空气可以一所欲速度流经LDS外罩108内的任选位置。此等任选位置一般对应于狭缝及LDS外罩108内的其他配线位置(会泄漏有毒物质)。调整LDS外罩会使空气以一速度(可将泄出的有毒气体引入该外罩排气管)流经此等阀门及配线。对各狭缝板111而言,在水平狭缝114与水平狭缝112对齐而形成通道121时,可能会流出最大量的空气。相反地,当水平狭缝114未与水平狭缝112对齐而关闭所有通道121时,仅有最少的排出气体会流出。部份对齐的狭缝114及112会部份开启通道121,并控制通过通道121的流量。
于调整期间,LDS外罩108是与空气速度感应器(未示出)适配于LDS外罩108内的一所欲位置。排气端107是连接至外罩排气管,其会于封围体积104内形成真空。于排气外罩壁109及117开启通道121会使空气流经LDS外罩108的主要区段并进入排气管。于该等所欲位置的各处的空气流动可通过调整通过适当狭缝板111(或多狭缝板111,其可包含排气外罩壁109、117的任一或全部的板)的空气流率的方式作检测及调整。
虽然LDS外罩108是图示于相对的壁109、117上设有数个狭缝板111,但应可理解的是本发明也可实施于不同壁的狭缝板以及不同狭缝的外罩。
简略参照图24,本发明的一实施例是利用一LDS外罩108A,其不具有LDS外罩108(如图3所示)的该可调整式排气特征。于本发明的此特定实施例中,LDS外罩108A是以图3LDS外罩108的相同方式连接至主框架105(如图3所示)。LDS外罩108A是经尺寸设计以包含四个大储存容器及一大溶剂容器。前体供应线及溶剂供应线可连接大储存容器及大溶剂容器,以将该制程系统100(如图3所示)的任一者上。为清楚呈现,图24是显示一具有前体供应线508、508及溶剂供应线591(连接LDS 108A内该容器(未示出)至制程系统100)的范例。一般而言,LDS 108A位于该经连接的制程系统100十英尺内。
LDS外罩108也具有一磁感应开关(未示出),其可检测外罩门116是否关闭成为连锁系统的一部份。当外罩门116未关闭时,连锁系统会停止供电(de-energizes)予该气体及前体供应阀。同样的,成为该连锁系统的一部份时,一感应器(未示出)会检测经过排气端107的气流,且该系统会停止供电予该气体及LDS外罩108中的前体控制阀,且汽化器应作低速流动。此等连锁是示于下文表I中。
图6是图2的制程系统100的处理室组件200的一截面图。加热处理室盖件205可链扣于处理室本体210。连同O型环245可形成一经温度及压力控制的环境或处理区域202,以进行沉积制程及其他操作。处理室本体210及盖件205较佳是由坚硬材料制成,如铝、各种镍合金或其他具有良好导热性的材料。O型环245是由一耐化学腐蚀的弹性体、全氟弹性体或橡胶(如
Figure C03824943D00191
)或其他适合可特别设计用于流体封闭的封闭材料。
当盖件205如图6所示关闭时,可形成一处理区域202以连接一喷洒头240、一抽吸板208、一基座加热器250以及处理室盖件205。基座加热器250(图示于升起位置以进行处理)是由加热器轴256支撑,其是延伸经处理室本体210的底部。加热器轴256是焊接至基座加热器250,且它们是一同移动。嵌设于基座加热器250内者为一电阻式加热器,其可经由电阻式加热元件电连接器257接收电源。一与基座加热器250作热接触的热电耦可感应基座加热器250的温度,且其为封闭回路控制电路的一部份,以精确控制基座加热器250的温度。基材201是由基座加热器250的上表面支撑,且是由该基座加热器250内的电阻式加热器进行加热,以提供例如约400℃至500℃间的温度予利用本发明方法及设备形成的钽薄膜。于一实施例中,基座加热器250是由陶瓷材料制成且可达到200℃至600℃的高温。基材201可为一用于半导体产品制造的基材,例如硅基材及砷化镓基材,并可为其他目的的基材如用于制造平面显示器的基材。基座加热器250及基材201均平行于喷洒头240。
于本发明的一实施例中,两组电阻式加热器是以将基座加热器250分为两加热区的方式嵌设于基座加热器250内。此等加热区为圆形,可控制基座加热器250的外侧区297及内侧区294。热电耦可配置于内侧区294及外侧区297内,以确保此等区域的温度及两封闭回路控制电路的部份可对基座加热器250作更精确完全的温度控制。于本发明的一实施例中,内侧区294是以一单一热电耦(设于内侧区294中)加热一部份的外侧区297以控制温度。然熟习此项技术人士应可领会本发明亦涵盖替代实施例,如多个连续或不连续的内嵌式加热器可配置于基座加热器250内以提供额外的热或更佳的温度控制。
制程处理室组件200是经由一开口214耦接至中央传送处理室110。狭缝阀215可将处理区域202及一封围体积206封闭于中央传送处理室110外。基座加热器250也可垂直移动于开口214下方,以使在狭缝阀215开启时,基材可移动于处理区域202及中央基材传送处理室110之间。
图7是本发明一制程处理室的下半部及一升举机构的截面图;而图8是图7的升举机构的一组件图示,其描述升举组件900。升举组件900可移动基座加热器250,且因此基材可进入处理室组件200内与喷洒头240有关的一特定位置。升举组件也可形成一环形空间918,以让惰性洁净气体可由升举组件900进入封围体积206来加压封围体积206,并避免蒸汽由处理区域202进入封围体积206。
简略参照图6,升举组件900包括四个升举销902,其是绕着加热器轴256移动于基座加热器250中平均间距的孔洞281。升举销902可通过一升举管905与一连接上方载件(示于图7中)的升举板904互动。升举板904是由铝制成,其设有四个陶瓷钮(未示出)以接触陶瓷升举销902。当接触升举板904时,升举销902会因基座加热器250及升举板904间的相对移动而垂直滑动于孔281内。当下降升举板904时,于某些点此相对移动会使升举销902于基座加热器250的表面下方缩回,并使升举板904不与升举销902接触。基座加热器250接着可通过熟习此项技术人士所熟知的方式机械地维持该升举销902。
参照图7,升举板904、升举管905以及加热器轴256是轴向移动于一波纹管组件内。该波纹管组件包括一下波纹管922A及一下波纹管922B。加热器轴256是由一下方载件912所支撑。马达906(如图8所示)可经由一导螺杆驱动轴908(如图8所示)及一精确接地下层螺帽(未示出,其可为一球形螺帽)移动下方载件912。下波纹管922B可封闭下方载件912及上方载件910。上波纹管922B可封闭上方载件910及处理室安装板916。上波纹管可封闭上方载件910及处理室安装板916。此与加热器轴256结合可形成一环形空间918。环形空间918可提供一通道,以将洁净气体由一气体入口920导入封围体积206中。升举管905是位于环形空间918内,且是经穿孔以让洁净气体流动于升举管905及上波纹管922A之间。
现在简略参照图8,加热器轴256是由一加热器连接器924(设有气体配件927)所封闭。洁净气体是经由其中一气体配件927引入加热器轴256内部,并由其他气体配件927离开。氮气一般作为洁净气体,但其他业界所熟知的洁净气体亦可使用。上方及下方载件910、912、导螺杆驱动轴908以及马达906是由一升举外罩926所支撑。升举外罩926是经由一加热器升举调节板928连接至处理室安装板916。加热器升举调节板928具有一枢轴连接件930(至处理室安装板916)及两个可调整连接件932。可调整连接件932可将基座加热器250调整至喷洒头240位置。
再参照图7,于制程期间,升举销902会缩回或甚至对应基座加热器250表面。为将基材201由制程处理室送出,下方载件912会降低加热器轴256,接着由该制程位置(大致相对于喷洒头240)降低至该传送位置,基座加热器250的表面于该位置时是低于开口214。当基座加热器250降低时,升举销902会与升举板904接触。随着持续降低,升举销902开始凸出于基座加热器250表面上方。当其凸出时,升举销902会将基座加热器250举离一特定距离,在举离一特定距离后,基座加热器250及升举板904会作一致移动直至基座加热器250适当对齐开口214方止。
为将基材传送至该制程处理室,会作反向移动,且升举销902是与基座加热器250上升以将基材201举离一经开口214进入的机械叶片(未示出)。该升举机构会暂停且叶片会接着缩回。基座加热器250会接着将基材升至该经程式化的制程位置。于此升起期间,基座加热器250及升举板904是共同移动一特定距离,接着升举板904会停止移动同时基座加热器250则持续上升。升举板904及基座加热器250间的相对移动会使升举销902缩回于基座加热器250内,直至升举销902不再接触升举板904。基座加热器250会持续移动直至适当定位方止。
升举板904及基座加热器250间的相对移动是由上方及下方载件910、912所决定。如前所讨论者,上方载件910会支撑升举板904,而下方载件912会通过加热器轴256支撑基座加热器250。上方载件910是以升举外罩926内的弹簧(未示出)偏抵,以维持抵靠一硬挡体(未示出),直至下方载件912下降啮合方止。上方载件910及下方载件912接着会一起移动直至基座加热器250抵达停止供电位置。
于上升移动期间,上方载件910会先通过弹簧力向上拉,并由下方载件912的向上移动作限制。上方载件910在接触一硬挡体(未示出)时会停止。下方载件912会继续与基座加热器一起上移,此将使升举销902及基座加热器250间的相对移动随着升举销退至支撑部内,直至不再与升举板904接触方止。此时,升举销902不与升举板904接触,它们乃隐陷于基座加热器250内。基座加热器250的位置会调整以使基材201距离喷洒头240一介约0.300英寸至1.000英寸之间隔范围内。
于本发明的一实施例中,一处理室衬垫298是设于处理室本体210内,并经尺寸设计以于处理室衬垫298及处理室本体210间形成一间隙299。间隙299可将处理室衬垫298热隔绝于处理室本体210。因处理室本体210与较冷的外围大气相接触,故于制程期间处理室衬垫298可维持在一较处理室本体210为高的温度。因此,处理室衬垫298上的凝聚物会较处理室本体210上者为少。处理室本体210上有较少的凝聚物也因其可通过处理室衬垫298而与处理区域202内绝大多数的蒸汽有所屏蔽。此外,于制程期间,小尺寸之间隙299与使处理区域202进入间隙299不再泄流的方式将可降低经间隙299扩散的物质量,并通过以减少可能会形成在处理室本体210的凝聚物的量。此配置的其他优点在于处理室衬垫298也可移除以作清洁或替换,且在处理室本体210不需如此多次的清洁时,可使处理室本体210磨损较少并延长使用寿命。于本发明的一实施例中,间隙299大约为0.100英寸宽,然而处理室衬垫298偶尔会与处理室本体210接触,以将处理室衬垫298固定于处理室本体210。
现参照图6,当狭缝阀215关闭且基座加热器250位于升起位置以进行制程时,处理区域202是通过基座加热器250而与封围体积206分隔。于制程期间,间隙207会使物质通入封围体积206,然此损失非吾人所欲,因其会降低沉积制程的效率,并使物质凝聚于处理室本体210及处理室衬垫298上。为避免此情形发生,封围体积206是通过将一惰性气体(如氮气)经气体入口920(如图7所示)引入封围体积206的方式而维持在一高于处理区域202的压力。该惰性气体流经环形空间918(如图7所示)、封围体积206、间隙207、抽吸通道223而进入抽吸渠道260,经过处理室排气端305,并由该经加热的排气系统300汇集。于本发明的一实施例中,来自抽吸渠道260的惰性气体是直接进入隔绝阀310。压力转换器(未示出)可检测封围体积206及处理区域202中的压力。基座加热器250有时称为r抬举器(lift)」,而该抬举器下方具有一较高压力的特征有时称为「气动式抬举器(lift purge)」。封围体积206至处理区域202的压力差可减少处理区域202流入封围体积206的物质、减少维修并改善沉积效率。该压力检测器可作连结以停止供电予气体控制阀、加热器以及处理室,如下文表I所列示者。
于本发明的一实施例中,一加热渠道211是设于处理室本体210内。一加热流体(例如水或乙二醇)会通过加热渠道211以提升处理室本体210的温度。此可减少处理室本体210上的凝聚物,而具有前述所论述的优点。于一实施例中,处理室本体210是经加热以利用水及Neslabs公司所制造的Steelhead 
Figure C03824943D0023112126QIETU
操作在30℃至105℃的温度间。于加热渠道211内循环的水通常为90℃。同样的,混合区262、歧管272以及加热通导口线560均作加热以操作在30℃至230℃的温度,而基座加热器250则加热至200至600℃的温度间。于此实施例中是设有16个独立控制温度区,其于汽化器下游处设有数个安全过热连动装置。
抽吸通道223及抽吸渠道260均形成于处理室本体210内,以移除处理区域202内所实施操作的制程副产物。抽吸渠道260可于加热排气系统300及制程区202的零件间提供流体及气体连通。
现说明处理室组件200的气体输送特征。该来自蒸汽输送系统500的制程气体/前体混合物以及来自远端等离子体产生器的激发物种是分别经由温度控制导管273及271输送至一中心导管231及形成于盖件205中的中心盖件穿孔230。由该处,气体及激发物种可流经档板237及喷洒头240而进入处理区域202。
温度控制导管271及273是一体形成至加热通导口组件220,其包括中央混合区262及入口以及混合歧管272。虽然图6的处理室组件所呈现者可看出一加热通导口组件220至少包含混合区262及歧管272,然熟习此项技术人士应可领会在不悖离本发明精神下,该混合区及歧管也可结合至一单一区域。数个卡式加热器264是内设于混合区262及歧管272,并接近导管231、273、278、265及276处。卡式加热器264可利用独立控制器及热电耦(用于一特定导管的加热器)以维持各导管的设定点的温度。为避免混淆,该等独立热电耦及控制器已作省略。
盖件205也同样设有一环形通道244,其可将冷却水循环于盖件205部份内接近O型环245处。渠道244是连接至加热渠道211,以使同样流体可循环各渠道。渠道244可使盖件205绝大部份维持在一较佳温度,以有利地加热喷洒头240同时保护O型环245不受高温影响(因高温会劣化O型环245的封闭效果)。此保护乃吾人所欲,因在劣化时,O型环245较易受经远端等离子体产生器400形成并供应至处理区域202的反应性物种的伤害。流量计(未示出)可检测经渠道244的流量,并经连结以停止供电予水加热器及流量可能会太低的其他加热器控制器,如表I所列示者。
同样示于图6的本发明制程处理室组件200的其他特征为一环形电阻式加热器235,其是内嵌于处理室盖件205内。处理室组件200的此特征可使盖件205(接近中心盖件穿孔230、喷洒头240及介于盖件205下表面及喷洒头上表面263间的区域)的温度升高。于盖件205上表面内所形成的为一环形沟槽,其乃依据加热器235尺寸及形状而成形,以增加表面接触以及加热器235及处理室盖件205间的热传递。一夹钳板234是通过固定件243(如图9所示)固定于此沟槽中,以帮助内嵌加热器235及盖件205间的表面积接触,进而改善加热器235及盖件205间的热传递效率。
在无加热器235的情况下,渠道244可持续由处理室盖件205移除热。此可降低盖件205部分的温度,特别是与前体蒸汽接触的部分,例如环绕中心盖件穿孔230以及喷洒头上表面263。虽然较冷的盖件温度可改善O型环245的状态,然而较冷的盖件温度会导致吾人所不乐见的前体蒸汽凝结。因此,加热器235会作定位以加热盖件205部分,使的接触经汽化的前体气流。例如图6所示,加热器235是设于渠道244及中心盖件穿孔230之间,同时也可定位以加热邻近档板237的盖件表面。
现参照图9,其是本发明的盖件的俯视图,加热器235至其他安装于盖件205上的零件的关系可于此图中更清楚领会。内嵌的加热器235是以虚线标示,且是位于夹钳板234及电连接器236下方。盖件205也具有一内嵌的热电耦204,用以检测邻近加热器的盖件205内的温度。热电耦204为馈送控制电路的一部份,其可监视及控制供应至加热器的电源,以取得盖件205内设定点的温度。当所有零件与汽化前体气体接触时,盖件205便需要精确的温度控制,以便提供凝结或分解低蒸汽压前体的状态。
对图6所示处理室组件200的代表性的200mm实施例中,加热器235可提供900瓦的输出额定功率,其是伊利诺州Richmond市Watlow公司所上市的各种商用零件中的一种。利用一额定功率约650瓦的加热器,便可快速得到盖件205中介约80℃至180℃间的温度设定点。应可领会的是,取决于分解及凝结温度以及所用前体物质的其他特性,本发明也可利用不同的加热器额定功率、设定点以及配置以得一范围较广的温度设定点。虽然加热器235是由一单一、连续、环形元件来表示,但熟习此项技术人士应可领会其他替代实施例亦为本发明的范围,如可将数个连续或不连续的内嵌加热器235配置于盖件205内,以提供额外的热或更佳的温度控制。
再参照图6,加热器盖件205可支撑喷洒头240及档板237。就其本身而论,喷洒头240是经由数个均匀间距的固定件242而接附于盖件205,而档板237是通过数个均匀间距的固定件217接附于盖件205。固定件217及242均由坚硬材质形成,例如铝、各种镍合金以及其他具有良好导热性的材料。固定件242及217可作适当配置以提供夹钳力,例如以固定件242来强化加热盖件205及喷洒头240间的接触、或以固定件217来强化经热盖件及档板237间的接触。所增加的接触区域可提高加热盖件205、档板237及喷洒头240间的热传递,且增加的接触区域也可提供较佳的封闭以抵抗气体泄漏。
现参照图10,其是本发明该处理室组件的一实施例的概要图,其中本发明处理室组件200的温度控制导管的特定态样可更清楚领会。更明确而言,本发明的蒸汽输送系统的一特征是由连续、独立的温度控制导管表示的,其可耦接汽化器520的出口至处理区域202。已知钽及铪前体具低蒸汽压时,该蒸汽输送系统的另一特征则为由汽化器520至处理区域202的该经缩短的蒸汽流动路径。短化前体流动路径可降低抽吸损失、摩擦力损失及其他与抽吸导管长度有关的流体动力无效率(fluid dynamicinefficiencies)情形以及抽吸低蒸汽压气体的固有难度。前述流体损失的降低也有利于本发明的汽化及低蒸汽压前体的输送,这是因为前体流动路径的最小化将使蒸汽输送系统得以提供低蒸汽压前体更为稳定且重复的蒸汽流率。于图10中,为避免混淆,已简化该处理室组件内部的特定部分的细节图示,亦即由中心盖件穿孔至基座加热器250的部分。
经加热的通导口组件220(包括入口及混合歧管272及中央混合区262)是由坚硬材料制成,例如铝、各种镍合金或其他具有良好导热性的材料。经加热的通导口组件220内形成的各种导管是耦接于加热处理室通导口225及制程气体处理室通导口227以及盖件穿孔226及228到中心盖件穿孔230的入口。
入口及混合歧管272是连接至盖件205而于一前体盖件穿孔226即前体入口导管265之间、以及制程气体盖件穿孔228及制程气体入口导管276之间形成一封闭、连续的流动路径。O型环216、218是由一抗化学物的弹性体、全氟弹性体或用于流体封闭的橡胶(如)所形成,以分别用于盖件穿孔出口226及228而提供盖件205及入口以及混合歧管272间的适配表面的封闭,并提供盖件205及处理室本体210间适配表面的封闭。混合歧管278的区域包括制程气体及前体蒸汽开始并入一均质混合物之处,其最终会分送至处理区域202。由混合导管278起始至处理区域202的总导管长度应足够长,以取决于是否抵达中心盖件穿孔230而使形成的蒸汽/气体流均匀混合。虽然需特定长度以达成均匀混合是取决于各种因素,例如导管尺寸、气体流率及温度,然而对内径为0.5英寸的混合导管278、混合沉积物气体导管273、中心导管231以及中心盖件穿孔230而言,由混合导管278的起始起算至中心盖件穿孔230的代表长度约为9英寸。于一替代范例中,可使来自混合导管278且经由混合沉积物气体导管273以及中心导管231(两者内径均为0.5英寸)的前体蒸汽及制程气体作均匀混合的导管长度约为10英寸。
入口及混合歧管272是连接中央混合区262,以使气体导管273的出口偶接至混合沉积气体导管293(形成于中央混合区262内)。环绕气体导管273的出口以及沉积气体导管293的入口的适配表面是以O型环213密封的,其是由一抗化学物的弹性体、全氟弹性体或用于流体封闭的橡胶(如
Figure C03824943D00271
Figure C03824943D00273
)所形成。同样的,环绕中心导管231的导管出口以及中心盖件穿孔230的入口的适配表面是以O型环222密封之。
为更清楚描述本发明经加热的通导口组件220的均匀温控导管特征,入口及混合歧管272以及中心混合区262是以分离块件作描述及讨论。然而熟习此项技术人士应领会的是,亦可于不悖离本发明范围下使用一入口及混合管272以及中心混合区262具有前述尺寸与特征的单一工件。
形成于加热通导口组件220(265、276、278、273、293以及231)内的各导管的温度均由数个独立单元所控制,各具有卡匣式加热器264、热电耦274以及数个控制器277。一单元是控制位于入口及混合歧管272内导管265、276及278的温度,另一单元控制入口及混合歧管272内导管273的温度,而另一单元则控制中央混合区262内导管231、293的温度。于各区中,数个卡匣式(或耐火杆式)加热器264可有利地配设于已知区域内邻近导管或导管群处。可对一既定区域中特定导管或导管群提供最有效加热的复合加热器可依据特定区域的尺寸、形状、组成物及导热性以及导管的特定几何而决定位置。而图10的该代表性系统中,卡匣式加热器264直径约为0.25英寸,形状为圆柱形,具有不同长度、输出功率电容,且是由伊利诺州Richmond市的Watlow公司所上市,商品名为rFirerod」的加热器。
该设定点温度是通过输入一所欲温度设定点至控制器277(用于特定导管)的方式维持一已知导管内的温度。控制器277可为PID形式的控制器,其类似同样由Watlow公司所上市的Model 96控制器。热电耦274是内嵌于经加热的通导口组件220内邻近各导管处,以使各热电耦所提供的温度大致同于经控制的气体导管内的温度。热电耦274相对于一已知气体导管的位置会依据许多因素而变动,例如用于制造该已知区域的材料的导热性以及所用的热电耦形式。来自热电耦274的信号会送至控制器277,该控制器会比较由热电耦274至输入温度设定点的温度。依据比较结果,控制器277会提高、降低或维持供应至卡匣式加热器264的电源。利用数个独立的热电耦274的优点的一在于可依据其几何形状、热损失以及相对于其他热源的位置而将一已知导管区的特定条件列入考虑。
例如,入口及混合歧管272是直接与加热盖件205接触。除非歧管272及盖件205间的温度彼此相等,否则歧管272会由盖件205取得热能或损失热能。盖件205与入口及混合歧管272间的热传递对于歧管272内导管265、276以及278的温度影响会反映在歧管272内的热电耦274所测得的温度上。因此,与歧管272连接的控制器277会提升或降低内嵌于歧管272内邻近导管265、276及278处的卡匣式加热器264的功率输出,以补偿歧管272及盖件205间的热传递。以相同方式,中央混合区262及盖件205间的能量传递亦由热电耦、加热器以及与区域262连接的控制单元补偿的。独立控制分离区域的另一好处在于此等区域也可加热至不同温度。
来自导管273的热损失与歧管272及区域262内其他导管的热传递的热损失不同。气体导管273较可能发生热损失,因为混合歧管272的部份并未直接与加热盖件205接触,且其在盖体203移除时暴露于周遭环境(于晶片制造设备内约摄氏25度)的表面积较大所致。然而,当盖体203位置适当时(如图2所示),环绕加热通导口220的温度会增加至摄氏约79至80度。因此,专用于气体导管273的加热器、热电耦以及控制器单元均可用于补偿专属于该导管的热传递特性。
一般而言,本发明的一态样是一设备,其是通过选择、定位,以及使用控制器、加热器及热电耦控制单元(利用前述设备)等方式提供一导管内一预定温度。本发明的该复合、独立卡匣式加热器、热电耦及控制单元的另一特征在于可于整个经加热的通导口组件220中将导管维持在均匀的温度。因为其独立性,各控制器均可有效维持设定点温度,而不论环绕区域的状态为何,同时亦应考虑:环绕各区域的特定热损失及状态、各区域的特定外型以及各区域内所形成导管的几何形状。
于本发明的另一态样中,各导管的温度设定点可设定并维持以包含一负温度梯度,于该梯度中心导管231的设定点温度低于导管273的设定点,且导管273的温度低于导管278的温度。或者,也可包含一正温度梯度,其温度是由导管278至导管273并至中心导管231而增加。
于本发明处理室组件200的设备的一特定实施例中,混合歧管272为铝,且具有下列尺寸:约7英寸长、约3.2英寸宽且高度约3.2英寸。用于此区域的一代表性卡匣式加热器264为圆柱形,直径0.375英寸、长为7.0英寸且总电源输出电容为500瓦。于本发明的该方法及设备的一实施例中,是于导管273上使用一经选择总电源输出电容约为500瓦的单一卡匣式加热器264或数个加热器264,以使混合沉积气体导管273内的温度维持在汽化温度上、以及于导管273内流动的载气/前体蒸汽/制程气体混合物的分解温度以下。
于前述气体导管273的一特定实施例中,热电耦274可设置离混合沉积气体导管273约0.125英寸至0.5英寸远处。于本发明的一实施例中,该导管273内的载气/前体蒸汽/制程气体混合物是包含铪前体、制程气体(如氧气)以及载气(如氮气),而导管273温度介约130℃至160℃将可避免铪/氧/氮混合物的凝结及分解。
本发明处理室组件200的温度控制导管的另一态样可提供由汽化器520至中心盖件穿孔230的温度控制输送。经汽化的前体经由汽化器出口540离开汽化器520,并进入汽化器出口歧管542(耦接于处理室旁道阀545的蒸汽入口544)。当旁道阀545经定位以将气流导至制程区202时,前体蒸汽会经由处理室出口550离开旁道阀545,接着流入经加热的通导口线560(耦接于经加热的前体通导口225)。于本发明的一实施例中,经加热的通导口线560及经加热的前体通导口225为成一体的部份。一套体式的温度控制器可控制三向阀545的入口544及该至加热前体通导口225的入口间的导管290温度,涵盖线路560及旁道阀545。该套体式温度控制器包含一套体或缠绕式加热器275、一控制器277以及一热电耦274,且其是用以维持线路560及阀545的温度设定点。前体蒸汽会由一温度控制的前体通导管225流经前体盖件穿孔226,并流进入口的前体入口导管265及混合歧管272。一气闭式封闭件是设于前体通导管225及前体盖件穿孔226之间,并利用数个O型环及一处理室盖件205的校正配件(通至处理室本体210)而设于制程气体处理室通导口227及制程气体穿孔228之间。该前体蒸汽会由前体入口265流入混合导管278,而于该处混合经由制程入口导管276供应的制程气体及载气。
前体通导管225内的前体蒸汽温度是由一经温控处理室通导口219所维持,其包括数个卡匣式加热器264、一热电耦274以及一控制器277。温控处理室通导口219的另一特征为热阻气门(thermal choke)或空气间隙212。空气间隙212为约环形前体通导管225、卡匣式加热器264及热电耦274,并可将温控处理室通导口219的零件隔绝于处理室本体210的热影响。因此,本发明通过利用前述数个加热器、控制器以及热电耦及加热盖件205、处理室组件200以及蒸汽输送系统500的特征,即可提供汽化低蒸汽压前体由汽化器520至处理区域202一温度控制流动路径。
制程气体加热器582可温度控制用于处理室组件200的制程气体及载气。制程气体加热器582是邻设于处理室本体210处,更明确而言,是邻设于制程气体处理室通导口227,以使离开气体加热器582的气体温度大约等于进入制程气体处理室通导口227的气体温度。经温控的制程气体及载气是由制程气体处理室通导口227经过制程气体盖件穿孔228而进入入口及混合歧管272的制程气体入口276。
本发明的另一态样是使用制程气体加热器582以将制程气体及载气加热至高于汽化前体气体流的温度。此实际上可排除当加热制程气体流及汽化前体气体流于混合导管278内交会及混合时凝结汽化前体的风险。例如,制程气体加热器582的温度设定点可高于汽化器520的温度设定点约为5-10℃。或者,也可利用一设定点以使制程气体温度至少与融合的前体蒸汽流的温度同高。于此相同方式下,为避免前体分解,制程气体及载气的温度可作控制以维持在低于一设定点,即与前体蒸汽流混合时会发生分解的点以下。
处理室组件200的该独立温度控制导管的另一态样在于一特定导管内与体积膨胀有关的温度变化可由特定导管的独立加热器、控制器以及热电耦予以补偿。例如,经加热的通导口线560及汽化器出口歧管542均通过分离的热电耦、控制器及套体式加热器(未示出)进行加热,以使加热通导口线560及汽化器出口歧管542内的温度可分别维持于凝结温度的上及汽化前体的分解温度以下、或介约100℃至190℃之间。
本发明的该独立温度控制导管特征相较于先前所上市的导管可提供较精确的温度控制,且此已考虑到不同热条件下(即由于各导管暴露于不同环境下)汽化液体的输送。利用该独立热电耦、控制器、加热器单元(其为制程系统200及蒸汽输送系统500的一部份),一连串的温控导管便可将汽化的低蒸汽压前体由汽化器520的出口输送至处理区域202。例如,各温控导管也可设以维持一设定点较先前导管热2-3℃,以使该汽化器520及中心导管231进入处理区域202的出口之间可维持一略为正的热梯度,较明确而言,该汽化器出口温度及中心导管231温度之间所有的温度变化均可维持、或维持约20至25℃的温度变化。
虽然加热器形式是特定于导管温度控制(例如具有卡匣式加热器的温度控制导管293及套体式加热器温度控制导管279),然而该等叙述并非用以限制本发明。任何熟习此项技术人士应可领会不同形式的加热器、热电耦以及控制器亦可于不悖离本发明的范围下实施的。
本发明的制程处理室组件200及蒸汽输送系统500的热控制导管尚有另一态样。如图10所示,用于汽化器520下游的导管是介约汽化器520及中心盖件穿孔230之间,其具有渐大的尺寸而使截面流动面积增加,以使此等导管内形成扩张的气体流动体积。该前体输送导管内的此体积膨胀及对应的压力降可进一步帮助维持导管条件不会凝结或分解汽化的前体。此等条件是高于蒸汽凝结温度,但对该蒸汽供应导管内的压力而言仍低于其分解温度。例如,图10所示的该处理室代表的内径为一内径约0.18英寸的汽化器出口歧管542、一经加热的通导口线560、处理室通导口225及内径0.40英寸的入口265以及一混合沉积气体导管278以及内径约0.5英寸的中心导管231。而另一范例中,前体气体流与制程气体流相交的导管273下游的截面积是大于合并气体流的总和。
前述通过有利地选择歧管、导管及线路(如542、560、225、226、265、278、273、293及231(其等均位于汽化器520的下游)的直径并配合热电耦、加热器及前述控制器组所提供的温度控制而达成的增加体积及相对应的减少压力可于汽化器520及处理区域202间提供一经控制的温度及压力运作,以使非常低的蒸汽压前体、掺杂物或其他制程物质可输送至处理区域202而无不欲见到的凝结或分解。
现再参照图11,其是本发明的该远端等离子体产生器的一实施例的立体图,本发明的该制程系统100的另一态样是一远端等离子体产生器400、处理室组件200以及加热排气系统300的零件。远端等离子体产生器400可于处理区域202外部或远端形成等离子体以进行洁净、沉积、退火或其他处理区域202内的制程。远端等离子体产生器400的一优点为该远端等离子体产生器400所形成的等离子体或激发物种可用于洁净或处理该处理区域内的应用,而不会使内部处理室零件如基座加热器250或喷洒头240受到等离子体(通常是当于处理区域202内施加现有RF能量以形成等离子体)的影响。远端等离子体产生器400的许多零件均可见于图中,例如磁电管402、自动调整控制器410、绝缘体404、自动调整器408、供应腔416以及供应绝热盘424。
磁电管组件402可遮罩一磁电管,其可形成微波能量。该磁电管至少包含一热线丝柱状阴极,其由一具有叶片阵列的阳极所环绕。此阳极/阴极组件在一电源供应器供应予???DC电源时可产生强磁场。此电场里的电子在阳极与阴极间会循着环形路径移动。此环形运动会于阳极叶片间引发电压谐振或微波。一天线会将微波由磁电管402导向至绝缘体303及波导406。绝缘体404可吸收及消除反射功率,以避免伤害磁电管402。波导406可将微波由绝缘体404导引至自动调整器408。自动调整器408可补偿磁电管402的阻抗与微波供应腔416的阻抗间的差距,以通过调整位于自动调整器408内三个调整器的垂直位置的方式达到最小程度的反射功率。自动调整器408也同样可供应一回馈信号予磁电管电源供应器,以持续调整实际输出功率至设定点。自动调整控制器410可控制自动调整器408内该等调整器的位置,以将反射功率最小化。自动调整控制器410也可显示该等调整器的位置以及输出与反射功率的读取值。
微波供应腔416可离子化经由气体供应入口412供应的一气体或气体群。经由气体供应入口412供应而进入微波供应腔416内一水冷式石英或蓝宝石管的气体会受到微波影响并离子化。此会形成激发物种,而用于处理区域202内的洁净或制程操作。前述洁净气体的一者为NF3,其可用于将激发的氟供应予洁净处理区域202。经激发的物种也可用于进行退火或处理半导体或基材201上(置于处理区域202内)的其他材料。一理想的等离子体感应器414可检测腔416内的等离子体存在与否。于腔416内产生的激发物种可经由转接管418供应至激发物种处理室通导口229。转接管418是通过一转接管绝热盘424而与增温的处理室本体210相隔绝。于本发明的一实施例中,转接管418是经排空且激发物种乃直接由腔416供应至激发物种处理室通导口。
激发物种会由激发物种处理室通导口229通过盖件穿孔221,并进入经加热的等离子体歧管270(其具有一O型环可封闭、气密盖件穿孔221及中央混合区262内中心气体通导口231之间的导管(即活化物种导管271))。于本发明的一实施例中,该远端等离子体产生器是使用RF能量,而非微波。
现参照图12,其是本发明该排气系统的一实施例的立体图,该制程系统100的该加热排气系统300的零件及特征均有更清楚的呈现。加热排气系统300的零件可共同称为前管线(foreline)。该前管线是与一真空帮浦(未示出)及晶片制造设备排气系统(未示出)连通,以于处理区域202内提供经减压的制程操作。于处理区域202内进行的制程与洁净处理的排出气体会经由处理室排气端305排出。于本发明的此实施例中,排气端305(如图2所示)是经排空且该排气处理区域202是经排空而直接进入隔绝阀310。当关闭时,隔绝阀310会阻绝处理室组件200与下游真空帮浦系统。于普通操作时,隔绝阀310会开启,且节流阀315会开启并关闭以调整处理区域202内的压力。当处理室旁道阀545(如图2所示)经定位以将前体蒸汽/载气混合物流入温控旁道线322(如图2所示)时,旁道入口320(如图2所示)会由处理室旁道阀出口555(如图10所示)接收前体蒸汽/载气混合物。排气系统零件(即处理室排气端305、隔绝阀310、节流阀315、旁道入口320以及旁道线322)是经温度控制以避免未反应的前体发生凝结。冷阱325及剩余的下游排气系统零件均维持在、或低于室温下。而于本发明的另一实施例中,该冷阱325的温度及剩余的下游排气系统零件则未维持在一特定温度。
因此,来自处理区域202的该排气流中剩于任何未反应的蒸汽、或来自旁道阀545的蒸汽均会于该温度控制或排气系统300的加热部维持气态,并接着凝结于冷阱325内而因此避免伤害真空帮浦、或累积及阻塞排气系统管道内的线路。此外,将未反应的蒸汽汇集在冷阱325内也可减少工作人员暴露于具潜在危险的化学物。冷阱325设有一隔绝阀330,用以将冷阱325隔离于真空抽吸系统,以进行固定的维修或洁净。
为清楚阐示排气系统300的不同零件及制程系统100的其他零件间的关系,独立热电耦、控制器及加热器等作为排气系统300的温度控制特征的一部份均未图示于图12中。大略参照图16,其更清楚的概要图示出一代表性液体输送系统(LDS)及具有一汽化器、排气系统300的温度控制导管的蒸汽输送系统。一套体式加热器275、热电耦(未示出)及控制器(未示出)均用以测量并维持处理室排气端305、隔绝阀310、节流阀315以及处理室旁道入口320中的设定点温度,以结合而于冷阱325的排气元件上游中形成一套体式加热器控制导管292。处理室旁道线322是设于另一套体式加热器温度控制导管291中,利用一分离热电耦、控制器及加热器275而介于处理室旁道阀545及排气旁道入口320之间。
现参照图14,其是本发明的该蒸汽输送系统的一实施例的立体图,其中更清楚呈现本发明的蒸汽输送系统500的简洁设计特征。蒸汽输送系统500可提供一方法及设备用以供应经控制、可重复的低蒸汽压前体的蒸汽,以于处理区域202内的一基材201上进行薄膜沉积。其一方法为直接注入汽化的高k值前体。然熟习此项技术人士应可领会下文所述该等特定特征,亦即可让蒸汽输送系统500汽化及精确控制液体前体的输送者,是包括该等蒸汽压明显低于用于现有蒸汽输送系统的前体的蒸汽压、或更明确而言是该等在100℃时蒸汽压低于约10Torr的前体(如图1所示)。
蒸汽输送系统500的各种零件均置放邻近于处理室组件200处,以使该汽化器520的出口以及处理区域202间的温度控制蒸汽通道的长度最小化。虽然实施于半导体制程技术中者是将蒸汽系统置放远离于制程处理室,以确保可维修性或降低占据制程系统空间的洁净室数量,但是本发明的蒸汽输送系统500是利用一简洁设计使所有系统零件-除主要液态前体、载气及制程气体供应以外-是直接邻设于处理室组件200处,并紧邻前体及制程气体处理室通导口225及227。
一低蒸汽压液体前体可储存于主要储存容器(安瓿)503中,而该储存容器是远设于、或位于LDS外罩108中(如图3所示)的主框架支撑部105中邻近于制程处理室组件200。将位于板上LDS外罩108的主储存容器及溶剂容器定位在主框架105上可减少容器及汽化器之间的液体线长度,并允许以更精确控制及重复的方式作液体输送。储存于容器503中的液体前体是维持在低于由供应线507(如第16及17图所示)所供应的惰性气体(例如氦气)的压力,即约15至60psig。容器503内的气体压力可提供足够压力于液体前体上,以使液体前体流至其他蒸汽输送系统零件,因此不必再通过一帮浦来输送液体前体。容器503的出口具有一关闭阀(未示出),以隔绝主要储存容器503来进行维修、或再填充液体前体。由于压力是施加在容器503上方,来自容器503的液体前体会提供至前体供应线508及三向入口阀588的前体入口509。当阀门588是设定以通过液体前体时,三向入口阀588会将液体前体提供至前体/溶剂出口594,并进入前体/溶剂供应线592而至液体流量计入口505。液体流量计510可测量前体流率并经由液体流量计出口511(如图15、16及17所示)提供液体前体至汽化器供应线513,并接着至汽化器入口515。与一加热载气(下文将详述)连接的汽化器520会将液体前体转为前体蒸汽。
载气供应线525是以约50Torr的压力将载气(例如氮气或氦气)供应至载气热交换器530。载气热交换器530会将载气预热至一温度,以使进入汽化器520的加热载气流不会影响汽化器520内进行汽化的前体液体的汽化效率。载气热交换器530是利用一电阻式加热器(例如载气热交换器模块HX-01,由Lintec公司所上市)加热气体。加热载气会经由载气供应线532及载气入口535供应至汽化器520。该加热载气不应过热,因汽化器520内的载气在加热高于前体的分解温度时会发生汽化。因此,载气热交换器530会将载气加热至一温度范围间,即介于前体的凝结温度(下限)及其分解温度(上限)之间。
对铪前体而言,其代表的汽化温度约为130℃,而分解温度约为190℃。典型载气如氮是提供至一汽化器520,于该处以每分钟约200至2000标准立方公分(standard cubic centimeters per minute,sccm)及一介约110℃至约160℃间的温度汽化铪前体。此等条件可使一经汽化的前体以每分钟约10至50毫克的流率范围流动。于本发明的一实施例中该汽化温度可设定几至180℃。
载气温度应可使其进入汽化器520的温度尽可能高,然不高于前体于汽化器520中汽化的汽化温度下。需特别注意的是避免前体蒸汽凝结在汽化器520的小尺寸导管内。载气温度低于汽化器520内的汽化条件应可冷却该经汽化的前体足至凝结得以避免。
现参照图15,其是本发明的一代表性液体流动控制器的概要图,液体流动控制器528包括一液体流量计510及一汽化器520。液体前体进入液体流量计510后会形成一可测量的流率信号512。前体由液体流量计出口511流入汽化器供应线513,并接着进入汽化器入口515。位于汽化器520内汽化器入口515及计量阀524之间者为一正向关闭阀522,其在汽化器520内达到汽化点前可截断液体流量。汽化器520可读取信号512并调整计量阀524以达一目标流量。正向关闭阀522是一气动阀,其由一电路板上软件控制模块1000(如图21所示)所控制。虽然计量阀524在「关闭」或零设定点条件时具有关闭能力,然而正向关闭阀522可额外确保在液体流量控制器528处于r关闭」或零设定点时液体不会持续由汽化器520流出。正向关闭阀522相对于计量阀524的位置可使最小体积的液体能维持在关闭阀522及计量阀524之间的线路中。
典型流率信号512是以每分钟几毫克(或mg/min)进行测量。对高k值前体而言,一代表性的HFO2薄膜可利用本发明的方法及设备以7mg/min的代表性流率形成的。
一适用于汽化低蒸汽压液体的代表性汽化器520可将正向关闭阀522设于具计量阀524约一英寸或更少处。例如,于关闭阀522及计量阀524间利用0.125英寸的外径线路可形成约0.012立方英寸的液体前体体积。减少此等零件间的体积可最小化在正向关闭阀522关闭后可能会汽化的前体的量。正向关闭阀522也可为已知阀类型中的「完全零体积(zero deadvolume)」阀门。
液体流量控制器的另一态样在于汽化器供应线513的长度,其一般为内径0.069英寸的不锈钢管,并经最小化以可控制来自汽化器520输出的低蒸汽压前体。最小化供应线513的长度可作更有效率的液体计量,并介最小化液体流量计出口511及汽化器入口515间的距离来进行控制。汽化器入口515及液体流量计出口511间之间距约为3.4英寸、或介约2英寸至15英寸,以作更有效率的计量及控制低蒸汽压前体(例如100℃蒸汽压低于约10Torr的液体前体)的汽化。
于本发明的一实施例中,液体流量计510具有该计量阀524,然汽化器520则未设。于此实施例中,液体流量计510可测量流量并也可利用本身所设的计量阀524调整流量。因此,汽化器520及液体流量计510间并无流率信号512,汽化器520可进行汽化,然并不执行计量功能。
然而,最小化液体流量计510及汽化器520间的距离会增加蒸汽输送系统零件(邻近处理室组件200)的数目,并增加处理室组件200上安装的设备密度。不过蒸汽输送系统500与远端电将产生器400及加热排气系统300均已设计成可于制程系统100的副系统间有最小影响,同时达成群组工具晶片制程系统中所欲的紧密设计。
参照图14,由汽化器出口540流出的汽化前体是进入汽化器出口歧管542,接着进入经温控的旁道阀545的蒸汽入口544。当阀门545设定使汽化的前体通至处理室时,旁道阀545可供应蒸汽至处理室出口550并接着进入温控的通导口线560。可维持汽化器出口歧管542、处理室旁道阀545以及加热通导口线560内的温度设定点的热电耦、控制器以及套体式加热器均为蒸汽输送系统500的特征,然而图示中是省略以避免混淆。处理室旁道阀545的内管可让汽化的前体/加热载气混合物经由处理室出口550送入处理区域202。
此外,或者在稳定蒸汽流动或于处理区域202内进行洁净操作的同时,处理室旁道阀545可将汽化前体/加热载气混合物经由出口555导入加热排气系统300(如前文所述)的加热旁道线322(示于图2)。本发明处理室旁道阀545的一优点在于一旦液体流动控制器528达一所欲的设定点蒸汽流率时,该经汽化的前体/加热载气混合物可被导入处理室以进行沉积、或导入前管线旁道阀320以作处理。因此,液体流量控制器528并不受处理区域202内其他操作的影响而可持续形成稳定、一致的蒸汽流率。而用以与液体流量控制器528连接的处理室旁道阀545可提供重复、稳定的蒸汽流率予处理区域202内连续不断行经的基材,而如此重复、稳定的蒸汽流率乃沉积过渡金属介电材料(例如钽氧化物,用于如堆叠电容器的元件的集成电路;以及铪氧化物,用于如高k值晶体管的元件的集成电路)所欲者。
汽化器出口歧管542及加热通导口线560为不锈钢制成的标准管线。加热通导口线560应尽可能短,以最小化系统内汽化前体的移动长度至约4至6英寸间。加热通导口线560是与处理室出口550及前体处理室加热通导口225连通。
为避免于汽化前体/加热载气混合物内的汽化前体凝结,加热通导口线560及汽化器出口歧管542(如同汽化器520下游的所有前体供应歧管)的内径均大于液体供应线进入汽化器520的内径。如先前于图15所讨论者,该汽化器液体供应线一般是由内径0.069英寸的不锈钢制成,然而汽化器520下游的导管可具有较大的直径,例如外径约0.5英寸或内径约0.4英寸。
蒸汽输送系统500也具有温控制程气体特征。制程气体加热器582(与前述载气热交换器530类似)可经由供应线580接收来自制程气体供应器的制程气体。适合的制程气体端视所欲的薄膜沉积。一般而言,氧气(O2)及一氧化氮(N2O)均适于氧化制程,而氨(NH3)适于氮化物制程。此外,氮(N2)可加入制程气体流而作为载气。下文所使用的名词「制程气体流」意指所有由气体加热器582流出的气体,且应涵盖制程气体、载气或前述其他气体。
制程气体及载气均由制程气体加热器582预热,以使所得的制程气体流可维持在高于邻近汽化前体气体流的温度。浆制程气体流温度维持高于汽化前体气体流的温度约10℃至15℃,有助于避免混合歧管278内交会并开始混合的前体蒸汽不慎凝结。同样的,气体加热器582也有助于确保制程气体流温度维持在低于前体气体流的分解温度以下,以使气体流在混合导管278内混合时不会不慎分解前体蒸汽流。
因此,温控气体流会经由出口584离开制程气体加热器582,并进入制程气体供应线586。大致参照图10,制程气体流由制程气体供应线586流经制程气体处理室通导口227,继而流入加热制程气体入口导管276。由制程气体入口导管276流入并于加热混合导管278中与汽化的前体流混合。
再参照图14,蒸汽输送系统500的另一特征为提供溶剂冲洗功能予该等导管,以与汽化的低蒸汽压前体接触的能力。前述溶剂操作更可补强本发明汽化低蒸汽压液体的方法及设备的能力,并补强洁净随后设备的能力。溶剂诸如无水异丙基、醇、甲醇、己烷、乙醇或其他适合溶剂是由一主要溶剂容器589经由溶剂输送线591及入口590供应至前体/溶剂三向阀588。来自三向阀588的溶剂是依循汽化前体的相同路径通过蒸汽输送系统500的各种零件,并取决于处理室旁道阀545的调准,经由旁道线322流入处理室组件200或排气系统300。当溶剂流经各种导管(暴露于液体前体)如液体流量控制器528的导管时,溶剂会与前体液体混合并净化残余前体的线路。此可让随后暴露于空气的该等零件可进行维修或零件更换。因为一般低蒸汽压的前体是利用本发明的方法及设备作汽化,在无导管内残余前体蒸汽的溶剂冲洗功能时将不足以排空或无法以一适时(商业可施行)的方式简单利用排气系统300的抽吸系统355达到减压。此外,溶剂冲洗特征可将前体蒸汽由制程导管及零件移除,以避免在维修期间暴露于具潜在危险物质的风险,并可避免与空气、水蒸汽或其他材料发生不欲的反应。
图16是一具有一个汽化器的代表性LDS及蒸汽输送系统的概要图;而图17是一具有两个汽化器的代表性LDS及蒸汽输送系统。第16及图17可较佳领会操作制程系统100的一完整方法,以及本发明的各种实施例内掺杂物、第二介电质或第二前体材料的使用及相互操作。图16概要地表示一系统配置,其使用一单一汽化器及制程热交换器以提供制程气体/前体蒸汽混合物经温控导管至处理区域202。
图17与图16类似除了增加一第二汽化器521、主要容器504以及旁道阀570。经第二汽化器521的气流是以所讨论的汽化器520加以控制,但为避免混淆,与一第二液体流动控制器相关的元件均未显示。在图17的代表配置下,本发明的制程系统100不但可提供混合,亦可通过改变主要容器504中的液体源、含一第二前体的薄膜、一掺杂物或一金属的方式由一单一前体沉积薄膜。
图17所实施的制程系统100是类似先前描述的制程系统100而以另一附加主要容器504进行操作,该主要容器504可如同主要供应容器503般处于一压力水头(pressure head)下。主要容器504是经耦接并供应制程流体制一第二汽化器521,其是如同图16操作第一汽化器520的类似方式进行。由第二汽化器521所形成的该经汽化的前体流是经由制程气体供应线586提供至一处理室旁道阀570,该旁道阀570则是经由出口571连接该经汽化的气体流至处理室组件200。或者,旁道阀570可经由出口572连接该经汽化的前体流至排气系统300。前述温度控制方法可使温控导管提供低蒸汽压前体至第二汽化器521,并将来自汽化器521的蒸汽传送至处理区域202。此外,该等与第二汽化器521有关的零件则按汽化器520相关的零件的类似方式装配,以加热载气及汽化的前体/载气混合物。
本发明的蒸汽输送系统500的另一态样通过有利地选择主要容器503、504的前体、气体源579的制程气体或载气,以及通过选择性地定位旁道阀545与570等方式于处理区域202内的基材201上沉积各种薄膜。图17的两个汽化器-两个旁道配置的优点在于各个汽化器可有效并稳定形成重复流动气体,使的易于通过校准适当的旁道阀545或570通至处理区域202或排气系统300。
现再次参照图18,其是第2至图17的液体及蒸汽输送系统态样的一替代实施例,本发明的此配置乃利用与第2至图17所述该等零件间相同功能的关系,然是以另一方式布局,即液体流量计510及汽化器520均以彼此水平方式配置于汽化器盒502中。
现参照图19,其是一具有两汽化器的第二代表性LDS及蒸汽输送系统的概要图,此实施例包括一第二汽化器521及数个对应零件(未示出),其中该等对应零件(例如第二液体流量计510、第二三向入口阀588、一第二载气供应线532、一第二载气热交换器530以及一第二正向关闭阀522)在前体流体、溶剂及载气供应至汽化器520时可将之供应予汽化器521。于此实施例中该经汽化的前体会离开汽化器521并流经汽化器出口导管543直接进入汽化器出口歧管542。因此,来自汽化器520、521的汽化前体是直接由旁道阀545进入加热通导口线560或旁道线322。
参照图20,其是图19的液体及蒸汽输送系统的一替代实施例,本发明的此配置乃利用与图19所述该等零件间相同功能的关系,然是以另一方式布局,即液体流量计510、510及汽化器520、521均以彼此水平方式配置于汽化器盒502中,有些类似图18的配置。具有溢出皿(spill pan)514的汽化器盒502可以类似图4的LDS外罩的方式封围汽化器。汽化器盒502具有狭槽板111,可与水平狭缝112(图示于汽化器盒502的内基座附近)互动。外罩排气装置是接通排气端501(如图12所示),将空气由水平狭缝112抽出。狭槽板111可如图4所论述般调整以调整汽化器盒排气流,然而图20的狭槽板111是经变化而调整为边对边形式。光学开关506为一连锁硬件的开关,其可停止供电予表I所列的气体及前体控制阀。同样的,作为连锁系统的部份,一感应器(未示出)可通过一感应端516(如图12所示)来检测流经排气端501(图12所示)的气流,且万一流量太少该系统可停止供电予该气体及前体控制阀,如下文表I所列者。虽然并未示于图4中,但LDS外罩108也可按汽化器盒502的方式配接一溢出皿以及一连锁光学开关。
图21是本发明具有两个汽化器安装于处理室盖件上的实施例的概要图。于此实施例中,双独立温度控制汽化器520、521是连同两蒸汽输送系统500的许多零件安装于处理室盖件205上,以形成一处理室盖件/汽化器组件800。将蒸汽输送系统500安装于处理室盖件205上会使由汽化器520、521至处理区域202的汽化点的汽化前体材料的加热路径缩短。类似数量的元件均具有先前于图2至图16的实施例所讨论的功能。
处理室盖件/汽化器组件800一般也结合氧化剂(制程)气体加热器582、载气热交换器530、530、三向入口阀588、588、液体流量计510、510、正向关闭阀522、522、蒸汽输送歧管802、803、合并区826、阀门区828、入口及混合区830、液体溢出检测器804(示于图27)、罩盖连锁开关806(示于图27)、可弯式双液体线700、707、708以及加热等离子体歧管270。
来自载气源531、531的载气以及来自制程气体源579的制程气体是按图10所示关于制程气体的方式(即以元件227/228)而经由处理室盖件205进入处理室盖件/汽化器组件800。再简略叙述,此等气体各分别经过一处理室通导口227、815、817及制程气体与载气的盖件穿孔228、816、818。O型环是用于处理室穿孔至盖件穿孔的传递,以维持通道完整性。
来自气体源579的制程器体是由制程气体加热器582加热,并进入入口及混合区830(更清楚示于图26)。来字气体源531的载气于进入汽化器520的前是由载气热交换器530加热。同样的,来自气体源531的载气于进入汽化器521的前是以载气热交换器530加热。来自容器503的前体于进入汽化器520的前是经由可弯曲式双液体线708进入处理室盖组件,通过三向入口阀588、液体流量计510及正向关闭阀522。来自容器589的溶剂经由可弯曲式双液体线700进入处理室盖组件,并流经溶剂输送线591至三向入口阀588、588。因此,如图2所示实施例,三向入口阀588、588可供应前体液体或一溶剂予其各自的液体流量计,并以该溶剂冲洗该系统来进行维护。
汽化器520、521分别安装于蒸汽输送歧管802、803。于此实施例中,各液体流量计510、510也包括一计量阀524(示于图15)且流量计510、510可以图15所述方式控制前体流量。前体液体分别由正向关闭阀522、522通至汽化器520、521,以如第2至图16所述方式汽化该液体。汽化器520、521接着可将蒸汽输送至蒸汽输送歧管802、803(其于一实施例中具有直径0.500英寸的内导管808)。输送歧管802、803会轮流输送该经汽化的前体材料及载气至合并区826内的共同导管810。共同导管810可将混合的前体材料及载气传递至阀门区828内的旁道阀545。旁道阀545功能如同图10所述,且亦可将蒸汽传递至旁道线322、或传递至混合区830内的共同导管811。共同导管811可将两前体/载气混合物传递至中心导管834。
于本发明的另一态样中,共同导管811可将气流导向制程气体入口导管832,且制程气体入口导管832可将气流导向共同导管811。因此,制程气体入口导管832的制程气体注入点是经过中心导管834而与共同导管811相对。此相对气流会形成紊流以确保制程气体与汽化前体有良好混合。
中心导管834可将汽化前体及制程气体的混合物导经盖件205中的导管230,且前体与气体会通过档板237及喷洒头240而至处理区域202,如图6所示实施例的相关讨论。
加热等离子体歧管270也同样连接至混合区830,以将一激发物种洁净气体输送至处理区域202。该激发物种(如图13所论述者)是在与混合导管834合并前通过处理室通导口229及盖件穿孔221且其内导管271是通过歧管270及区域830。
图21中所示实施例可通过减少由汽化器至处理区域的距离、但大致具有第2至图18的功能且为独立零件的方式而强化优势。此实施与先前实施例间的差异在于汽化器至处理区域的流动路径。更明确而言,当汽化器520、521按图2至图18所论述方式实施时,各汽化器可将蒸汽导至各个旁道阀545、570。于图19、20、23、24、27、28及29中所示的处理室盖件/汽化器组件实施例中,汽化器520、521两者是将蒸汽以图19所示实施例的方式直接导至相同的旁道阀。
同样的,于此实施例中各载气压力是利用100Torr的电容压力计(未示出)于汽化器520、521内的汽化蒸汽熔块(frit,未示出)的上游处进行检测。此让载气入口压力可周期地确认以判定该蒸汽熔块是否阻塞或需维护。图21也以虚线说明该等阀门是以一电路板上的软件控制模块1000作控制,虽然其等并未于先前图示中说明,但事实上仍存在从电路板上的软件控制模块1000至基座加热器控制器的类似连线、电源供应以及各种系统检测器(例如压力转换器、排气流量检测器以及帮浦信号),且其有助于下文表I的连锁以及本发明各种操作态样的自动化。
图22是本发明具有两个汽化器安装于处理室盖件上的一实施例的概要图。图21的功能描述与图22相同。于此实施例中,输送歧管802、803、合并区826、阀门区828(如第23、29图所示)加热等离子体歧管270以及混合区830彼此是直接连接。O型环在气体由一区转送至下一区时可维持该等导管808、810、811、271的整体性。
此图表示输送歧管802、803及合并区826是利用加热器卡匣(例如加热器卡匣264)作独立加热。虽然并未图示,但阀门区828、混合区830以及加热等离子体歧管270也同样作独立加热。于此实施例中,加热器卡匣264及208为不同电源额定功率的卡匣。各区均内嵌热电耦204以检测温度并提供回馈予温度控制器。若有如图2实施例所论述的需要时,各歧管及汽化器区段可以分离的温度控制器座独立控制,以让各区段具有不同温度设定点。该卡匣加热器264、热电耦以及控制器功能是详细描述于与图6的区域262及歧管272有关的内容中。再次说明,为避免混淆,分离的热电耦及控制器多数均已省略,然于此实施例中,此等用以独立控制九个区段的控制器是设有超温(over-temperature)开关209(以歧管802、803表示)且可加热几至230℃。于本发明的一实施例中,输送歧管802、803、合并区826、阀门区828及混合区830是经加热以操作在30℃至230℃的温度间。作为连锁系统的部分,关闭相关加热器电路的超温开关209应能负荷较高的温度,如下文表I所列示者。于本发明的一实施例中,汽化器520、521及中心盖件穿孔230之间设有六个独立控制区段。
于本发明的此实施例中,旁道阀545(如图21所示)是利用两个由一电路板上的软件控制模块1000(示于图21)控制的气动开/关阀546(示于图26)、547(示于图27)的结合执行的。两阀门546、547(示于图27)一般是呈关闭。阀门546可控制由共同导管810(示于图21)至共同导管811(示于图21)的气流。阀门547(示于图27)可控制由由控同导管810至旁道线322(示于图21)的气流。旁道线322(未示于图22以避免混淆)是一可弯曲真空细管,其可由处理室盖件/汽化器组件800经一盖件罩盖822(示于图25)的孔洞以最终连接至处理室旁道入口320,如图2中所示者。于此实施例中,三向入口阀588、588各为一两气动开/关阀(由电路板软件控制模块1000(示于图21)所控制)的类似结合。
前体液体及溶剂是随着处理室盖件/汽化器组件800送经盖件架812而至前体供应线508、508及溶剂输送线591。当处理室盖件205开启时,盖件架812可传送并作旋转,而用以由主要容器503、504及溶剂容器589传送前体液体至处理室盖件/汽化器组件800的该装置必须可容纳此盖件移动。
现参照图23,其是本发明的可弯曲双锁管线的一实施例的截面图,可弯曲双管液体线700、707(示于图25)及708(示于图24)可弯曲以容纳盖件架812的移动。可弯曲双锁管线700于一较大的第二线路704及端盖710、712内具有一主要线路702。第二线路704也可避免材料由主要线路702漏出而形成泄漏。主要线路702及第二线路704两者分别设有可弯曲段703及705,以让可弯曲双管液体线700弯曲。体积706是由介于主要线路702、第二线路704、端盖710,712、硬质主线路714及硬质第二线路716之间的空间所界定出。主要线路702是以连接器718连接至硬质主线路714。硬质主线路714则于孔洞720延伸过端盖712,经LDS外罩108(示于图24),且是连接至主要储存容器(未示出)。孔洞720大于硬质主线路712以使环形体积706可连续于硬质主线路714及端盖712之间。硬质第二线路716是连接至硬质主线路714附近的端盖712,且罩覆硬质主线路714直至线路714通过LDS外罩108。在硬质主线路714进入LDS外罩108时,硬质第二线路716及硬质主线路714之间的环形空间会被封闭。因此,环形体积706会延伸过端盖712,延伸过硬质主线路714及硬质第二线路716之间至硬质第二线路716与硬质主线路714闭合为止。
体积706是以一压力检测器701作加压及检测。于制程期间,体积706中的压力是经调整以高于主要线路702内任何一者。因此,若主要线路702于制程期间出现泄漏,体积706中的压力会下降。液体沉积系统是连锁至压力检测器701以使间侧器测到一压力降时,该液体沉积系统及其他任一连锁系统将会关闭,与下文表I中LDS溢泄所列的条件类似。体积706中典型压力约为60p.s.i。于一实施例中,主要可弯曲段703为0.125英寸O.D.(0.055英寸I.D.)的线路,硬质主线路为0.125英寸O.D.,而硬质第二线路为0.25英寸O.D.。可弯曲双管液体线707、708(如图22所示)与线路700有相同结构。
现再参照图25,其是本发明具有两汽化器安装于处理室盖件上(处理室盖件/汽化器组件是位于开启位置)的一实施例的立体图,其中可弯曲双管液体线路700、707是因处理室盖件/汽化器组件800位于开启位置而弯曲。所有处理室盖件/汽化器组件800是以一排空的盖件罩盖822(以半透明方式图示)封围,而该罩盖822是经设计以适当排空流出气体及液体至前端线系统。盖件罩盖822大于先前罩盖203(示于图3)以容纳两汽化器系统元件。两盖件罩盖822及溢出围栏824可一起避免操作者暴露于有害或热物质。
现参照图26,其是本发明具有两汽化器安装于处理室盖件上的一实施例的第二概要图,其中氧化气体是由与汽化器520、521及开/关阀门546相对的侧进入混合区830。同样的,为便于说明,此图中混合区830已划分成一上混合区830A及一下方混合区830B。于此实施例中,制程气体经由制程气体供应线586及制程气体入口导管832(示于图21)进入上混合区830A。汽化的前体经由共同导管811(示于图21)进入上混合区830A。等离子体经由加热等离子体歧管270及激发物种导管271(示于图21)进入下混合区830B。
进一步参照图27,其是本发明具有两汽化器安装于该处理室盖件上的一实施例的第三立体图,其中更清楚呈现处理室盖件/汽化器组件800的实施例的态样。处理室盖件205是经设计具一溢出围栏824,以在处理室盖件205水平时补集并容纳溢出液体。处理室盖件/汽化器组件800同样设有液体溢出检测器804及罩盖连锁开关806。溢出检测器804及罩盖连锁开关806为硬件开关,若其状态符合r成因(trip cause)」栏所述标准时会使部分系统停止运作(如表I的r结果」栏所述)。此外,图27更说明加热等离子体歧管270上方汽化器520、521、开/关阀门547以及阀门区828的相对定位。
许多与处理室组件100有关的系统均予连锁。一连锁装置可为硬件开关、或为电路板上软件控制系统1000(示于图21)的部分,以在启动或r致动」时避免持续操作连锁系统。启动一连锁的状态因此称为r成因」。连锁处理室组件系统一般可停止供至加热器的电源,并在连锁启动时避免气体流出。多数连锁是经设计以使成因(其可能为硬件连接电路或软件信号)可序列与连锁系统连接。当序列中该等成因的任一者发生时,连锁系统便会失效。本发明的一实施例是利用一是列继电器作为连锁,其中成因为开启一独立继电器及关闭系统电源。处理室组件100的连锁系统则列于表I的结果栏,表I包含连锁名称、成因以及该系统是否经由一硬件开关或软件控制关闭。例如,处理室盖件205是安设以一位置检测器(未示出),以检测处理室盖件205相对于处理室本体210的位置。此位置检测器一般为开启,且在盖件205关闭时会结束一电路。处理室盖件205也予以连锁,使其在处理区域202内的压力高于10Torr时不会开启。如表I所列,将物质引入处理区域202或加热处理区域202的系统是连锁至位置检测器及压力检测器。
表I
 
连锁(Interlock) 成因(Trip cause) 结果(Result) 硬件或软件(Hardward orSoftware)
处理室盖件 开启处理室盖件 停止供电予气体阀、加热器、处理室 硬件开关
过压 处理室过压 停止供电予气体阀、加热器、处理室 以软件及硬件开关检测压力转换器
H<sub>2</sub>O流量低流量 冷却回路的一端H<sub>2</sub>O流量低 停止供电予水加热器及多回路热控制器电源 硬件继电控制器
液体泄漏检测器动作 光学开关位于溢出皿中 停止供电予气体及前体阀门 硬件开关
低真空泵OK 失去来自泵的OK信号 停止供电予气体、前体阀门及加热器 硬件开关
液体输送系统(LDS)排气 流通至LDS失败 停止供电予LDS及汽化器中的气体与前体阀 硬件开关
LDS溢出量 LDS溢出皿中测得泄漏 停止供电予气体及前体阀门 硬件开关
LDS门 开启邻近门上开关的磁场 停止供电予气体及前体阀门 硬件开关
汽化器溢出量 LDS溢出皿中测得泄漏 停止供电予气体及前体阀门 硬件开关
汽化器排气 流通至汽化器失败 停止供电予气体及前体阀门 硬件开关
过热开关 热控制失败 双投开关会开启至加热器毡的供应线 硬件开关
本发明的另一态样为该处理室组件是部分以电路板上的软件控制模块1000作自动化。参照图28,其是说明依据本发明一实施例的制程系统自动化的流程图,制程系统100的副系统会将输出1002送至控制模块1000。软件1006于步骤1008处接收该输入;于步骤1010处分析该输入;于步骤1012处命令副系统(群)的适当元件,以通过送出指令1004的方式来执行反应性程序;以及于步骤1014处经由一与控制模块1000有关的显示(未示出)通知使用者。
于一实施例中,软件1006于系统维护期间可控制气体及蒸汽输送系统的态样。例如,当系统操作者需将一接近空(near-empty)的主要储存容器503、504(示于第14、16及17图)换成充满(full)的容器时,该主要储存容器中的数个测量仪器(未示出)会提供输出1002予电路板上软件控制模块1000,告知储存容器即将流空。软件1006于步骤1008处会收到来自测量仪器的输入,于步骤1010处分析该输入,并于步骤1012处命令该副系统(此处乃指蒸汽输送系统500)以执行与转换一主要容器有关的程序。于此例中,当该主要储存容器流空时,指令1004将停止供应电源予制程系统。
于维护期间同样使用软件1006以执行副常式,以通过数道维护步骤手动维护制程系统100。于此方式下,软件1006会接收来自操作者于步骤1008输入的指示,以及来自制程系统100的输入。例如,软件1006可经指示以启动副常式(其可控制制程系统100的副系统)来执行此等额外功能:(1)减轻主要储存容器503、504中的压力;(2)排空溶剂输送线路591;(3)在液体流量计或汽化器零件更换后于三向入口阀588、588下游处排空及再填充线路;(4)洁净由邻近主要储存容器503、504至旁道阀545的前体液体线路;(5)在一前体主要储存容器更换后以溶剂及氦气冲洗溶剂输送线路591;(6)排空由前体主要储存容器至旁道阀545的前体液体供应线;(7)以前体填充前体主要储存容器及处理室之间的前体输送供应线;(8)以溶剂冲洗液体流量计510、510及汽化器520、521;(9)以氦气洁净液体流量计510、510以及汽化器520、521;(10)以溶剂冲洗由主要储存供应容器至液体流量计的线路并将其抽空;(11)减轻溶剂主要储存容器中的压力;(12)排空溶剂输送线路591以更换主要储存容器;以及(13)在更换主要储存容器后填充前体供应线路508、508。
同样的,电路板上的电脑控制模块1000及软件1006为连锁的一部份,其在系统过压(overpressure)时可命令一副系统关闭、并在失去低真空泵OK信号(如表I所列示者)时命令副系统关闭。
应可领会的是虽然本发明所例示实施例均已图示并详述于此,然熟习此项技术人士应可推知符合本发明教示的各种变化及润饰。因此前述变化与润饰均应涵盖在本发明的精神与范围内,并由权利要求所界定的。

Claims (30)

1.一种用于沉积薄膜的设备,该设备至少包含:
处理室本体,所述处理室本体内定义有处理区域;
第一汽化器;
蒸汽输送系统,连接该第一汽化器及该处理区域,以距离该第一汽化器约小于三英尺的第一蒸汽路径穿过该蒸汽输送系统至该处理区域;
处理室盖件,置于所述处理室本体上;
喷洒头,连接到处理室盖件;
密封件,与所述处理室本体以及处理室盖件相连接,其中所述处理室盖件进一步包括:
第一温度控制区,与该密封件热接触,该第一温度控制区包括环形通道,环形通道设置在所述喷洒头的外围,接近所述处理室盖件以及密封件的外径的位置;以及
第二温度控制区,与该处理区域热接触,该第二温度控制区包括电阻式加热器,电阻式加热器设置在所述环形通道的径向内侧以及喷洒头的上方。
2.根据权利要求1所述的设备,其特征在于,该蒸汽输送系统更包含:
数个加热区;
加热器,与该等加热区的各者热接触;
热电耦,与该等加热区的各者热接触;以及
数个温度控制器,其中该等温度控制器的各者与一加热器及该数个加热区中另一不同加热区的热电耦连通,以将该等加热区维持在第一目标温度。
3.根据权利要求1所述的设备,其特征在于,该蒸汽输送系统更包含:
数个加热区;
加热器,与该等加热区的各者热接触;
热电耦,与该等加热器的各者热接触;以及
数个温度控制器,其中该等温度控制器的各者与一加热器及该数个加热区中另一不同加热区的热电耦连通,以将该等加热区的至少一者维持在第一目标温度,并将该等加热区的另一者维持在第二目标温度。
4.根据权利要求1所述的设备,其特征在于,该蒸汽输送系统更包含位于该第一汽化器及该处理区域间的第一蒸汽三向阀,该第一蒸汽三向阀将该第一汽化器连接至该处理区域、或连接至排气系统。
5.根据权利要求1所述的设备,其特征在于,更包含一液体输送系统,其至少包含:
第一前体容器,用以容纳第一前体;
溶剂容器,用以容纳一溶剂;以及
液体三向阀,选择性地将该第一汽化器连接该第一前体容器、或连接至该溶剂容器。
6.根据权利要求5所述的设备,其特征在于,该液体输送系统更包含液体流量计,位于该液体三向阀及该第一汽化器之间。
7.根据权利要求6所述的设备,其特征在于,该液体输送系统更包含正向关闭阀,设于该液体流量计及该第一汽化器之间。
8.根据权利要求1所述的设备,其特征在于,更包含:
通道,形成在该处理室本体内;
传感器,放置用于提供所述通道的温度的度量标示;
流体加热器;以及
温度控制器,用于响应于所述传感器提供的度量以调节流体加热器以将该通道内的流体维持在预定温度。
9.根据权利要求1所述的设备,其特征在于,更包含:
第二汽化器;
第二蒸汽输送系统,连接该第二汽化器及该处理区域,以距离该第二汽化器约小于三英尺的第二蒸汽路径穿过该第二蒸汽输送系统至该处理区域。
10.根据权利要求1所述的设备,其特征在于,所述第一蒸汽路径还包括:
起始于所述汽化器的第一区域以及与所述处理区域相连通的第二区域,其中所述第一区域维持在比第二区域低的温度。
11.根据权利要求10所述的设备,其特征在于,定义在所述第一和第二区域之间的温度变化是在20摄氏度到25摄氏度之间。
12.根据权利要求10所述的设备,其特征在于,所述第一蒸汽路径还包括:
第三区域,置于所述第一区域和第二区域之间,其中一载气供应管道在所述第一区域和第三区域的过渡区耦接到所述第一蒸汽路径。
13.根据权利要求12所述的设备,其特征在于,第三区域的直径大于第一区域的直径但是小于第二区域的直径。
14.根据权利要求12所述的设备,其特征在于,第三区域的温度高于第一区域的温度但是低于第二区域的温度。
15.根据权利要求1所述的设备,其特征在于,还包括:
耦接至所述第一汽化器的铪前体源。
16.根据权利要求1所述的设备,其特征在于,第一蒸汽路径维持从第一汽化器到处理区的正的温度梯度。
17.根据权利要求17所述的设备,其特征在于,所述温度维持在最低温度100摄氏度和最高温度190摄氏度之间。
18.一种用于沉积薄膜的设备,其特征在于,包括:
处理室本体,所述处理室本体内定义有处理区域;
汽化器;
蒸汽输送系统,具有起始于所述汽化器的第一区域、与所述处理区域向连通的第二区域、以及置于所述第一区域和第二区域之间的第三区域,其中所述第一区域维持在比第二区域低的温度;以及
处理室盖件,置于所述处理室本体上,该处理室盖件具有内部和外部温度控制区域。
19.根据权利要求18所述的设备,其特征在于,定义在所述第一和第二区域之间的温度变化是在20摄氏度到25摄氏度之间。
20.根据权利要求18所述的设备,其特征在于,所述第一蒸汽路径还包括:
载气供应管道,在所述第一区域和第三区域的过渡区耦接到所述第一蒸汽路径。
21.根据权利要求18所述的设备,其特征在于,第三区域的直径大于第一区域的直径但是小于第二区域的直径。
22.根据权利要求18所述的设备,其特征在于,第三区域的温度高于第一区域的温度但是低于第二区域的温度。
23.根据权利要求18所述的设备,其特征在于,还包括:
耦接至所述汽化器的铪前体源。
24.根据权利要求19所述的设备,其特征在于,温度维持在最低温度100摄氏度和最高温度190摄氏度之间。
25.一种用于沉积薄膜的设备,其特征在于,包括:
处理室本体,所述处理室本体内定义有处理区域;
汽化器;
蒸汽输送系统,具有起始于所述汽化器的第一区域、与所述处理区域向连通的第二区域、以及置于所述第一区域和第二区域之间的第三区域,其中所述第三区域的直径大于第一区域的直径但是小于第二区域的直径;以及
处理室盖件,置于所述处理室本体上,该处理室盖件具有内部和外部温度控制区域。
26.根据权利要求25所述的设备,其特征在于,一载气供应管道在所述第一区域和第三区域的过渡区耦接到第一蒸汽路径。
27.根据权利要求25所述的设备,其特征在于,第一和第二区域之间的温度变化是在20摄氏度到25摄氏度之间。
28.根据权利要求25所述的设备,其特征在于,所述第三区域的温度高于第一区域的温度但是低于第二区域的温度。
29.根据权利要求25所述的设备,其特征在于,还包括:
耦接至所述汽化器的铪前体源。
30.根据权利要求27所述的设备,其特征在于,温度维持在最低温度100摄氏度和最高温度190摄氏度之间。
CNB03824943XA 2002-09-20 2003-09-19 沉积高介电常数薄膜的设备 Expired - Fee Related CN100523296C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/251,715 2002-09-20
US10/251,715 US20030101938A1 (en) 1998-10-27 2002-09-20 Apparatus for the deposition of high dielectric constant films

Publications (2)

Publication Number Publication Date
CN1694978A CN1694978A (zh) 2005-11-09
CN100523296C true CN100523296C (zh) 2009-08-05

Family

ID=32029009

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB03824943XA Expired - Fee Related CN100523296C (zh) 2002-09-20 2003-09-19 沉积高介电常数薄膜的设备

Country Status (6)

Country Link
US (3) US20030101938A1 (zh)
EP (1) EP1540035A2 (zh)
KR (1) KR20050046797A (zh)
CN (1) CN100523296C (zh)
AU (1) AU2003275163A1 (zh)
WO (1) WO2004027112A2 (zh)

Families Citing this family (443)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6671223B2 (en) * 1996-12-20 2003-12-30 Westerngeco, L.L.C. Control devices for controlling the position of a marine seismic streamer
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
JP2005504885A (ja) * 2001-07-25 2005-02-17 アプライド マテリアルズ インコーポレイテッド 新規なスパッタ堆積方法を使用したバリア形成
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
US9051641B2 (en) 2001-07-25 2015-06-09 Applied Materials, Inc. Cobalt deposition on barrier surfaces
US7204886B2 (en) 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7780785B2 (en) * 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6866746B2 (en) * 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
KR100515052B1 (ko) * 2002-07-18 2005-09-14 삼성전자주식회사 반도체 기판상에 소정의 물질을 증착하는 반도체 제조 장비
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US20040069227A1 (en) * 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
KR100463633B1 (ko) * 2002-11-12 2004-12-29 주식회사 아이피에스 하프늄 화합물을 이용한 박막증착방법
US20040177813A1 (en) 2003-03-12 2004-09-16 Applied Materials, Inc. Substrate support lift mechanism
US20060105182A1 (en) * 2004-11-16 2006-05-18 Applied Materials, Inc. Erosion resistant textured chamber surface
US7221553B2 (en) * 2003-04-22 2007-05-22 Applied Materials, Inc. Substrate support having heat transfer system
JP4399206B2 (ja) * 2003-08-06 2010-01-13 株式会社アルバック 薄膜製造装置
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US8615886B1 (en) * 2004-05-06 2013-12-31 Winthrop D. Childers Shaving system with energy imparting device
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US8323754B2 (en) 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials
JP5264039B2 (ja) * 2004-08-10 2013-08-14 東京エレクトロン株式会社 薄膜形成装置及び薄膜形成方法
US7822586B2 (en) * 2004-08-11 2010-10-26 Entegris, Inc. System and method for optimizing and simulating thermal management systems and predictive flow control
US7166544B2 (en) * 2004-09-01 2007-01-23 Applied Materials, Inc. Method to deposit functionally graded dielectric films via chemical vapor deposition using viscous precursors
JP3896594B2 (ja) * 2004-10-01 2007-03-22 株式会社ユーテック Cvd用気化器、溶液気化式cvd装置及びcvd用気化方法
US20060159843A1 (en) * 2005-01-18 2006-07-20 Applied Materials, Inc. Method of substrate treatment for manufacturing of color filters by inkjet printing systems
US7155319B2 (en) * 2005-02-23 2006-12-26 Applied Materials, Inc. Closed loop control on liquid delivery system ECP slim cell
US20090297706A1 (en) * 2005-03-16 2009-12-03 Jiro Senda Film forming system and method for forming film
WO2006100953A1 (ja) * 2005-03-18 2006-09-28 Horiba, Ltd. 成膜方法及び成膜装置
US10627809B2 (en) 2005-06-18 2020-04-21 Frederick A. Flitsch Multilevel fabricators
US9457442B2 (en) * 2005-06-18 2016-10-04 Futrfab, Inc. Method and apparatus to support process tool modules in a cleanspace fabricator
US7513822B2 (en) 2005-06-18 2009-04-07 Flitsch Frederick A Method and apparatus for a cleanspace fabricator
US9059227B2 (en) 2005-06-18 2015-06-16 Futrfab, Inc. Methods and apparatus for vertically orienting substrate processing tools in a clean space
US9159592B2 (en) 2005-06-18 2015-10-13 Futrfab, Inc. Method and apparatus for an automated tool handling system for a multilevel cleanspace fabricator
US11024527B2 (en) 2005-06-18 2021-06-01 Frederick A. Flitsch Methods and apparatus for novel fabricators with Cleanspace
US10651063B2 (en) 2005-06-18 2020-05-12 Frederick A. Flitsch Methods of prototyping and manufacturing with cleanspace fabricators
US9339900B2 (en) 2005-08-18 2016-05-17 Futrfab, Inc. Apparatus to support a cleanspace fabricator
US7402534B2 (en) 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US7464917B2 (en) * 2005-10-07 2008-12-16 Appiled Materials, Inc. Ampoule splash guard apparatus
US7850779B2 (en) 2005-11-04 2010-12-14 Applied Materisals, Inc. Apparatus and process for plasma-enhanced atomic layer deposition
JP4017648B2 (ja) * 2006-01-23 2007-12-05 シャープ株式会社 プラズマ処理装置および同装置により製造された半導体素子
US20070175392A1 (en) * 2006-01-27 2007-08-02 American Air Liquide, Inc. Multiple precursor dispensing apparatus
US20070194470A1 (en) * 2006-02-17 2007-08-23 Aviza Technology, Inc. Direct liquid injector device
US20070237895A1 (en) * 2006-03-30 2007-10-11 Tokyo Electron Limited Method and system for initiating a deposition process utilizing a metal carbonyl precursor
JP5036354B2 (ja) * 2006-04-04 2012-09-26 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
US7798096B2 (en) 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
JP2009540533A (ja) * 2006-06-12 2009-11-19 セムイクウィップ・インコーポレーテッド 蒸発装置
US7601648B2 (en) 2006-07-31 2009-10-13 Applied Materials, Inc. Method for fabricating an integrated gate dielectric layer for field effect transistors
US20080241805A1 (en) * 2006-08-31 2008-10-02 Q-Track Corporation System and method for simulated dosimetry using a real time locating system
US7775508B2 (en) 2006-10-31 2010-08-17 Applied Materials, Inc. Ampoule for liquid draw and vapor draw with a continuous level sensor
US7410916B2 (en) * 2006-11-21 2008-08-12 Applied Materials, Inc. Method of improving initiation layer for low-k dielectric film by digital liquid flow meter
US20080141937A1 (en) * 2006-12-19 2008-06-19 Tokyo Electron Limited Method and system for controlling a vapor delivery system
US20080206987A1 (en) 2007-01-29 2008-08-28 Gelatos Avgerinos V Process for tungsten nitride deposition by a temperature controlled lid assembly
JP4697162B2 (ja) * 2007-03-16 2011-06-08 セイコーエプソン株式会社 表面処理装置及び方法
US7733095B2 (en) * 2007-08-15 2010-06-08 Applied Materials, Inc. Apparatus for wafer level arc detection at an RF bias impedance match to the pedestal electrode
US7750644B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. System with multi-location arc threshold comparators and communication channels for carrying arc detection flags and threshold updating
US7737702B2 (en) * 2007-08-15 2010-06-15 Applied Materials, Inc. Apparatus for wafer level arc detection at an electrostatic chuck electrode
US7768269B2 (en) * 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
US7750645B2 (en) * 2007-08-15 2010-07-06 Applied Materials, Inc. Method of wafer level transient sensing, threshold comparison and arc flag generation/deactivation
DE102008026001B4 (de) * 2007-09-04 2012-02-16 Von Ardenne Anlagentechnik Gmbh Verfahren und Vorrichtung zur Erzeugung und Bearbeitung von Schichten auf Substraten unter definierter Prozessatmosphäre und Heizelement
US20090263641A1 (en) * 2008-04-16 2009-10-22 Northeast Maritime Institute, Inc. Method and apparatus to coat objects with parylene
US20090263581A1 (en) * 2008-04-16 2009-10-22 Northeast Maritime Institute, Inc. Method and apparatus to coat objects with parylene and boron nitride
JP5133013B2 (ja) * 2007-09-10 2013-01-30 東京エレクトロン株式会社 成膜装置の排気系構造、成膜装置、および排ガスの処理方法
US7871942B2 (en) * 2008-03-27 2011-01-18 Applied Materials, Inc. Methods for manufacturing high dielectric constant film
JP4961381B2 (ja) * 2008-04-14 2012-06-27 株式会社日立国際電気 基板処理装置、基板処理方法及び半導体装置の製造方法
US8852696B2 (en) * 2008-05-30 2014-10-07 Alta Devices, Inc. Method for vapor deposition
US8146896B2 (en) 2008-10-31 2012-04-03 Applied Materials, Inc. Chemical precursor ampoule for vapor deposition processes
US9181097B2 (en) * 2009-02-19 2015-11-10 Sundew Technologies, Llc Apparatus and methods for safely providing hazardous reactants
JP5361467B2 (ja) * 2009-03-13 2013-12-04 東京エレクトロン株式会社 気化器
WO2010103953A1 (ja) * 2009-03-13 2010-09-16 東京エレクトロン株式会社 基板処理装置、トラップ装置、基板処理装置の制御方法及びトラップ装置の制御方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US9127364B2 (en) 2009-10-28 2015-09-08 Alta Devices, Inc. Reactor clean
KR20130105648A (ko) * 2010-09-08 2013-09-25 몰레큘러 임프린츠 인코퍼레이티드 임프린트 리소그래피에 사용하는 증기 전달 시스템
KR20130100339A (ko) * 2010-11-24 2013-09-10 가부시키가이샤 알박 막 형성 장치 및 막 형성 장치의 세정 방법
JP5236755B2 (ja) * 2011-01-14 2013-07-17 東京エレクトロン株式会社 成膜装置及び成膜方法
US20120225203A1 (en) * 2011-03-01 2012-09-06 Applied Materials, Inc. Apparatus and Process for Atomic Layer Deposition
US20120272892A1 (en) * 2011-04-07 2012-11-01 Veeco Instruments Inc. Metal-Organic Vapor Phase Epitaxy System and Process
US8927066B2 (en) * 2011-04-29 2015-01-06 Applied Materials, Inc. Method and apparatus for gas delivery
US8633119B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US8633114B2 (en) 2011-05-10 2014-01-21 Applied Materials, Inc. Methods for manufacturing high dielectric constant films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9574268B1 (en) 2011-10-28 2017-02-21 Asm America, Inc. Pulsed valve manifold for atomic layer deposition
CN102446738A (zh) * 2011-11-29 2012-05-09 上海华力微电子有限公司 一种等离子体刻蚀装置
US9388492B2 (en) 2011-12-27 2016-07-12 Asm America, Inc. Vapor flow control apparatus for atomic layer deposition
US9315900B2 (en) * 2012-01-27 2016-04-19 Applied Materials, Inc. Isolation of microwave sources through bellows
US20130312663A1 (en) * 2012-05-22 2013-11-28 Applied Microstructures, Inc. Vapor Delivery Apparatus
WO2013181521A2 (en) 2012-05-31 2013-12-05 Advanced Technology Materials, Inc. Source reagent-based delivery of fluid with high material flux for batch deposition
US9631273B2 (en) * 2012-07-25 2017-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for dielectric deposition process
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8821985B2 (en) 2012-11-02 2014-09-02 Intermolecular, Inc. Method and apparatus for high-K gate performance improvement and combinatorial processing
JP6078335B2 (ja) * 2012-12-27 2017-02-08 株式会社日立国際電気 基板処理装置、半導体装置の製造方法、気化システム、気化器およびプログラム
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
FR3002241B1 (fr) * 2013-02-21 2015-11-20 Altatech Semiconductor Dispositif de depot chimique en phase vapeur
US20140287593A1 (en) * 2013-03-21 2014-09-25 Applied Materials, Inc. High throughput multi-layer stack deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CA2950101A1 (en) 2014-06-02 2015-12-10 PHG Energy, LLC Microwave induced plasma cleaning device and method for producer gas
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10553421B2 (en) * 2015-05-15 2020-02-04 Tokyo Electron Limited Substrate processing apparatus, substrate processing method and storage medium
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
CN104962880B (zh) * 2015-07-31 2017-12-01 合肥京东方光电科技有限公司 一种气相沉积设备
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US9953843B2 (en) * 2016-02-05 2018-04-24 Lam Research Corporation Chamber for patterning non-volatile metals
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
EP3449500A4 (en) * 2016-04-25 2020-04-22 Applied Materials, Inc. CHEMICAL DISTRIBUTION CHAMBER FOR SINGLE-ASSEMBLED SINGLE-LAYER TREATMENTS
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10662527B2 (en) 2016-06-01 2020-05-26 Asm Ip Holding B.V. Manifolds for uniform vapor deposition
US10358715B2 (en) 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
WO2018039578A1 (en) * 2016-08-26 2018-03-01 Applied Materials, Inc. Low pressure lift pin cavity hardware
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US20180274615A1 (en) * 2017-03-27 2018-09-27 Goodrich Corporation Common vacuum header for cvi/cvd furnaces
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
USD876504S1 (en) 2017-04-03 2020-02-25 Asm Ip Holding B.V. Exhaust flow control ring for semiconductor deposition apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US11761082B2 (en) * 2017-05-02 2023-09-19 Picosun Oy ALD apparatus, method and valve
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10276411B2 (en) * 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
KR102405723B1 (ko) 2017-08-18 2022-06-07 어플라이드 머티어리얼스, 인코포레이티드 고압 및 고온 어닐링 챔버
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
CN207243986U (zh) * 2017-10-16 2018-04-17 君泰创新(北京)科技有限公司 真空镀膜设备
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
KR102396319B1 (ko) 2017-11-11 2022-05-09 마이크로머티어리얼즈 엘엘씨 고압 프로세싱 챔버를 위한 가스 전달 시스템
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
WO2019099125A1 (en) 2017-11-16 2019-05-23 Applied Materials, Inc. High pressure steam anneal processing apparatus
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
CN111699549A (zh) 2018-01-24 2020-09-22 应用材料公司 使用高压退火的接缝弥合
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10714331B2 (en) 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10774422B2 (en) * 2018-06-01 2020-09-15 Asm Ip Holding B.V. Systems and methods for controlling vapor phase processing
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102036697B1 (ko) * 2018-06-15 2019-10-28 주식회사 글로벌스탠다드테크놀로지 입자를 포함하는 유체의 흐름을 제어하기 위한 매니폴드를 포함하는 미모 시스템
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
JP2022507390A (ja) 2018-11-16 2022-01-18 アプライド マテリアルズ インコーポレイテッド 強化拡散プロセスを使用する膜の堆積
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
US11600468B2 (en) * 2019-02-05 2023-03-07 Applied Materials, Inc. Multi channel splitter spool
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11492701B2 (en) 2019-03-19 2022-11-08 Asm Ip Holding B.V. Reactor manifolds
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
WO2020251696A1 (en) 2019-06-10 2020-12-17 Applied Materials, Inc. Processing system for forming layers
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
FI130416B (en) * 2019-06-28 2023-08-21 Beneq Oy Precursor source arrangement and atomic layer growth apparatus
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
KR20210018762A (ko) * 2019-08-09 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 온도 제어된 화학물질 전달 시스템 및 이를 포함하는 반응기 시스템
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
JP7371955B2 (ja) 2019-08-29 2023-10-31 株式会社フジキン 流体供給システム
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
KR20210048408A (ko) 2019-10-22 2021-05-03 에이에스엠 아이피 홀딩 비.브이. 반도체 증착 반응기 매니폴드
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
JP2021109175A (ja) * 2020-01-06 2021-08-02 エーエスエム・アイピー・ホールディング・ベー・フェー ガス供給アセンブリ、その構成要素、およびこれを含む反応器システム
US20210217585A1 (en) * 2020-01-15 2021-07-15 Applied Materials, Inc. Methods and apparatus for carbon compound film deposition
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
US20210375600A1 (en) * 2020-06-02 2021-12-02 Applied Materials, Inc. Self-assembled monolayer deposition from low vapor pressure organic molecules
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
US20220020615A1 (en) * 2020-07-19 2022-01-20 Applied Materials, Inc. Multiple process semiconductor processing system
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
US20220415635A1 (en) * 2021-06-25 2022-12-29 Applied Materials, Inc. Thermal management hardware for uniform temperature control for enhanced bake-out for cluster tool
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
WO2023064720A1 (en) * 2021-10-12 2023-04-20 Lam Research Corporation Apparatuses and systems for ammonia/chlorine chemistry semiconductor processing
US11939668B2 (en) * 2022-04-26 2024-03-26 Applied Materials, Inc. Gas delivery for tungsten-containing layer

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4680061A (en) * 1979-12-21 1987-07-14 Varian Associates, Inc. Method of thermal treatment of a wafer in an evacuated environment
US4619844A (en) * 1985-01-22 1986-10-28 Fairchild Camera Instrument Corp. Method and apparatus for low pressure chemical vapor deposition
GR871619B (en) * 1986-10-31 1988-03-03 Genetic Systems Corp Automated patient sample analysis instrument
US4833319A (en) * 1987-02-27 1989-05-23 Hughes Aircraft Company Carrier gas cluster source for thermally conditioned clusters
US5186120A (en) * 1989-03-22 1993-02-16 Mitsubishi Denki Kabushiki Kaisha Mixture thin film forming apparatus
US5362328A (en) * 1990-07-06 1994-11-08 Advanced Technology Materials, Inc. Apparatus and method for delivering reagents in vapor form to a CVD reactor, incorporating a cleaning subsystem
US5182567A (en) * 1990-10-12 1993-01-26 Custom Metallizing Services, Inc. Retrofittable vapor source for vacuum metallizing utilizing spatter reduction means
US6110531A (en) * 1991-02-25 2000-08-29 Symetrix Corporation Method and apparatus for preparing integrated circuit thin films by chemical vapor deposition
US5370739A (en) * 1992-06-15 1994-12-06 Materials Research Corporation Rotating susceptor semiconductor wafer processing cluster tool module useful for tungsten CVD
JP2000252269A (ja) * 1992-09-21 2000-09-14 Mitsubishi Electric Corp 液体気化装置及び液体気化方法
US5800686A (en) 1993-04-05 1998-09-01 Applied Materials, Inc. Chemical vapor deposition chamber with substrate edge protection
US5356451A (en) * 1993-12-20 1994-10-18 Corning Incorporated Method and apparatus for vaporization of liquid reactants
US5630878A (en) * 1994-02-20 1997-05-20 Stec Inc. Liquid material-vaporizing and supplying apparatus
US5451258A (en) * 1994-05-11 1995-09-19 Materials Research Corporation Apparatus and method for improved delivery of vaporized reactant gases to a reaction chamber
JP2565146B2 (ja) * 1994-12-26 1996-12-18 日本電気株式会社 液体定量輸送装置
JP3360098B2 (ja) * 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
TW322602B (zh) * 1996-04-05 1997-12-11 Ehara Seisakusho Kk
US6357304B1 (en) * 1996-08-22 2002-03-19 Donald P. Mayeaux System for retrieving a gas phase sample from a gas stream containing entrained liquid, and sample conditioner assembly therefore
US5878503A (en) 1996-09-05 1999-03-09 North Pass, Ltd. Gun sight system
US5788778A (en) * 1996-09-16 1998-08-04 Applied Komatsu Technology, Inc. Deposition chamber cleaning technique using a high power remote excitation source
US6195504B1 (en) * 1996-11-20 2001-02-27 Ebara Corporation Liquid feed vaporization system and gas injection device
US5876503A (en) * 1996-11-27 1999-03-02 Advanced Technology Materials, Inc. Multiple vaporizer reagent supply system for chemical vapor deposition utilizing dissimilar precursor compositions
US6258170B1 (en) * 1997-09-11 2001-07-10 Applied Materials, Inc. Vaporization and deposition apparatus
US6527865B1 (en) 1997-09-11 2003-03-04 Applied Materials, Inc. Temperature controlled gas feedthrough
US6218708B1 (en) * 1998-02-25 2001-04-17 Sun Microsystems, Inc. Back-biased MOS device and method
US6296711B1 (en) * 1998-04-14 2001-10-02 Cvd Systems, Inc. Film processing system
US6216708B1 (en) * 1998-07-23 2001-04-17 Micron Technology, Inc. On-line cleaning method for CVD vaporizers
US6176930B1 (en) * 1999-03-04 2001-01-23 Applied Materials, Inc. Apparatus and method for controlling a flow of process material to a deposition chamber
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
DE10007059A1 (de) * 2000-02-16 2001-08-23 Aixtron Ag Verfahren und Vorrichtung zur Herstellung von beschichteten Substraten mittels Kondensationsbeschichtung
US6282388B1 (en) 2000-02-18 2001-08-28 Toshiba Tec Kabushiki Kaisha Image forming apparatus and image forming method with precedent pre-output processing of a print start command
US6451692B1 (en) 2000-08-18 2002-09-17 Micron Technology, Inc. Preheating of chemical vapor deposition precursors
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition

Also Published As

Publication number Publication date
WO2004027112A3 (en) 2005-01-13
CN1694978A (zh) 2005-11-09
US20060196421A1 (en) 2006-09-07
AU2003275163A1 (en) 2004-04-08
WO2004027112A2 (en) 2004-04-01
AU2003275163A8 (en) 2004-04-08
US20030101938A1 (en) 2003-06-05
US8496780B2 (en) 2013-07-30
EP1540035A2 (en) 2005-06-15
KR20050046797A (ko) 2005-05-18
US20130333621A1 (en) 2013-12-19

Similar Documents

Publication Publication Date Title
CN100523296C (zh) 沉积高介电常数薄膜的设备
US6454860B2 (en) Deposition reactor having vaporizing, mixing and cleaning capabilities
CN107699869B (zh) 通过在整个沉积过程中改变晶片温度来抑制界面反应
US6082714A (en) Vaporization apparatus and process
KR100837448B1 (ko) 사용 시점에서의 전구체의 증발
CN111373519A (zh) 高压蒸气退火处理设备
US20060127068A1 (en) Method and apparatus for silicon oxide deposition on large area substrates
KR102517907B1 (ko) 전구체 제어 시스템 및 프로세스
TW201719801A (zh) 在半導體製造中可流動沈積之系統及裝置
KR20010067405A (ko) 고온 필터
CN102934214A (zh) 装载闸批式臭氧硬化
CN105714272A (zh) 用于提高膜均匀性的装置和方法
KR102282693B1 (ko) 기판 처리 장치, 기판 처리 시스템 및 기판 처리 방법
JP4884607B2 (ja) 熱処理装置
JP2004111787A (ja) 基板処理装置
JPWO2017134853A1 (ja) 基板処理装置および半導体装置の製造方法
TW201237994A (en) System and apparatus for flowable deposition in semiconductor fabrication
JP2000306907A (ja) 液体ソース装置
WO2024050249A1 (en) Modular vapor delivery system for semiconductor process tools
JP2004146508A (ja) 基板処理装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C56 Change in the name or address of the patentee
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: APPLIED MATERIALS, Inc.

Address before: California, USA

Patentee before: APPLIED MATERIALS, Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20090805

Termination date: 20210919