CN100545304C - 用于半导体处理设备的陶瓷件 - Google Patents

用于半导体处理设备的陶瓷件 Download PDF

Info

Publication number
CN100545304C
CN100545304C CNB2005100818779A CN200510081877A CN100545304C CN 100545304 C CN100545304 C CN 100545304C CN B2005100818779 A CNB2005100818779 A CN B2005100818779A CN 200510081877 A CN200510081877 A CN 200510081877A CN 100545304 C CN100545304 C CN 100545304C
Authority
CN
China
Prior art keywords
ceramic member
silicon
ceramic
sic
particle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CNB2005100818779A
Other languages
English (en)
Other versions
CN1702193A (zh
Inventor
威廉·F·博希
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Lam Research Corp
Original Assignee
Lam Research Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Research Corp filed Critical Lam Research Corp
Publication of CN1702193A publication Critical patent/CN1702193A/zh
Application granted granted Critical
Publication of CN100545304C publication Critical patent/CN100545304C/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/009After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone characterised by the material treated
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/0054Plasma-treatment, e.g. with gas-discharge plasma
    • CCHEMISTRY; METALLURGY
    • C04CEMENTS; CONCRETE; ARTIFICIAL STONE; CERAMICS; REFRACTORIES
    • C04BLIME, MAGNESIA; SLAG; CEMENTS; COMPOSITIONS THEREOF, e.g. MORTARS, CONCRETE OR LIKE BUILDING MATERIALS; ARTIFICIAL STONE; CERAMICS; REFRACTORIES; TREATMENT OF NATURAL STONE
    • C04B41/00After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone
    • C04B41/80After-treatment of mortars, concrete, artificial stone or ceramics; Treatment of natural stone of only ceramics
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma

Abstract

一种陶瓷件,用于处理半导体衬底的真空处理室,该陶瓷件包括:具有才机加工或才烧结的外表面的非氧化物陶瓷材料;和在该外表面上并形成陶瓷件的最外表面的氧化层,该氧化层内包含附着在外表面上的非氧化物陶瓷材料颗粒。在半导体衬底处理期间,使陶瓷件经等离子体调控处理可使颗粒污染降至最低。陶瓷件可由各种材料制成,如氧化铝、二氧化硅、石英、碳、硅、碳化硅、氮化硅、氮化硼、碳化硼、氮化铝或碳化钛。陶瓷件可为真空处理室的各种部件,如处理室侧壁内的衬套、向处理室供给处理气体的气体散布板、喷淋头组件的缓冲板、晶片通道插入件、衬底周围的聚焦环、电极周围的边环、等离子体屏蔽板和/或窗口。

Description

用于半导体处理设备的陶瓷件
本申请是申请日为2001年6月25日、申请号为01812083.0、发明名称为“具有改善的颗粒污染性能的半导体处理设备”的发明专利申请的分案申请。
技术领域
本发明涉及到半导体处理设备,尤其是涉及到在处理半导体衬底期间真空室内有成型陶瓷件时改善颗粒性能。
背景技术
颗粒污染性能在处理半导体衬底如硅片时是至关重要的因为颗粒沾附在这样的衬底表面上会导致减产。这种颗粒的一个来源是衬底和减少颗粒数目包括化学处理的技术。例如,美国专利5,051,134号揭示了一种用含氢氟酸的水溶液处理半导体表面以减少颗粒数的湿法化学处理技术。此134号专利称,酸溶液包括商品氢氟酸和晶片满足了半导体工业对极高纯度和无颗粒的要求。
美国专利5,665,168号揭示了一种抑制和减少颗粒沾附到晶片表面的清洗硅片的方法,其中晶片用含表面活性剂的氢氟酸水溶液清洗,然后用含臭氧的纯水漂洗。美国专利5,837,662号揭示了一种在晶片研磨后清除晶片表面污染物的处理工艺,此工艺包括使晶片与氧化剂接触而使有机污染物氧化,然后将晶片浸在含柠檬酸的水溶液中施加声能来除去存在于晶片表面的金属污染物。此662号专利称,柠檬酸是络合剂用来捕获金属离子,且将晶片与氢氟酸接触可使磨料颗粒和存在于氧化硅层(一部分是自然氧化物,一部分是在氧化浴中形成的)上的痕量金属杂质与氧化层一起除去。
美国专利5,712,198号揭示了一种处理硅片的技术来降低其表面上的金属浓度如Cr、Ca、Ti、Co、Mn、Zn和V,此处理工艺包括用清洗液预清洗,用含氢氟酸的水溶液除去金属,使晶片与含Fe、Cr、Ti及其他金属的各自浓度不大于0.01ppb的高纯含臭氧水接触来漂洗和生长氧化物,以产生0.6-1.5nm厚的硅氧化层。关于半导体衬底清洗的其他专利包括美国专利5,454,901;5,744,401和6,054,373号。
美国专利5,766,684号揭示了一种清洗和钝化不锈钢表面的技术例如气流设备,它可用于半导体处理设备中,此工艺过程包括使表面与含酸的水溶液接触以移除残留物,接着使表面释放的自由Fe离子络合而形成氧化膜,而使络合的离子沉淀在氧化膜中。
美国专利4,761,134号揭示了用于处理硅片的半导体扩散炉碳化硅部件(例如,衬套、处理管、板、舟等),其中的高纯碳化硅部件为高纯的硅金属所饱和,并覆以高纯的致密无渗透的难熔涂层如碳化硅、氮化硅或二氧化硅。根据134号专利,碳化硅的纯度必须至少为99%(优选地至少为99.9%),以使在敏感的晶片处理步骤中不致对炉子环境成为污染源,而难熔涂层防止硅由炉子环境渗透入烧结的碳化硅衬底,并在酸洗期间不受酸的侵蚀。其他涉及碳化硅半导体处理部件的专利包括美国专利4,401,689号(基座管),4,518,349号(炉子支杆),4,999,228号(扩散管),5,074,456号(上电极),5,252,892号(等离子体阴极室),5,460,684号(ESC电阻层),5,463,524号(传感针),5,494,524号(热处理装置),5,578,129号(进样系统的滤板),5,538,230号(晶片舟),5,595,627号(上电极),5,888,907号(电极板),5,892,236号(离子注入装置)以及5,937,316号(热处理装置如基座、晶片座、均热板、均热环、假晶片等)。也参见日本专利公开54-10825号(半导体扩散炉材料),60-200519号(基座),61-284301号(上电极),63-35452号(扩散炉管,衬管,端口元件,板),63-186874号(微波加热样品板),63-138737号(等离子体腐蚀反应器上电极),3-201322号(真空环境下的工件镀膜)以及8-17745号(晶片加热器)。其中日本专利公开54-10825号和63-35452号还揭示了粉浆浇铸的碳化硅部件。
美国专利4,598,665号揭示了一种在半导体晶片热处理期间减少灰尘的技术,该技术提供了一种内表面粗糙度不超过150μm的碳化硅处理管(晶片在其中进行处理)。此665号专利称,表面粗糙度超过150μm时,在处理管内表面形成多孔的SiO2膜而致产生灰尘。
美国专利5,904,778号揭示了一种在处于自由状态的SiC上CVD镀敷SiC的技术用来作为室壁、室顶或晶片周围的套筒。美国专利5,292,399号揭示了环绕衬底底座的SiC环。美国专利5,182,059号揭示了一种制备烧结SiC的技术。
关于等离子体反应器部件如喷淋头气体散布系统,已对喷淋头材料提出了各种建议。例如,美国专利5,569,356号揭示了硅、石墨或碳化硅喷淋头。美国专利5,888,907号揭示了无定形碳、碳化硅或Al喷淋头电极。美国专利5,006,220号和5,022,979号揭示的喷淋头电极或是完全由SiC制成,或是用CVD法在碳基上淀积SiC以提供高纯SiC的表面层。
鉴于需要在半导体处理期间提高产量,有必要改进工艺来减少在暴露于半导体衬底处理气体和/或环境的成型(例如,机加工和/或烧结)陶瓷件上产生的颗粒。
发明内容
根据本发明的一方面,提供一种陶瓷件,用于处理半导体衬底的真空处理室,该陶瓷件包括:具有才机加工或才烧结的外表面的非氧化物陶瓷材料;和在该外表面上并形成陶瓷件的最外表面的氧化层,该氧化层内包含附着在外表面上的非氧化物陶瓷材料颗粒。
根据本发明的另一方面,提供一种陶瓷件,用于处理半导体衬底的真空处理室,该陶瓷件包括:具有才机加工或才烧结的外表面的硅或碳化硅;和在该外表面上并形成陶瓷件的最外表面的氧化层,该氧化层内包含附着在外表面上的硅或碳化硅颗粒。
根据本发明的另一方面,提供一种喷淋头电极,用于处理半导体衬底的真空处理室,该喷淋头电极包括:具有才机加工或才烧结的外表面的硅或碳化硅;和在该外表面上并形成喷淋头电极的最外表面的氧化层,该氧化层内包含附着在外表面上的硅或碳化硅颗粒。
本发明也提供了一种处理半导体衬底和在衬底处理期间减少颗粒污染物的方法,此方法包括以下步骤:将衬底置于真空处理室内部空间的衬底座上,处理室包含至少一个其表面暴露于内部空间的非氧化物陶瓷件,此表面已成型并作处理以减少因高强度的等离子体调控处理而在其上产生的颗粒,向处理室通入处理气体来处理衬底;从处理室取出衬底。
根据一种优选实施方式,处理室包含基本上为平面的天线,向天线施加射频功率使处理气体激发为等离子体状态,且处理气体至少包含一种碳氟化合物气体,此方法还包括激发碳氟化合物成为等离子体状态并使暴露的表面与等离子体接触来调控处理暴露的表面。在处理期间,可在衬底施加射频偏压时用高密度等离子体腐蚀衬底上的氧化层来处理多个衬底。
在一个示例性的实施例中,陶瓷件包括为处理室供给处理气体的气体散布板,处理室包含基本上为平面的线圈,在向天线提供射频功率时可使处理气体激发为等离子体状态,这个方法还包括调控处理陶瓷件的暴露表面,这是用调节处理室的气压为200-500mTorr,向线圈提供2000-2500W射频功率,和/或改变线圈的端电容,使高强度等离子体区在气体散布板上移动来实现的。
此方法还可包括机加工碳、硅、碳化硅、氮化硅、氮化硼、碳化硼、氮化铝或碳化钛件来制做陶瓷件的步骤。对于碳化硅件的情形,此工件可用CVD SiC、烧结的SiC、烧结SiC敷以CVD SiC、转换石墨、或填充Si的多孔SiC来制作。
本发明也提供了一种对半导体处理室陶瓷件成型表面进行等离子体调控处理的方法,此方法包括使成型表面与高强度等离子体接触而对之进行处理以减少其上的颗粒。例如,此方法可包括将陶瓷件装在等离子体反应器中,而等离子体调控处理可这样来实现:在陶瓷件加电时用高强度等离子体处理成型表面以增强其离子轰击;用激发卤素气体成为等离子体状态而产生的高密度等离子体处理成型表面;用激发惰性气体成为等离子体状态而产生的高密度等离子体处理成型表面;用激发氧气成为等离子体状态而产生的高密度等离子体处理成型表面;用激发含氟气体成为等离子体状态而产生的高密度等离子体处理成型表面;和/或在干燥处理反应室时用高密度等离子体处理成型表面。
附图说明
图1a-d表示加工的CVD SiC样品经CO2清洁处理的SEM像;
图2a-d表示加工的CVD SiC样品经低压SiC喷丸处理后再用CO2清洁处理的SEM像;
图3表示单晶SiC<111>方向的氧化动力学;
图4a-d表示样品11C在1200℃氧化12小时的SEM像;
图5a-d表示样品12C在1450℃氧化12小时的SEM像;
图6表示按照本发明配有感应耦合等离子体源和SiC气体散布板的单片真空处理室;
图7表示按照本发明配有喷淋头电极和SiC缓冲板的单片真空处理室。
具体实施方式
在半导体处理领域中,处理半导体衬底的真空室中各种成型件都可以成为颗粒产生源,它反过来又影响到衬底上制作器件的产量。按照本发明,对这种成型件进行处理,以使对室中处理的半导体衬底的颗粒污染减至最小。
陶瓷材料如碳化硅、氮化硅等已被用作真空室中的设备部件。这样的部件可用烧结陶瓷粉末或CVD法淀积接着再加工其一个或多个表面来制做。在处理半导体衬底期间成型的表面可成为颗粒污染源。按照本发明,为了调控处理这样的部件以使半导体衬底处理期间产生的颗粒污染减至最小,这种部件的暴露表面经过处理以使颗粒与暴露表面结合或除去。根据优选实施方式,表面层被氧化,然后任意除去氧化层以减少附着颗粒数的方式。
在半导体处理领域中,一般通过向真空处理室通入处理气体,而将真空室用于对衬底上的材料进行快速热处理、溅射、离子注入或离子研磨、腐蚀或化学汽相沉积(CVD)。这样的真空室可以向或可以不向气体施加使之激发为等离子体状态的射频场。在美国专利4,340,462号、4,948,458号、5,200,232号和5,820,723号中揭示了平行板、变压器耦合等离子体(TCPTM)也称为感应耦合等离子体(ICP)、及电子回旋共振(ECR)反应器及其部件的实例。由于要求颗粒和/或重金属污染减至最小,很希望这种设备的部件表现出高抗蚀性而又产生最少的颗粒。
在处理半导体衬底期间,衬底典型地由机械夹具和静电夹具(ESC)保持在真空室中的衬底座上。这样的夹具系统及其部件的实例可在美国专利5,262,029号和5,838,529号中找到。处理气体可经部件如气体喷嘴、气体环、气体散布板等送入处理室。在美国专利5,863,376号中可找到用于感应耦合等离子体反应器及其部件的控温气体散布板实例。
根据本发明,对氧化物或非氧化物陶瓷材料如氧化铝、二氧化硅、石英、碳、硅、碳化硅、氮化硅、氮化硼、碳化硼、氮化铝和碳化钛制成的真空室部件进行处理以使颗粒的产生减至最少。在一优选实施方式中,成型(烧结和/或机加工)的部件表面经等离子体调控处理以改善部件的颗粒污染性能。等离子体调控处理可与在部件上形成氧化层的优化氧化处理和除去氧化层的优化清洁处理相结合。此氧化处理可包括在炉中的热处理,清洁处理可包括用酸性水溶液的腐蚀处理。
根据本发明的一个优选实施方式,等离子体反应器的氧化物或非氧化物陶瓷件在优化的氧化处理和/或除去氧化步骤形成的氧化层的化学腐蚀后接着进行等离子体调控处理。这样,就可使机加工引起的附着颗粒在部件装入等离子体反应器之前或之后除去。已发现,在这样的处理后能使处理的半导体晶片达到生产状态比部件装入等离子体反应器前只经化学清洗要快得多。对于也进行氧化处理的情形,因颗粒结合在氧化层中,部件不除去氧化层也可使用。
根据本发明的第一种实施方式,氧化物或非氧化物陶瓷件由机加工成型至所需尺寸和/或在其上制备某种表面特征如接受O形密封圈的沟槽、螺栓孔、气体散布孔或通道、凸台、法兰等。机加工可用任何合适的技术来实现如研磨、精研、搪磨、超声加工、水喷射或磨料喷射加工、激光加工、放电加工、离子束加工、电子束加工、化学加工、电化学加工等。这样的磨料和非磨料加工会在加工的陶瓷件表面产生沾附的颗粒,而在处理半导体衬底期间沾附的颗粒就成为颗粒污染源。同样,烧结陶瓷件的成型表面也可成为颗粒污染源。
一种可用于本发明的非氧化物陶瓷材料为碳化硅(SiC)。SiC部件可用任何合适的技术来制造。例如,可在适当的衬底如高纯石墨上化学汽相沉积碳化硅再加工成最终的尺寸来制做。如果加工出来的部件直接装入晶片处理等离子体反应器如等离子体腐蚀室中,开始处理的晶片可被数千颗粒(例如,约20,000颗粒,称为“添加物(adders)”)污染。
根据本发明的一种实施方式,碳化硅的非氧化物陶瓷件在空气中进行热处理而形成表面氧化层以改善颗粒污染性能。氧化处理可将颗粒有效地封在氧化层中和/或将颗粒转换成氧化物而成为氧化层的一部分。然后可用化学腐蚀处理任意除去氧化层。例如,可在炉子内将部件在1200-1700℃下加热1-100小时,优选地为1400-1500℃下8-16小时,以形成氧化层,接着在酸浴中除去之。在这样的处理后,可将部件装入等离子体反应器如等离子体腐蚀器,在例行的反应室干燥处理后即可处理晶片。然而,侵蚀性的等离子体处理步骤对经受过强离子轰击的部件如气体散布或缓冲板可能是有益的。例如,可对部件加电以增强对加工表面的离子轰击,可在等离子体中加入卤素气体如含氟气体和/或氧和/或惰性气体如氩以更强地侵蚀/溅射加工的表面,可调节处理室中的等离子体状态,例如可改变TCPTM线圈的端电容使高密度等离子体区在加工表面上移动,和/或改变其他处理参数(例如,增大室压,加大射频功率,增高气体浓度和/或气体的反应成分,延长处理时间,提供磁场使等离子体限制在较小的区域,使用各种高密度的等离子体源如螺旋管源(helicon)或微波源等)以进一步调控处理成型表面。
在LAM研究公司的TCPTM9100高密度等离子体介电腐蚀室中检验才加工的CVD SiC气体散布板形式的非氧化物陶瓷件时,在处理过程中大量的颗粒污染了硅片。在标准的颗粒测试中发现,>20,000个颗粒污染了硅片,颗粒的平均尺寸在0.2-0.5μm的范围。对颗粒的俄谢分析表明,污染颗粒为SiC颗粒。此SiC颗粒看来是加工SiC气体散布板的残留损伤。
为了对本发明制备部件的颗粒污染性能与颗粒污染性能很差的部件进行比较,对CVD SiC部件和/或样品如下进行表面调控处理。
用CO2喷吹(一种用来清洗Al2O3的技术)CVD SiC材料来处理对比的CVD SiC样品和CVD SiC气体散布板(GDP),以确定是否可从表面清除0.2μm的SiC颗粒。对比样品用SEM进行分析而GDP在等离子体腐蚀器中作检验。图1a-d表示CO2清洗后机加工的CVDSiC样品表面的SEM像,可以看到样品表面上的SiC颗粒。在颗粒检验中,CO2清洗的GDP经过等离子体处理,使转移至腐蚀室中处理的硅片上的颗粒数减少,但颗粒数还在10,000以上。相信CO2清洗可以有效地清除疏松的SiC颗粒,但是在部件机加工期间形成的其他部分附着SiC颗粒在受到腐蚀室的等离子体侵蚀时才被除去,且一旦释放出来就可污染晶片。
试验的另一种技术是低压SiC喷丸处理接着进行CO2清洗。这种清洁处理的结果示于图2a-d中,这些图表示经过低压SiC喷丸处理接着进行CO2清洗的机加工CVD SiC样品表面上的SiC颗粒。
另一项技术为高温氧化接着进行化学腐蚀。在此处理过程中,SiC的高温氧化取决于热处理所用的氧分压。即,在氧成分较高时,观察到因按照下面的反应式形成SiO2而致钝化氧化物重量增加:2SiC(s)+3O2(g)=2SiO2(s)+2CO(g)。在氧成分较低时,因按照下式形成SiO气体,活性氧化引起重量的快速损失:SiC(s)+2SiO2(s)=3SiO2(g)+2CO(g)或SiC(s)+O2(s)=SiO2(g)+CO(g)。一般说来,钝化氧化速率作为时间和温度的函数由下式确定:(氧化物厚度)2=(抛物线速率常数)×(时间)。因此,SiO2厚度按抛物线速率增长,意味着随着厚度增大速率降低。这种氧化速率的降低是与氧通过形成的SiO2层的扩散有关的。此外,已确定,氧化速率随CVD SiC的晶向而改变。<111>面氧化速率较<111>面为快。由于典型的CVD SiC成分沿<111>方向是随机取向的,选取了这个方向的抛物线速率常数来评估CVD SiC成分的氧化热处理,如下表所示:
  温度(℃)   抛物线速率常数(nm<sup>2</sup>/分)
  1200   4.06×10
  1300   3.31×10<sup>2</sup>
  1400   9.43×10<sup>2</sup>
  1450   2.86×10<sup>3</sup>
  1500   4.97×10<sup>3</sup>
图3表示根据上表所列速率常数计算的理论氧化厚度。为使尺寸为0.2-0.5μm的SiC颗粒结合在氧化层中,氧化处理优选在温度和时间足以形成至少与SiC颗粒一样厚的氧化层的氧化条件下来进行。如果需要,水汽和其他氧源都可用来调节部件氧化炉气氛的氧分压。例如,可在1200-1700℃下的空气中进行氧化处理以提供至少0.2μm厚的氧化层。
图4a-d表示样品11C的SEM像,样品的取样尺寸为0.75″×0.75″×0.125″,在空气中1200℃下氧化处理12小时以达到氧化物厚度的目标(如,估计为0.15μm),接着用氢氟酸和去离子水(DI)腐蚀剂,例如,1HF∶1HNO3∶1DI化学剥离氧化物12小时。
图5a-d表示样品12C的SEM像,样品的取样尺寸为0.75″×0.75″×0.125″,在空气中1450℃下氧化处理12小时以达到氧化物厚度的目标(例如,估计为1.4μm),接着用1HF∶1HNO3∶1DI化学剥离氧化物12小时。与才加工的表面相比,处理过的表面,颗粒数基本上都降低了,且较高温度下处理的样品比较低温度下处理者表面较平滑。
每个晶片每一轮次(PWP)的标准颗粒测检验是用LAM 9100TM等离子体腐蚀反应室对(1)加工的CVD SiC GDP经标准的湿法清洗和(2)经氧化和剥离氧化物处理后同样的GDP来进行的。
在第一个PWP检验中用于测量颗粒的抛光硅片(颗粒硅片)被装入反应室,执行标准的处理方案(即,主腐蚀方案使用5mTorr室压,TCPTM功率1700W,底电极关闭,8sccm C4F8+20sccm C2F6+100sccm Ar处理60秒,接着用15-80mTorr室压,TCPTM功率1500-1750W,底电极关闭,和300-750sccm O2,以15秒的间隔在室内进行多步原位清洗),将晶片从处理室取出并放回颗粒计数器(例如,KLATencor Surfscan 6200),以得到颗粒分布图。颗粒分布图表明,湿法清洗的GDP显示了很差的颗粒污染性能,因为颗粒计数器被颗粒饱和了。
从反应室取出GDP,进行氧化处理(在空气中1450℃下12小时)以提供至少0.5μm厚的氧化层,然后用1HF∶1HNO3∶1DI溶液化学剥离氧化物。测量了调控处理前后气体散布板的临界尺寸和重量损失。这些测量的结果确定,高温氧化处理期间气体散布板保持尺寸稳定,氧化物剥离引起的重量损失(约为0.7%)表明有效地除去了附着的颗粒。
调控过的GDP再装入同一反应室中进行另一轮PWP检验。检验表明,颗粒计数降低了几个量级,即,颗粒分布图表明颗粒计数为123。然而,考虑到某些外来的颗粒源如检验前颗粒晶片的颗粒数(测得为22个颗粒)、装片卡贡献的颗粒(测得为25个颗粒)和晶片传输机构贡献的颗粒(未测量),主要归结为GDP的调节颗粒计数为76。
在用晶片进行生产时,希望颗粒计数降至20以下,优选地在10个颗粒以下。为改善GDP的颗粒污染性能,可将GDP暴露于反应器的等离子体环境中在反应室中进行侵蚀性等离子体调控处理。例如,增大等离子体密度(例如,增大TCP线圈功率1700-2300W)、提高室压(例如,200-500mTorr)及使流向待调控部件的气体化学性更活泼(例如,增大碳氟化合物流速、添加氧、和/或对溅射的SiC部件添加Ar),使处理方案的条件对GDP更具侵蚀性。
在实际的生产环境中,晶片是在等离子体腐蚀反应器(即,LAMTCPTM 9100)中用经过氧化和化学清洗的CVD SIC气体散布板进行处理的,发现颗粒污染可由才加工的气体散布板使颗粒计数饱和降低至将调控过的气体散布板立即装入反应室后的低于100个添加物。将散布板在腐蚀反应器的侵蚀性等离子体中处理1小时经25个调整片可使颗粒污染进一步降低至10个添加物以下。尤其是腐蚀反应器用升高压力、升高TCPTM功率和升高含氟气体流量(例如,室压200-500mTorr,TCPTM功率2000-2500W,C2F6流量40-60sccm,并改变线圈端电容使高强度等离子体区在GDP上移动)运行过。
虽然上面给出的实例是对于碳化硅的非氧化物陶瓷件的,本发明的表面处理也可用于其他陶瓷件如氧化铝、二氧化硅、石英、碳、硅、氮化物材料如氮化硅、氮化硼、氮化铝等、或碳化物材料如碳化硼、碳化钛等。
碳化硅陶瓷件可用许多不同的技术来制备,例如化学汽相沉积(CVD),烧结SiC粉末(例如,粉浆浇注或热压SiC粉末),烧结SiC粉末并渗入硅,烧结SiC粉末并敷以CVD SiC,用硅蒸汽转换石墨成SiC,转换石墨成SiC并渗入硅,转换石墨成SiC并敷以CVD SiC等。这样的材料的部件可按照本发明来调控处理以提供改善的颗粒污染性能。例如,这样的部件的机加工或烧结表面,经氧化处理使颗粒结合入氧化层后就可直接使用,对于这种情形,在部件装入半导体处理设备如真空室前不用剥离部件上的氧化层。作为选择,在部件用于半导体处理设备前也可剥离氧化层。
CVD SiC可在快速热处理(RTP)炉中用于晶片周围。这样的材料当加工得很薄时是半透明的使之难于用红外(IR)高温计测量温度。为解决这一问题,SiC可敷以薄层的高纯硅,但这样一种技术是有问题的,由于生长枝状硅,这或许是来自表面颗粒,部件的不合格率高。按照本发明用氧化和氧化物剥离处理机加工的SiC,能够减少表面的颗粒,因而提高了敷有硅的SiC产品的产量。
陶瓷件如碳化硅和氮化硅传输件可作为高温下的晶片支撑用来装片、处理和取片。在这样的支撑应用期间,为使晶片背面的划伤减至最少,可按照本发明处理陶瓷件以提供较平滑的晶片接触表面。例如,可按照本发明对部件进行氧化处理以提供平滑的氧化物表面层。此外,如希望非氧化物表面,可按照本发明剥离氧化层。
按照本发明处理的陶瓷件可有任何希望的结构如晶片通道插入件、室壁或衬套、衬底支撑、电极、喷淋头、气体散布板,或零件如缓冲板、传输件、聚焦环、边环、等离子体屏蔽板、窗口等。在等离子体环境中陶瓷件的优先材料是Si和SiC,因为这样的材料满足半导体处理设备的高纯度要求,而且Si或SiC调控处理表面的等离子体腐蚀产生可从室中抽除的气态Si或C成分而没有对衬底造成颗粒污染。SiC还表现出有极高热导的优点,可使这种材料的部件在衬底如硅片处理期间加热或冷却至所需的温度范围。
陶瓷件可被用于希望减少颗粒污染的任何等离子体反应室中。图6表示具有感应耦合等离子体源的单片真空处理室2的一个实例,其中处理气体由适当的装置(未示出)如气体散布环、气体散布板、注入喷嘴等供给处理室,室内部4的真空由适当的真空泵系统来维持。室中待处理的衬底可包括支撑在衬底支座8上的硅半导体片6。衬底支座8可包含静电卡盘和聚焦环10。真空泵可接至处理室壁端如底部的大排气口12。真空处理室可包含绝缘窗口14,气体散布板16,射频功率可经外部的射频天线供给真空室,例如在真空室壁端如顶部的绝缘窗口14外面的平面线圈18。然而,等离子体源可为任何其他类型的等离子体产生装置如ECR反应器、电容耦合平行板反应器、表面波反应器、磁控管反应器、螺旋管(helicon)反应器、螺旋谐振器等。等离子体源可装在真空室壁端部可拆卸的某种安装单元如环形安装法兰上。
真空室包括:衬套20;等离子体屏蔽板22,用于使等离子体限制在晶片6周围的空间,自衬套20下端向内扩展;以及,一个晶片通道插入件。衬套20可由任何适当的方式来支撑,如对于坚固的柱状衬套可使用弹性的可弯曲框架,包括内支框24和外支框26。为在衬底处理期间使衬套保持所需的温度,可在内支框24顶部配备加热器28。在工作时加热器28可有效地加热衬套20,而衬套20的散热可由控温件30来实现,它经内外支框来排除热量。也可使用其他类型的加热装置如嵌在衬套中的加热器或合适的辐射加热装置。
等离子体室衬套20可包含单块衬套的或多块的衬套如互锁的瓦片式陶瓷块。为提供等离子体的电接地,陶瓷块最好为导电材料如硅和碳。例如,陶瓷块可完全是CVD SiC或渗入Si的SiC敷以CVD SiC。这样的材料还有一个好处就是不含铝,因而降低了处理衬底的Al污染。SiC块可用弹性导电胶粘在铝背板上,导电胶的弹性可吸收因SiC与Al热膨胀系数的不同而引起的横向应力。每个陶瓷块与背板组件可直接或间接装在真空室壁上。例如,陶瓷块可有支架来支撑,支架包含内支架和外支架。衬套的温度控制可由电线供电的加热器和控温件来实现。
等离子体屏蔽板22可由陶瓷块的下边缘向内延伸,它可为导电陶瓷材料如渗入Si的SiC敷以CVD SiC,等离子体屏蔽板含有开口,开口要小使之足以限制等离子体但又要允许处理气体和处理产生的副产物被真空泵抽除。
如上述的GDP那样,图7所示的喷淋头电极组件是必须定期更换的消耗件。因为电极组件是与控温件相连的,为便于更换,电极40(例如,高纯硅)外缘的上表面可用焊剂或其他技术如弹性连接件与支环42(例如,石墨)连在一起。
图7所示的电极40为一平盘,由其中心至边缘厚度均匀,支环42的外法兰由夹环46夹在有水冷通道43的控温件44上。水经过进/出水接头43a在冷却通道内循环。等离子体限制环47环绕在电极40的外边。等离子体限制环47用螺栓固定在绝缘环48上,绝缘环48又依次用螺栓固定在绝缘罩48a上。限制环47的目的和功能是在反应器内引起压差和增加反应室与等离子体间的电阻,从而使等离子体限制在上、下电极之间。沿径向向内延伸的夹环46与支环42的外法兰接合。这样,电极40的暴露表面不会直接受到夹持压力。
处理气体由气源经控温件44中的中心孔供给电极40。然后气体经一个或多个纵向隔开的缓冲板52散开,并通过电极40中的散布孔(未示出)使处理气体均匀地散布在反应室54中。为增强电极40至控温件44的热导,可在控温件44的反面与支环42间的敞开空间中充入处理气体。此外,与绝缘环48或限制环47中的气体通道(未示出)相连的气体通道57可用来监视反应室54中的气压。为使处理气体保持在控温件44与支环42间的压力下,在支环42内表面与控温件44反面间设有第一O形密封圈58,在支环42上表面的外部与控温件44反面间还设有第二O形密封圈59。为保持真空室的真空环境,在控温件44与筒状件48b间和筒状件48b与绝缘罩48a间加有O形圈60、62。根据本发明,下缓冲板是由非陶瓷材料制成的,如碳、硅、碳化硅、氮化硅、碳化硼、氮化硼、碳化钛或氮化铝。
根据本发明的优选实施方式,下缓冲板可由高纯碳化硅制成,例如纯度至少为99.999%。出于成本的考虑,一种特别优选的碳化硅是由石墨转换成的非烧结型碳化硅,它是将石墨成型件在高温如1600℃下与硅蒸汽反应而将石墨转换成碳化硅的。石墨原料优选地为细颗粒、低孔隙高纯度者加工成所需尺寸。用硅蒸汽转换成碳化硅,体碳化硅可具有的多孔性在10-30%的范围。例如,约20%。如果需要,部件可填充硅和/或敷以CVD SiC层。
非氧化物陶瓷缓冲板可设计成放入式替换件来替换现用的铝缓冲板或作为任何气体散布系统的部件,在这种系统中希望减少可归之为特殊部件的污染。因为缓冲板要经受某些机加工,希望按照本发明调控处理缓冲板,例如,氧化表面并用化学腐蚀除去氧化层。此缓冲板可用作放入式替换件来替换
Figure C20051008187700171
Figure C20051008187700172
的铝缓冲板,这两种设备都是LAM研究公司制造的。
如上面讨论的GDP那样,本发明的陶瓷缓冲板降低了颗粒污染。即,由于下缓冲板直接设在喷淋头电极后面,通过喷淋头电极中的孔可直视等离子体。等离子体中产生的离子经喷淋头孔向缓冲板加速而引起缓冲板表面溅射。结果来自缓冲板机加工表面的颗粒可进入处理室并污染进行处理的晶片。这样的颗粒缺陷减低了晶片产量。
前面描述了本发明的原理、优选实施方式及工作模式。然而,此发明不应被认作限于所讨论的特定实施方式。因此,上述的实施方式应被认为是说明而非限制,且应理解,本领域的技术人员可改变这些实施方式而不超出下面的权利要求所确定的本发明的范围。

Claims (24)

1.一种陶瓷件,用于处理半导体衬底的真空处理室,该陶瓷件包括:
具有才机加工或才烧结的外表面的非氧化物陶瓷材料;和
在该非氧化物陶瓷材料的外表面上并形成陶瓷件的最外表面的氧化硅层,该氧化硅层内包含附着在外表面上的非氧化物陶瓷材料颗粒。
2.根据权利要求1的陶瓷件,该陶瓷件是气体散布板。
3.根据权利要求1的陶瓷件,该陶瓷件是电极。
4.根据权利要求1的陶瓷件,该陶瓷件是喷淋头电极组件的缓冲板。
5.根据权利要求1的陶瓷件,该陶瓷件是室衬套。
6.根据权利要求1的陶瓷件,该陶瓷件是等离子体屏蔽板。
7.根据权利要求1的陶瓷件,该陶瓷件是聚焦环或边环。
8.根据权利要求1的陶瓷件,该陶瓷件是窗口。
9.根据权利要求1的陶瓷件,其中所述氧化硅层的厚度大于所述颗粒的粒径。
10.根据权利要求1的陶瓷件,其中所述氧化硅通过使非氧化物陶瓷材料在空气中经受热处理从而在非氧化物陶瓷材料上形成氧化硅层而形成。
11.一种陶瓷件,用于处理半导体衬底的真空处理室,该陶瓷件包括:
具有才机加工或才烧结的外表面的硅或碳化硅;和
在该硅或碳化硅的外表面上并形成陶瓷件的最外表面的氧化硅层,该氧化层内包含附着在外表面上的硅或碳化硅颗粒。
12.根据权利要求11的陶瓷件,其中非氧化物陶瓷材料是CVDSiC、烧结SiC、涂敷有CVD SiC的烧结SiC、通过使用硅蒸汽转换石墨而形成SiC、或由硅填充的多孔碳化硅。
13.根据权利要求11的陶瓷件,该陶瓷件是气体散布板。
14.根据权利要求11的陶瓷件,该响瓷件是电极。
15.根据权利要求11的陶瓷件,该陶瓷件是喷淋头电极组件的缓冲板。
16.根据权利要求11的陶瓷件,该陶瓷件是室衬套。
17.根据权利要求11的陶瓷件,该陶瓷件是等离子体屏蔽板。
18.根据权利要木11的陶瓷件,该陶瓷件是聚焦环或边环。
19.根据权利要求11的陶瓷件,该陶瓷件是窗口。
20.根据权利要求11的陶瓷件,其中所述氧化硅层的厚度大于所述颗粒的粒径。
21.一种喷淋头电极,用于处理半导体衬底的真空处理室,该喷淋头电极包括:
具有才机加工或才烧结的外表面的硅或碳化硅;和
在该硅或碳化硅的外表面上并形成喷淋头电极的最外表面的氧化硅层,该氧化硅层内包含附着在外表面上的硅或碳化硅颗粒。
22.根据权利要求21的喷淋头电极,该喷淋头电极由通过使用硅蒸汽转换石墨而形成的SiC制成。
23.根据权利要求21的喷淋头电极,该喷淋头电极由硅制成
24.根据权利要求20的喷淋头电极,其中所述氧化硅层的厚度大于所述颗粒的粒径。
CNB2005100818779A 2000-06-30 2001-06-25 用于半导体处理设备的陶瓷件 Expired - Lifetime CN100545304C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US09/607,922 2000-06-30
US09/607,922 US6890861B1 (en) 2000-06-30 2000-06-30 Semiconductor processing equipment having improved particle performance

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB018120830A Division CN1230868C (zh) 2000-06-30 2001-06-25 具有改善的颗粒污染性能的半导体处理设备

Publications (2)

Publication Number Publication Date
CN1702193A CN1702193A (zh) 2005-11-30
CN100545304C true CN100545304C (zh) 2009-09-30

Family

ID=24434265

Family Applications (2)

Application Number Title Priority Date Filing Date
CNB2005100818779A Expired - Lifetime CN100545304C (zh) 2000-06-30 2001-06-25 用于半导体处理设备的陶瓷件
CNB018120830A Expired - Lifetime CN1230868C (zh) 2000-06-30 2001-06-25 具有改善的颗粒污染性能的半导体处理设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB018120830A Expired - Lifetime CN1230868C (zh) 2000-06-30 2001-06-25 具有改善的颗粒污染性能的半导体处理设备

Country Status (7)

Country Link
US (2) US6890861B1 (zh)
EP (1) EP1295317A2 (zh)
KR (2) KR100834324B1 (zh)
CN (2) CN100545304C (zh)
AU (1) AU2002216776A1 (zh)
TW (1) TW557473B (zh)
WO (1) WO2002003427A2 (zh)

Families Citing this family (109)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100454122B1 (ko) * 2002-04-09 2004-10-26 (주) 디에스테크노 CVD 반응 장치용 다공 SiC 가이드 링의 제조방법
AU2003220446A1 (en) * 2002-04-17 2003-11-03 Lam Research Corporation Silicon parts for plasma reaction chambers
US6846726B2 (en) 2002-04-17 2005-01-25 Lam Research Corporation Silicon parts having reduced metallic impurity concentration for plasma reaction chambers
US20030233977A1 (en) * 2002-06-20 2003-12-25 Yeshwanth Narendar Method for forming semiconductor processing components
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
US20060226003A1 (en) * 2003-01-22 2006-10-12 John Mize Apparatus and methods for ionized deposition of a film or thin layer
JP4472372B2 (ja) 2003-02-03 2010-06-02 株式会社オクテック プラズマ処理装置及びプラズマ処理装置用の電極板
US6825123B2 (en) * 2003-04-15 2004-11-30 Saint-Goban Ceramics & Plastics, Inc. Method for treating semiconductor processing components and components formed thereby
US7267741B2 (en) * 2003-11-14 2007-09-11 Lam Research Corporation Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US7501370B2 (en) * 2004-01-06 2009-03-10 Saint-Gobain Ceramics & Plastics, Inc. High purity silicon carbide wafer boats
US20050205211A1 (en) * 2004-03-22 2005-09-22 Vikram Singh Plasma immersion ion implantion apparatus and method
JP4632290B2 (ja) * 2004-03-23 2011-02-16 日本碍子株式会社 窒化アルミニウム製サセプターの洗浄方法
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
JP2006140238A (ja) * 2004-11-10 2006-06-01 Tokyo Electron Ltd 基板処理装置用部品及びその製造方法
US8058186B2 (en) * 2004-11-10 2011-11-15 Tokyo Electron Limited Components for substrate processing apparatus and manufacturing method thereof
US9659758B2 (en) * 2005-03-22 2017-05-23 Honeywell International Inc. Coils utilized in vapor deposition applications and methods of production
US20060278520A1 (en) * 2005-06-13 2006-12-14 Lee Eal H Use of DC magnetron sputtering systems
US7511287B2 (en) * 2005-09-21 2009-03-31 Axcelis Technologies, Inc. Systems and methods that mitigate contamination and modify surface characteristics during ion implantation processes through the introduction of gases
US20070079936A1 (en) * 2005-09-29 2007-04-12 Applied Materials, Inc. Bonded multi-layer RF window
EP1772901B1 (en) * 2005-10-07 2012-07-25 Rohm and Haas Electronic Materials, L.L.C. Wafer holding article and method for semiconductor processing
JP5065660B2 (ja) 2005-12-02 2012-11-07 ローム・アンド・ハース・エレクトロニック・マテリアルズ,エル.エル.シー. 半導体処理
US8057603B2 (en) * 2006-02-13 2011-11-15 Tokyo Electron Limited Method of cleaning substrate processing chamber, storage medium, and substrate processing chamber
US7737035B1 (en) * 2006-03-31 2010-06-15 Novellus Systems, Inc. Dual seal deposition process chamber and process
JP2007305890A (ja) * 2006-05-15 2007-11-22 Elpida Memory Inc 半導体製造装置
JP2008047869A (ja) * 2006-06-13 2008-02-28 Hokuriku Seikei Kogyo Kk シャワープレート及びその製造方法、並びにそのシャワープレートを用いたプラズマ処理装置、プラズマ処理方法及び電子装置の製造方法
US7514125B2 (en) * 2006-06-23 2009-04-07 Applied Materials, Inc. Methods to improve the in-film defectivity of PECVD amorphous carbon films
US7875824B2 (en) * 2006-10-16 2011-01-25 Lam Research Corporation Quartz guard ring centering features
US7854820B2 (en) * 2006-10-16 2010-12-21 Lam Research Corporation Upper electrode backing member with particle reducing features
US7482550B2 (en) * 2006-10-16 2009-01-27 Lam Research Corporation Quartz guard ring
JP5010234B2 (ja) 2006-10-23 2012-08-29 北陸成型工業株式会社 ガス放出孔部材を一体焼結したシャワープレートおよびその製造方法
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
JP5008957B2 (ja) * 2006-11-30 2012-08-22 東京エレクトロン株式会社 シリコン窒化膜の形成方法、形成装置、形成装置の処理方法及びプログラム
US7993457B1 (en) 2007-01-23 2011-08-09 Novellus Systems, Inc. Deposition sub-chamber with variable flow
CN100577866C (zh) * 2007-02-27 2010-01-06 中微半导体设备(上海)有限公司 应用于等离子体反应室中的气体喷头组件、其制造方法及其翻新再利用的方法
US8069817B2 (en) 2007-03-30 2011-12-06 Lam Research Corporation Showerhead electrodes and showerhead electrode assemblies having low-particle performance for semiconductor material processing apparatuses
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
US20080311294A1 (en) * 2007-06-15 2008-12-18 Hideki Ito Vapor-phase growth apparatus and vapor-phase growth method
US8034410B2 (en) 2007-07-17 2011-10-11 Asm International N.V. Protective inserts to line holes in parts for semiconductor process equipment
US7807222B2 (en) * 2007-09-17 2010-10-05 Asm International N.V. Semiconductor processing parts having apertures with deposited coatings and methods for forming the same
US8673080B2 (en) 2007-10-16 2014-03-18 Novellus Systems, Inc. Temperature controlled showerhead
KR101645043B1 (ko) * 2007-10-31 2016-08-02 램 리써치 코포레이션 플라즈마 프로세싱 챔버, 플라즈마 프로세싱 콤포넌트 및 플라즈마 식각 챔버 프로세싱 콤포넌트 제조 방법
US20090142247A1 (en) * 2007-12-03 2009-06-04 Applied Materials, Inc. Chemical treatment to reduce machining-induced sub-surface damage in semiconductor processing components comprising silicon carbide
WO2009078923A2 (en) * 2007-12-19 2009-06-25 Lam Research Corporation Film adhesive for semiconductor vacuum processing apparatus
US8418649B2 (en) * 2007-12-19 2013-04-16 Lam Research Corporation Composite showerhead electrode assembly for a plasma processing apparatus
US8058174B2 (en) * 2007-12-20 2011-11-15 Coorstek, Inc. Method for treating semiconductor processing components and components formed thereby
US20090194414A1 (en) * 2008-01-31 2009-08-06 Nolander Ira G Modified sputtering target and deposition components, methods of production and uses thereof
CN101521143B (zh) * 2008-02-25 2012-12-26 北京北方微电子基地设备工艺研究中心有限责任公司 一种用于半导体工艺设备的衬套机构及其制造方法
FR2930561B1 (fr) * 2008-04-28 2011-01-14 Altatech Semiconductor Dispositif et procede de traitement chimique en phase vapeur.
JP2011524634A (ja) 2008-06-09 2011-09-01 ポコ グラファイト、インコーポレイテッド サブアパーチャ反応性原子エッチングを用いて構成部品を前処理することによって、半導体製造ユニットにおける生産量を高めると共にダウンタイムを減らす方法
CN102405511B (zh) * 2009-04-20 2014-06-11 应用材料公司 使用处理腔室壁上的硅涂层增强清除残余的氟自由基的方法
JP5415853B2 (ja) 2009-07-10 2014-02-12 東京エレクトロン株式会社 表面処理方法
TW201129719A (en) * 2009-10-20 2011-09-01 Saint Gobain Ceramics Microelectronic processing component having corrosion-resistant layer, microelectronic workpiece processing apparatus incorporating same, and method of forming an article having the corrosion-resistant layer
JP2011225949A (ja) * 2010-04-21 2011-11-10 Ibiden Co Ltd 炭素部品および炭素部品の製造方法
US8992689B2 (en) 2011-03-01 2015-03-31 Applied Materials, Inc. Method for removing halogen-containing residues from substrate
US8845816B2 (en) 2011-03-01 2014-09-30 Applied Materials, Inc. Method extending the service interval of a gas distribution plate
JP6054314B2 (ja) 2011-03-01 2016-12-27 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 基板搬送及びラジカル閉じ込めのための方法及び装置
US11171008B2 (en) 2011-03-01 2021-11-09 Applied Materials, Inc. Abatement and strip process chamber in a dual load lock configuration
WO2012118897A2 (en) 2011-03-01 2012-09-07 Applied Materials, Inc. Abatement and strip process chamber in a dual loadlock configuration
CN106884157B (zh) 2011-03-04 2019-06-21 诺发系统公司 混合型陶瓷喷淋头
CN102994977B (zh) * 2011-09-08 2015-01-14 北京北方微电子基地设备工艺研究中心有限责任公司 腔室装置和具有该腔室装置的基片处理设备
US10224182B2 (en) 2011-10-17 2019-03-05 Novellus Systems, Inc. Mechanical suppression of parasitic plasma in substrate processing chamber
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
CN104137248B (zh) 2012-02-29 2017-03-22 应用材料公司 配置中的除污及剥除处理腔室
US20140097752A1 (en) * 2012-10-09 2014-04-10 Varian Semiconductor Equipment Associates, Inc. Inductively Coupled Plasma ION Source Chamber with Dopant Material Shield
US9449795B2 (en) * 2013-02-28 2016-09-20 Novellus Systems, Inc. Ceramic showerhead with embedded RF electrode for capacitively coupled plasma reactor
US9353439B2 (en) 2013-04-05 2016-05-31 Lam Research Corporation Cascade design showerhead for transient uniformity
US9123661B2 (en) 2013-08-07 2015-09-01 Lam Research Corporation Silicon containing confinement ring for plasma processing apparatus and method of forming thereof
US20150062772A1 (en) * 2013-08-27 2015-03-05 Varian Semiconductor Equipment Associates, Inc Barrier Layer For Electrostatic Chucks
US9837250B2 (en) * 2013-08-30 2017-12-05 Applied Materials, Inc. Hot wall reactor with cooled vacuum containment
CN103681246B (zh) * 2013-12-30 2017-10-17 国家电网公司 一种SiC材料清洗方法
WO2015134135A1 (en) * 2014-03-05 2015-09-11 Applied Materials, Inc. Critical chamber component surface improvement to reduce chamber particles
US10741365B2 (en) * 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN105097423B (zh) * 2014-05-12 2018-09-18 中芯国际集成电路制造(上海)有限公司 等离子体反应器及清除等离子体反应腔室颗粒污染的方法
KR101559112B1 (ko) * 2014-05-13 2015-10-13 주식회사 펨빅스 공정부품 표면의 세라믹 코팅막 및 이의 형성방법
DE102014008031B4 (de) * 2014-05-28 2020-06-25 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit einer Keramik-Elektrode und Verfahren zur Herstellung einer solchen Haltevorrichtung
DE102014008029B4 (de) 2014-05-28 2023-05-17 Asml Netherlands B.V. Elektrostatische Haltevorrichtung mit einer Elektroden-Trägerscheibe und Verfahren zur Herstellung der Haltevorrichtung
DE102014008030A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co Verfahren zur Herstellung einer elektrostatischen Haltevorrichtung
DE102014007903A1 (de) 2014-05-28 2015-12-03 Berliner Glas Kgaa Herbert Kubatz Gmbh & Co. Elektrostatische Haltevorrichtung mit Noppen-Elektroden und Verfahren zu deren Herstellung
US9534289B2 (en) * 2014-06-18 2017-01-03 Applied Materials, Inc. Plasma process chambers employing distribution grids having focusing surfaces thereon enabling angled fluxes to reach a substrate, and related methods
US10249511B2 (en) * 2014-06-27 2019-04-02 Lam Research Corporation Ceramic showerhead including central gas injector for tunable convective-diffusive gas flow in semiconductor substrate processing apparatus
US20160056059A1 (en) * 2014-08-22 2016-02-25 Applied Materials, Inc. Component for semiconductor process chamber having surface treatment to reduce particle emission
CN105839074A (zh) * 2015-02-03 2016-08-10 Lg电子株式会社 用于太阳能电池的金属有机化学气相沉积设备
US10049862B2 (en) * 2015-04-17 2018-08-14 Lam Research Corporation Chamber with vertical support stem for symmetric conductance and RF delivery
JP2016207788A (ja) * 2015-04-20 2016-12-08 東京エレクトロン株式会社 上部電極の表面処理方法、プラズマ処理装置及び上部電極
US10378107B2 (en) 2015-05-22 2019-08-13 Lam Research Corporation Low volume showerhead with faceplate holes for improved flow uniformity
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
CN107393845A (zh) * 2016-05-17 2017-11-24 北大方正集团有限公司 一种碳化硅晶体晶圆表面析出碳的去除系统及方法
US9947558B2 (en) * 2016-08-12 2018-04-17 Lam Research Corporation Method for conditioning silicon part
CN106206385A (zh) * 2016-09-27 2016-12-07 上海华力微电子有限公司 一种降低腔体内金属污染含量的多晶硅刻蚀腔及方法
KR101941232B1 (ko) * 2016-12-20 2019-01-22 주식회사 티씨케이 반도체 제조용 부품, 복합체 코팅층을 포함하는 반도체 제조용 부품 및 그 제조방법
USD852442S1 (en) 2017-04-25 2019-06-25 Samsung Electronics Co., Ltd. Pulsator for washing machine
USD878689S1 (en) 2017-04-25 2020-03-17 Samsung Electronics Co., Ltd. Washing machine
US11183373B2 (en) 2017-10-11 2021-11-23 Honeywell International Inc. Multi-patterned sputter traps and methods of making
US10760158B2 (en) * 2017-12-15 2020-09-01 Lam Research Corporation Ex situ coating of chamber components for semiconductor processing
JP7228600B2 (ja) * 2018-05-04 2023-02-24 アプライド マテリアルズ インコーポレイテッド 処理チャンバのためのナノ粒子測定
DE102018124576A1 (de) * 2018-10-05 2020-04-09 Osram Opto Semiconductors Gmbh Verfahren zur herstellung eines halbleiterbauelements mit durchführung einer plasmabehandlung und halbleiterbauelement
KR20200040537A (ko) * 2018-10-10 2020-04-20 엘지디스플레이 주식회사 측향식 진공증착용 소스, 소스 어셈블리 및 이를 이용한 측향식 진공증착 장치
KR102218433B1 (ko) * 2018-11-29 2021-02-22 (주)디에스테크노 식각 특성이 향상된 SiC가 사용된 샤워 헤드가 구비된 반도체 제조 장비
KR20220031064A (ko) * 2019-07-09 2022-03-11 엔테그리스, 아이엔씨. 다공성 탄소질 진공 챔버 라이너
KR102200315B1 (ko) * 2019-07-29 2021-01-08 세메스 주식회사 기판 지지 장치 및 이를 포함하는 기판 처리 장치
CN113097041B (zh) * 2019-12-23 2023-10-31 中微半导体设备(上海)股份有限公司 防止产生污染物的零部件处理方法及等离子体处理装置
US11380524B2 (en) 2020-03-19 2022-07-05 Applied Materials, Inc. Low resistance confinement liner for use in plasma chamber
USD979524S1 (en) 2020-03-19 2023-02-28 Applied Materials, Inc. Confinement liner for a substrate processing chamber
USD943539S1 (en) 2020-03-19 2022-02-15 Applied Materials, Inc. Confinement plate for a substrate processing chamber
CN111634916B (zh) * 2020-06-24 2022-05-24 吴剑荣 一种基于硅快速合成技术的单晶硅层高效回收工艺
TWI746222B (zh) 2020-10-21 2021-11-11 財團法人工業技術研究院 鍍膜設備

Family Cites Families (67)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3951587A (en) 1974-12-06 1976-04-20 Norton Company Silicon carbide diffusion furnace components
JPS6024290B2 (ja) 1977-06-24 1985-06-12 川崎重工業株式会社 2サイクルエンジンの吹返し防止装置
US4401689A (en) 1980-01-31 1983-08-30 Rca Corporation Radiation heated reactor process for chemical vapor deposition on substrates
US4340462A (en) 1981-02-13 1982-07-20 Lam Research Corporation Adjustable electrode plasma processing chamber
US4518349A (en) 1983-12-01 1985-05-21 Better Semiconductor Processes (Bsp) Cantilevered boat-free semiconductor wafer handling system
JPS60138915A (ja) 1983-12-26 1985-07-23 Toshiba Ceramics Co Ltd 炭化珪素質炉芯管
JPS60200519A (ja) 1984-03-26 1985-10-11 Hitachi Ltd 発熱体
JPS61284301A (ja) 1985-06-07 1986-12-15 Toshiba Corp 組立工具
JPS6335452A (ja) 1986-07-31 1988-02-16 東芝セラミツクス株式会社 半導体拡散炉用構成部材の製造方法
JP2550037B2 (ja) 1986-12-01 1996-10-30 株式会社日立製作所 ドライエッチング方法
JP2532227B2 (ja) 1987-01-29 1996-09-11 電気興業株式会社 炭素皮膜の気相合成装置
US4761134B1 (en) 1987-03-30 1993-11-16 Silicon carbide diffusion furnace components with an impervious coating thereon
JPH0741153Y2 (ja) 1987-10-26 1995-09-20 東京応化工業株式会社 試料処理用電極
US4999228A (en) 1988-05-06 1991-03-12 Shin-Etsu Chemical Co., Ltd. Silicon carbide diffusion tube for semi-conductor
US5262029A (en) 1988-05-23 1993-11-16 Lam Research Method and system for clamping semiconductor wafers
US4883703A (en) * 1988-08-29 1989-11-28 Riccio Louis M Method of adhering thermal spray to substrate and product formed thereby
US5182059A (en) 1989-01-17 1993-01-26 Ngk Insulators, Ltd. Process for producing high density SiC sintered bodies
US5252892A (en) 1989-02-16 1993-10-12 Tokyo Electron Limited Plasma processing apparatus
CA2065399C (en) 1989-08-09 2001-07-31 Stephen George Szirmai Producing electrosuspensions
US4948458A (en) 1989-08-14 1990-08-14 Lam Research Corporation Method and apparatus for producing magnetically-coupled planar plasma
NZ235844A (en) * 1989-10-26 1993-02-25 Western Mining Corp Liquid phase sintering of silicon carbide/alumina source mixtures in the presence of a magnesium source
JP2597018B2 (ja) 1989-12-26 1997-04-02 日本原子力研究所 絶縁用部材及びそれを用いた電気部品
DE4002327A1 (de) 1990-01-26 1991-08-01 Wacker Chemitronic Verfahren zur nasschemischen behandlung von halbleiteroberflaechen und loesung zu seiner durchfuehrung
US5391275A (en) 1990-03-02 1995-02-21 Applied Materials, Inc. Method for preparing a shield to reduce particles in a physical vapor deposition chamber
US5292399A (en) 1990-04-19 1994-03-08 Applied Materials, Inc. Plasma etching apparatus with conductive means for inhibiting arcing
JP2581268B2 (ja) 1990-05-22 1997-02-12 日本電気株式会社 半導体基板の処理方法
US5074456A (en) 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5200232A (en) 1990-12-11 1993-04-06 Lam Research Corporation Reaction chamber design and method to minimize particle generation in chemical vapor deposition reactors
US5296288A (en) * 1992-04-09 1994-03-22 The United States Of America As Represented By The Administrator Of The National Aeronautics And Space Administration Protective coating for ceramic materials
FR2695410B1 (fr) 1992-09-04 1994-11-18 France Telecom Procédé de prétraitement d'un substrat pour le dépôt sélectif de tungstène.
US5800618A (en) * 1992-11-12 1998-09-01 Ngk Insulators, Ltd. Plasma-generating electrode device, an electrode-embedded article, and a method of manufacturing thereof
US5460684A (en) 1992-12-04 1995-10-24 Tokyo Electron Limited Stage having electrostatic chuck and plasma processing apparatus using same
JP3250628B2 (ja) 1992-12-17 2002-01-28 東芝セラミックス株式会社 縦型半導体熱処理用治具
US5578129A (en) 1993-03-17 1996-11-26 Tokyo Electron Limited Gas supplying head and load lock chamber of semiconductor processing system
US5482673A (en) * 1994-05-27 1996-01-09 Martin Marietta Energy Systems, Inc. Method for preparing ceramic composite
JP3361385B2 (ja) 1994-06-30 2003-01-07 東芝機械株式会社 ヒータ
US5538230A (en) 1994-08-08 1996-07-23 Sibley; Thomas Silicon carbide carrier for wafer processing
US5516730A (en) 1994-08-26 1996-05-14 Memc Electronic Materials, Inc. Pre-thermal treatment cleaning process of wafers
JP2914555B2 (ja) 1994-08-30 1999-07-05 信越半導体株式会社 半導体シリコンウェーハの洗浄方法
AU3724095A (en) 1994-09-26 1996-04-19 E.R. Squibb & Sons, Inc. Stainless steel acid treatment
JP3778299B2 (ja) 1995-02-07 2006-05-24 東京エレクトロン株式会社 プラズマエッチング方法
JPH08264552A (ja) 1995-03-24 1996-10-11 Toshiba Ceramics Co Ltd シリコンウエーハの製造方法
US5569356A (en) 1995-05-19 1996-10-29 Lam Research Corporation Electrode clamping assembly and method for assembly and use thereof
US5838529A (en) 1995-12-22 1998-11-17 Lam Research Corporation Low voltage electrostatic clamp for substrates such as dielectric substrates
US6159297A (en) 1996-04-25 2000-12-12 Applied Materials, Inc. Semiconductor process chamber and processing method
JP3360265B2 (ja) 1996-04-26 2002-12-24 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US5863376A (en) 1996-06-05 1999-01-26 Lam Research Corporation Temperature controlling method and apparatus for a plasma processing chamber
US5820723A (en) 1996-06-05 1998-10-13 Lam Research Corporation Universal vacuum chamber including equipment modules such as a plasma generating source, vacuum pumping arrangement and/or cantilevered substrate support
JP3524679B2 (ja) 1996-06-21 2004-05-10 東芝セラミックス株式会社 高純度CVD−SiC質の半導体熱処理用部材及びその製造方法
US5904778A (en) 1996-07-26 1999-05-18 Applied Materials, Inc. Silicon carbide composite article particularly useful for plasma reactors
US6120640A (en) * 1996-12-19 2000-09-19 Applied Materials, Inc. Boron carbide parts and coatings in a plasma reactor
JPH10209106A (ja) 1997-01-20 1998-08-07 Toshiba Corp 半導体基板の洗浄方法および洗浄装置
US5843239A (en) 1997-03-03 1998-12-01 Applied Materials, Inc. Two-step process for cleaning a substrate processing chamber
US5861086A (en) 1997-03-10 1999-01-19 Applied Materials, Inc. Method and apparatus for sputter etch conditioning a ceramic body
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US5892236A (en) 1997-07-09 1999-04-06 Bridgestone Corporation Part for ion implantation device
US6268014B1 (en) * 1997-10-02 2001-07-31 Chris Eberspacher Method for forming solar cell materials from particulars
US6379575B1 (en) 1997-10-21 2002-04-30 Applied Materials, Inc. Treatment of etching chambers using activated cleaning gas
JP3201322B2 (ja) 1997-12-09 2001-08-20 日本電気株式会社 電子メール課金システム
US5837662A (en) 1997-12-12 1998-11-17 Memc Electronic Materials, Inc. Post-lapping cleaning process for silicon wafers
WO1999050886A1 (en) 1998-03-31 1999-10-07 Lam Research Corporation Contamination controlling method and plasma processing chamber
US6267121B1 (en) * 1999-02-11 2001-07-31 Taiwan Semiconductor Manufacturing Company Process to season and determine condition of a high density plasma etcher
JP3207833B2 (ja) * 1999-10-15 2001-09-10 三菱重工業株式会社 使用済み燃料貯蔵部材の製造方法および混合粉末
US6506254B1 (en) 2000-06-30 2003-01-14 Lam Research Corporation Semiconductor processing equipment having improved particle performance
JP3207841B1 (ja) * 2000-07-12 2001-09-10 三菱重工業株式会社 アルミニウム複合粉末およびその製造方法、アルミニウム複合材料、使用済み燃料貯蔵部材およびその製造方法
US6706319B2 (en) * 2001-12-05 2004-03-16 Siemens Westinghouse Power Corporation Mixed powder deposition of components for wear, erosion and abrasion resistant applications
US6814813B2 (en) * 2002-04-24 2004-11-09 Micron Technology, Inc. Chemical vapor deposition apparatus

Also Published As

Publication number Publication date
KR100807138B1 (ko) 2008-02-27
CN1440563A (zh) 2003-09-03
US7802539B2 (en) 2010-09-28
TW557473B (en) 2003-10-11
US20050181617A1 (en) 2005-08-18
AU2002216776A1 (en) 2002-01-14
EP1295317A2 (en) 2003-03-26
KR100834324B1 (ko) 2008-06-02
KR20030010760A (ko) 2003-02-05
CN1702193A (zh) 2005-11-30
WO2002003427A3 (en) 2002-04-11
CN1230868C (zh) 2005-12-07
KR20060081724A (ko) 2006-07-13
US6890861B1 (en) 2005-05-10
WO2002003427A2 (en) 2002-01-10

Similar Documents

Publication Publication Date Title
CN100545304C (zh) 用于半导体处理设备的陶瓷件
US6506254B1 (en) Semiconductor processing equipment having improved particle performance
US8293335B2 (en) Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
US20080023029A1 (en) Silicon carbide components of semiconductor substrate processing apparatuses treated to remove free-carbon
JP2014042060A (ja) 基板を処理する方法及び装置及びそれらのためのセラミック組成物
JP4772507B2 (ja) 表面に酸化物層を有する非酸化物セラミックス、その製造方法およびその用途
KR20220002943A (ko) 에칭 적용을 위한 제어된 다공성 산화이트륨
JP2008208000A (ja) 耐食性部材およびこれを用いたガスノズル
JP4623794B2 (ja) アルミナ質耐食部材及びプラズマ装置
JP2006222240A (ja) プラズマ処理装置
JP6861235B2 (ja) プラズマ処理装置用の部材、及び当該部材を備えるプラズマ処理装置
JP2000143348A (ja) 窒化アルミニウム焼結体及びその製造方法並びにこれを用いた半導体製造装置用部材
JP4021325B2 (ja) プラズマ処理装置用部品の製造方法
JP2003146755A (ja) 耐プラズマ性部材、その製造方法、及び半導体製造装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20090930