CN100546023C - 利用抗腐蚀硼和磷材料的电子结构及其形成方法 - Google Patents

利用抗腐蚀硼和磷材料的电子结构及其形成方法 Download PDF

Info

Publication number
CN100546023C
CN100546023C CNB2007101125589A CN200710112558A CN100546023C CN 100546023 C CN100546023 C CN 100546023C CN B2007101125589 A CNB2007101125589 A CN B2007101125589A CN 200710112558 A CN200710112558 A CN 200710112558A CN 100546023 C CN100546023 C CN 100546023C
Authority
CN
China
Prior art keywords
medium
boryl
compact
electronic structure
phosphorus
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2007101125589A
Other languages
English (en)
Other versions
CN101093825A (zh
Inventor
S·M·盖茨
R·D·米勒
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101093825A publication Critical patent/CN101093825A/zh
Application granted granted Critical
Publication of CN100546023C publication Critical patent/CN100546023C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明提供了致密硼基或磷基介质材料。具体地,本发明提供包括硼和碳,氮和氢中的至少一种的致密硼基介质材料和包括磷和氮的致密磷基介质材料。本发明还提供在ULSI后段制程(BEOL)互连结构中,包括致密硼基或磷基介质作为蚀刻停止,介质Cu覆盖材料,CMP停止层,和/或反应离子蚀刻掩模的电子结构。在本发明中还描述了形成发明的硼基或磷基介质的方法以及包括其的电子结构。

Description

利用抗腐蚀硼和磷材料的电子结构及其形成方法
技术领域
本发明涉及由硼或磷结合具有高热和化学稳定性的其它元素构成的介质材料,以及用于制造这些材料的膜的方法和包括这样的膜的电子器件。更具体地说,本发明涉及致密硼合金或致密磷合金介质材料,用于在超大规模集成电路(ULSI)后段制程(BEOL)互连结构中用作蚀刻停止,覆盖材料和/或硬掩模/抛光停止。提供了包括这些材料的电子结构并且还描述了用于制造这样的膜和结构的方法。
背景技术
近几年,ULSI电路中利用的电子器件的尺寸持续缩小,导致BEOL金属化的电阻增加以及层内和层间介质(ILD)的电容增加。此结合效应增加了ULSI电子器件中的信号延迟。为了提高未来ULSI电路的开关性能,需要低介电常数(k)绝缘体,特别是那些具有的介电常数k明显低于硅氧化物的材料以减少电容。
近几年,含Si,C,O和H的聚合物如甲基硅氧烷,甲基倍半硅氧烷以及其它有机物和无机聚合物被典型地用于ULSI器件。例如,N.Hacker等人在Mat.Res.Soc.Symp.Proc.,Vol.476(1997)p25中出版的文献“Properties of new low dielectric constant spin-on silicon oxide baseddielectrics”中描述了表现出满足热稳定性要求的材料,虽然当通过旋涂技术制备膜时,当达到在互连结构中集成需要的厚度时,这些材料中的一些容易导致裂纹。
在集成电路互连结构中通常使用制成致密或多孔形式的Si,C,O,H合金(SiCOH)介质。例如,美国专利号No.6,147,009、6,312,793和6,479,110描述了利用等离子体增强化学气相沉积(PECVD)工艺制备的SiCOH材料。还可以通过技术上已公知的旋涂和其它PECVD方法沉积SiCOH材料。优选但不必总是,这样的SiCOH材料用作级间或层内介质(ILD),其中镶嵌一个或多个导电部分。
当集成SiCOH材料时,需要新的介质材料在用以蚀刻SiCOH材料的反应离子蚀刻工艺中具有低蚀刻速率。在这些具有越来越小的尺寸的BEOL互连结构的形成中遇到的显著问题是,包括Si,C,O和H的ILD层与底层之间的蚀刻选择性较差。惯例,ILD下的层是掩埋蚀刻停止或是由Si,N,C和H组成的Cu覆层。Cu覆层作为介质阻挡层或蚀刻停止在技术上也已公知。注意,这些蚀刻停止和Cu覆层典型地包括10-30%Si,并且在还用于蚀刻Si,C,O,H介质的氟基化学试剂中被蚀刻。
在多孔SiCOH介质中形成的现有技术BEOL互连结构具有的另一个问题是:在多孔SiCOH介质顶上使用用于化学机械抛光(CMP)的停止层,但是在现有技术中CMP停止层具有与多孔SiCOH介质类似或同样的成分。在一些集成方案中,期望CMP停止层具有如下性质(i)在氟基蚀刻工艺中很低的蚀刻速率(在约1-10
Figure C20071011255800051
/秒,更优选1
Figure C20071011255800052
/秒或更小的数量级)(ii)热和化学稳定,(iii)抗H2O这样以便水基CMP浆不通过应力腐蚀破裂破坏材料,以及(iV)在SiCOH和多孔SiCOH介质的镶嵌集成期间用于除去金属的CMP工艺中的低除去速率。“热或化学稳定”指当在约400℃的温度下处理时,介质不会降解或经受任何成分变化。“低除去速率”指CMP除去速率小于100nm/分,并且优选10-20nm/分。低蚀刻速率和低CMP速率的要求,产生了对具有与SiCOH的化学成分很不同的热和化学稳定的材料的需求。
从上述观点看,有对提供成分不同于SiCOH成分,具有高热和化学稳定性的低介电常数(k小于4.0,优选小于3.0)复合合金材料的需求。非SiCOH成分应该具有在约10-30ppm/℃或更小的量级上的低热膨胀系数(CTE)和在氟基蚀刻工艺中很低的蚀刻速率(在约小于10
Figure C20071011255800053
/秒量级)。还需要使用常规CVD工具形成不包括SiCOH介质成分的这样的复合合金材料的方法。
在Chen等人的美国专利申请号No.2004/0130031中,描述了使用碳硼烷前体制备新的多孔介质膜的方法(通过CVD)。使用碳硼烷分子或碳硼烷的化学衍生物并且以完整的笼结构结合成适合用于集成电路的互连结构中的多孔,低密度介质材料。可以通过使用碳硼烷的化学气相沉积形成机械鲁棒、低介电常数膜。碳硼烷笼形成小孔或空隙,并且可以是含Si和O膜的部件。
发明内容
本发明提供致密合成合金材料,其中其成分与广泛用作集成电路上的BEOL结构中的介质的SiCOH成分很不同。
本发明还提供由硼和磷结合其它元素构成的合金材料,其中合金材料具有高的热和化学稳定性。即,本申请的合金材料可以耐受约400℃或更高的处理温度并且在处理期间没有任何化学变化。
具体地并且在一个实施例中,本发明提供包括硼和碳,氮,和氢的至少一种的非晶介质材料。可选地,发明的硼基介质可以包括硅,锗,磷和/或氟。在另一些实施例中,在发明的硼基介质中还可以存在氧。术语“非晶”指发明的介质材料没有具体的晶体结构。
在本发明的可选实施例中,提供了包括磷和氮的非晶介质材料。可选地,此发明的磷基介质可以包括氢,硅,锗和/或氟。
本发明还提供包括致密介质非晶材料(以下指硼基)的电子结构,该材料由硼结合碳,氮和氢中的至少一种和可选地硅,锗,磷和/或氟构成,在ULSI后段制程(BEOL)互连结构中作为蚀刻停止,介质Cu覆盖材料,CMP停止层,或反应离子蚀刻掩模。类似的电子结构同样旨在包括P和N以及可选地氢,硅,锗和/或氟的发明的致密磷基介质材料。
在本发明的一个实施例中,提供了鲁棒并且耐受在BEOL结构制造中通常碰到的称作“未对准过孔”问题的BEOL互连结构。“鲁棒”指在本发明的BEOL互连结构制造期间,在第二金属级中形成的过孔与下面的第一金属级有轻微的未对准。在正常的现有技术结构中,当稀HF清洁过孔时,会存在包括在SiCOH或多孔SiCOH介质中形成空隙的对第一金属级的破坏并且导致可靠性故障。在本发明发明的BEOL互连结构制造期间,稀HF清洁溶液接触不被蚀刻的稳定的硼基介质。稳定的硼基介质保护SiCOH或多孔SiCOH介质不受HF作用并且不形成空隙。可以以与发明的硼基介质类似的方式使用发明的磷基介质。
本发明还提供用于制造发明的硼基介质或磷基介质材料的膜的方法。
根据本发明,提供了包括硼或磷的非晶介质材料膜,具有在3到500nm或更小范围内的厚度。如上所述,在一个实施例中发明的膜包括硼和碳,氮和氢中的至少一种并且可选地包括硅,锗,磷和/或氟。发明的硼基介质材料的优选形式具有分子式C2B10Hz,其中z为到10的任何整数。在另一个实施例中,发明的膜包括磷和N以及可选地氢,硅,锗和/或氟。
根据本发明,还描述了包括发明的硼基或磷基介质层的电子结构。这些结构使用硼基介质或磷基介质的层作为Cu覆盖材料,掩埋蚀刻停止,CMP停止层,和/或反应离子蚀刻掩模。该结构是ULSI后段制程(BEOL)互连结构。描述了实施例,其中在每个上述应用中,即作为Cu覆盖材料,掩埋蚀刻停止,CMP停止层和/或反应离子蚀刻掩模,使用了致密硼基介质或磷基介质。
概括地说,发明的电子结构包括:
预处理半导体衬底;
级内或级间介质(ILD),位于衬底顶上,所述ILD包括至少一个嵌入其中的导电部件;以及
致密介质,包括(i)硼和碳,氮和氢中的至少一种,或者(ii)磷和氮,其中所述致密介质和所述ILD紧密接触并且所述ILD和所述至少一个导体部件的顶表面基本共面。
本发明还提供在PECVD和远程等离子体CVD室中使用新前体制造这些致密膜的方法。
概括地说,本发明的方法包括如下步骤:
在化学气相沉积室内放置衬底;
将第一前体气体流入所述CVD室,所述第一前体气体包括(i)硼结合C,N和H中的至少一种,或者(ii)磷和氮;
向至少所述第一前体气体提供能量;以及
在所述衬底上沉积致密介质膜,所述致密介质膜包括(i)硼结合C,N和H中的至少一种,或者(ii)磷和氮。
附图说明
从下面的详细描述和附图可以明白本发明的这些和其它目的,特征和优点,其中:
图1A示出了(通过截面图)具有硼基介质层作为Cu覆盖/蚀刻停止层的BEOL互连结构。
图1B示出了(通过截面图)在图1A的结构的制造期间,停止于发明的硼基介质的蚀刻开口的形成。
图1C示出了(通过截面图)在包括硼基介质层作为上层的两层中制造Cu覆层的可选实施例。
图2A-2B示出了(通过截面图)具有硼基介质层作为掩埋蚀刻停止层的BEOL互连结构。
图3A-3B示出了(通过截面图)具有硼基介质层作为CMP停止层的BEOL互连结构。
图4示出了(通过截面图)具有硼基介质层作为反应离子蚀刻(RIE)掩模构图层的BEOL互连结构。
具体实施方式
现在通过参考随后的讨论和本申请的附图更详细地描述本发明,本发明描述了硼基或磷基介质材料,其制造方法,包括硼基或磷基介质的电子结构以及这样的电子结构的制造方法。注意,提供本申请的附图用于说明目的,因此它们没有按比例画出。
在随后的描述中,列出了大量的具体细节,例如具体结构,部件,材料,尺寸,工艺步骤以及技术,目的是提供本发明的全面理解。然而,本领域的技术人员应该意识到,本发明可以在没有这些具体细节下实施。在另一个例子中,为了避免混淆本发明,没有从细节上描述公知的结构或工艺步骤。
在本发明的一个实施例中,提供了包括硼和碳(C),氮(N)和氢(H)中的至少一种的硼基介质。可选地,本发明的硼基介质可以包括硅(Si),锗(Ge),磷(P)和/或氟(F)。
在本发明的可选实施例中,提供了包括磷和氮的非晶介质材料。可选地,此发明的磷基介质可以包括氢,硅,锗和/或氟。
在图1A-1C和2-3中示出了本发明的电子器件。注意,图中示出的器件仅是本发明的示意性实例,还可以使用此发明的材料和方法形成许多其它器件。对每个示出的器件都相同的是,它们都包括发明的硼基介质。虽然具体提及发明的硼基介质存在于这些器件中,发明的硼基介质在每个器件中都可以由本发明的磷基介质取代。
根据本发明,发明的硼基介质包括从约5到约90,优选从约10到约75,更优选从约10到约50的原子百分比的硼。当在发明的硼基介质中存在C时,其存在的数量从约5到约50,优选从约10到约40,更优选从约25到约30的原子百分比。当在发明的硼基介质中存在N时,其存在的数量从约5到约50,优选从约10到约40,更优选从约15到约35的原子百分比。当在发明的硼基介质中存在H时,其存在的数量从约20到约60,优选从约25到约50,更优选从约30到约45的原子百分比。
发明的硼基介质还可以包括硅(Si),锗(Ge),磷(P)和氟(F)中的至少一种。当发明的硼基介质存在Si时,其存在的数量从约1到约20,优选从约2到约15,更优选从约3到约10的原子百分比。当发明的硼基介质存在Ge时,其存在的数量从约1到约20,优选从约2到约15,更优选从约5到约15的原子百分比。当在发明的硼基介质中存在F时,其存在的数量从约3约35,优选从约5到约25,更优选选从约5到约15的原子百分比。当在发明的硼基介质材料中存在磷时,其存在的数量从约1约50,优选从约5到约25,更优选选从约5到约10的原子百分比。
在本发明的一个优选实施例中,制造了BCH合成物。在本发明的另一个优选实施例中,提供了B10C2Hz合成物,其中,z在1-10的范围中。此合成物还可以包括Si,N,O和/或氟。其它优选合成物包括B3N3Hy,其中y小于6,C3N3B3Hk其中k小于12,C6N3B3Hl其中l小于18。这些合成物还可以包括Si,Ge,P,O和/或氟。
沉积的硼基介质材料的常规描述是具有分子式为BxCyRz或BxNyRz其中x,y和z表示成分的合成物。如技术上公知的,x,y和z具有与非晶材料的范围相对应的值的范围。例如,在优选成分中x=y,并且在相关成分中可以改变x,y和z。取代分子R可以在沉积膜中保留或不保留,并且可以是卤素,氢,烷基,芳基,烷氧基,氨基,取代的氨基和其它类似的分子部分。
在普适分子式BxCyRz的情况下,硼的成分可以从x=0.01到0.99改变,并且优选0.05到0.9。碳的成分较低并且可以从y=0.01到0.5并且优选从0.05到0.25改变。成分R,包括N,可以从0.01到0.5。实际的原子百分比可以改变。
发明的硼基介质是致密(即,非多孔)材料,通过x射线反射率,Rutherford背散射或微量天平方法检测,具有从约0.9到约2.0,优选从约1.1到约1.8克/cm3的密度。虽然应用发明的硼基介质,但是致密介质具有的沉积厚度从约30到约5000,优选从约50到约1000另外,发明的硼基介质具有约3.5或更小的介电常数(相对于真空),优选具有约3.0或更小的介电常数。
在包括磷的实施例中,存在的磷的量从约10到约80,优选从约20到约60,更优选从约30到约50原子百分比。在发明的磷基介质中存在的氮的量从约10到约80,优选从约20到约60并且更优选从约30到约50原子百分比。可选的成分以用于发明的硼基介质的上述量存在。
通过x射线反射率,Rutherford背散射或微量天平方法检测,致密(即,非多孔)磷基材料具有从约0.9到约2.0,优选从约1.1到约1.8克/cm3的密度。其以与上述用于硼基介质材料相同的厚度范围沉积到衬底上。
在图1A中,示出了包括多个互连级的电子器件。具体地,图1A示出了互连布线结构10,该结构包括进一步包括布线层和有源半导体器件的预处理半导体衬底12。衬底12包括任何半导体材料,包括例如Si,SiGe,SiGeC,SiC,Ge合金,GaAs,InAs,InP和其它III/V或II/VI族化合物半导体。除了这些列出的半导体材料类型外,本发明还旨在半导体衬底12是如Si/SiGe,Si/SiC,绝缘体上硅(SOI)或绝缘体上硅锗(SGOI)的多层半导体的情况。在本发明的一些实施例中,优选半导体衬底12由含硅半导体材料即包括硅的半导体材料构成。半导体衬底12可以掺杂,未掺杂或其中包括掺杂和未掺杂区域。
还应该注意,半导体衬底12可以应变,未应变或其中包括应变区域和未应变区域。半导体衬底12还可以具有单晶取向或者可选地,半导体衬底12可以是具有不同结晶取向的表面区域的混合半导体衬底。
预处理衬底12包括其中的导电部件11。导电部件11包括通过扩散阻挡15与预处理衬底12部分分离的导电材料13(例如,多晶Si,多晶SiGe,如Cu,W或Al的导电金属,如AlCu的导电金属合金,如WSi或CuSi的导电金属硅化物或其组合)。扩散阻挡15包括Ta,TaN,Ti,TiN,Ru,RuN,W,WN或可以用做阻挡以防止导电材料扩散穿过它的任何其它材料中的一种。典型地,扩散阻挡15包括TaN/Ta双层。
每个互连级都包括在预处理衬底12上或ILD顶上形成的由发明的硼基介质构成的Cu覆盖/蚀刻停止层14。在每个互连级的Cu覆盖/蚀刻停止层14顶上沉积如SiCOH或多孔SiCOH介质16的ILD介质。ILD16还可以包括除了具有约4.0或更小的介电常数,优选具有约3.6或更小的介电常数的SiCOH材料之外的任何其它介质材料。本发明期望的除了SiCOH之外的其它ILD包括但不限于:SiO2,倍半硅氧烷或热固性聚亚芳基醚。术语“聚亚芳基”用在此申请中表示芳基或惰性取代的芳基,其由键,熔融环,或如氧,硫,砜,亚砜,羰基或类似的惰性链接组链接在一起。
利用技术上公知的包括双镶嵌工艺的常规互连技术形成图1A中示出的结构。当蚀刻双镶嵌开口时,如图1B所示,使用氟基蚀刻以形成在包括发明的硼基介质层的Cu/覆层/蚀刻停止层14上“停止”(即,具有很低的蚀刻速率)的双镶嵌开口。在图1B中,用标号6标记蚀刻的沟槽开口,并且用标号8标记蚀刻的过孔开口。注意,过孔开口8的形成停止于Cu覆层/蚀刻停止层14上。图1B中示出的其它元件的标号与图1A中的相同。
再参考图1A,在每个互连级中的ILD16中嵌入导电材料区域18。导电材料18包括与导电部件11中存在的导电材料13相同或不同的材料。典型地,导电材料18由如Cu,W或Al的金属构成,优选具有Cu或如AlCu的Cu的合金。如TaN/Ta双层或任何上述存在于导电部件11中作为扩散阻挡15的其它材料的扩散衬里20,将导电材料18与ILD 16分离。通过除去多余导电材料的化学机械抛光(CMP)工艺平整化整个结构,并且留下导电材料18的上表面和最上互连级的ILD 16基本共面。在图1A中用标号19标记共面表面。
在一个实施例中,如图1A所示,Cu覆层/蚀刻停止14是单层,用作扩散阻挡层用于防止导电材料18扩散进ILD 16或互连结构的其它层中。在可选实施例中,如图1C所示,Cu覆层/蚀刻停止14包括两层。下层26在导电材料18和ILD介质16上并且其包括Si,C,N,H或SiN,H合金,技术上公知为提供极好的Cu稳定性并且防止导电材料18扩散进介质16的优选Cu覆盖材料。层14的上层28用作蚀刻停止并且由上述发明的硼基介质材料形成。
图2A-2B示出了本发明的下一个实施例。具体地,图2A-2B示出了具有发明的硼基介质材料用作掩埋蚀刻停止的多级互连结构。图2A中,示出了在衬底32上建立的电子器件30。布线结构包括预处理半导体衬底32,衬底32进一步包括布线层和有源半导体器件。在衬底32的顶上形成介质蚀刻停止34。介质蚀刻停止34可以包括发明的硼基介质材料(优选),或者它可以包括如SiN,SiC,SiCN和含氢的这些合金的用作蚀刻停止层的任何常规介质材料。
在介质蚀刻停止34上沉积如SiCOH或多孔SiCOH介质的第一ILD36。第一ILD 36顶上是由发明的硼基介质构成的掩埋蚀刻停止层38。使用此层以通过提供ILD蚀刻中的低蚀刻速率(在沟槽蚀刻停止期间)形成双镶嵌沟槽的底部。在掩埋蚀刻停止层38顶上形成第二ILD 40(包括如SiCOH或多孔SiCOH的上述介质的一个)。还示出了包括层34,36,38和40的第二互连级。再参考图2A,导电材料区域42(过孔)嵌入第一ILD36中,并且第二导电材料区域44(线)嵌入第二ILD 40中。导电材料包括上述的那些材料。如TaN/Ta双层的扩散衬里46,从介质分离每个导电区域,并且防止如Cu的导电材料进入介质。通过除去多余导电材料的CMP工艺平整化整个结构,并且留下导电材料44和ILD 40的上表面基本共面。
在图2B中,示出了在布线结构形成前的介质层叠层。在衬底32顶上形成介质蚀刻停止34。在介质蚀刻停止34顶上沉积第一ILD 36。下一步,在第一ILD 36顶上形成发明的硼基介质用作掩埋蚀刻停止层38。在掩埋蚀刻停止层38上形成第二ILD 40。
图3A-3B示出了本发明的下一个实施例。在本发明的此实施例中,提供了包括发明的硼基介质作为CMP停止层的BEOL互连结构。此结构帮助解决在BEOL结构制造中经常遇到的称为“过孔未对准”的问题,如下所述。
在图3A中,示出了在衬底52上建造的电子器件50。布线结构包括预处理半导体衬底52,其进一步包括布线层和有源半导体器件。在衬底52的顶上形成Cu覆层/蚀刻停止54。Cu覆层/蚀刻停止54可以包括发明的硼基介质材料(优选)或者它可以包括如SiN,SiC,SiCN和含氢的这些合金的用作Cu覆层/蚀刻停止层的任何其它介质材料。
然后在Cu覆层/蚀刻停止54上沉积ILD 56。在ILD 56上形成包括发明的硼基介质的CMP停止层57。注意,CMP蚀刻停止层57保留在完成的BEOL布线结构中。图3A还示出了嵌入ILD 56的导电材料区域58。如TaN/Ta的扩散衬里60从ILD 56分离导电材料58。通过除去多余导电材料的CMP工艺平整化整个结构,并且留下导电材料58和CMP停止层57的上表面基本共面。
图3B,示出了“未对准过孔”的情形。参考图3B,在第一级51上构图双镶嵌互连的第二级,并且示出了过孔开口62和线开口64。当第二级的光刻对准关于第一级50不很好时,由于未对准,过孔可能会部分“偏离”下面的线,虚线圈66中示出了该情形。如技术上公知的,过孔开口的蚀刻通常蚀刻下面的介质,邻接线58形成空隙或不期望的开口。如HF的湿清洁溶液将进一步扩大此开口。邻接线形成的空隙导致许多严重的可靠性问题。使用发明的硼基介质作为CMP停止层57导致更坚固和可靠的结构,因为(i)因为慢的蚀刻速率,过孔开口的蚀刻“停止”于发明的CMP停止层57上,并且(ii)稀HF和其它化学湿清洁溶液不蚀刻发明的CMP停止层57。不形成邻接下面的线的开口,并且在图3A中示出的结构比常规现有技术双镶嵌结构更坚固和可靠。
图4中示出了本发明的下一个实施例。具体地,下一个实施例包括使用发明的硼基介质作为RIE掩模层构图SiCOH和多孔SiCOH介质(或其它ILD材料的一种)的方法(用于形成BEOL互连结构的实例)。注意,结构完成后除去RIE掩模层。图4中的许多条目标记与图1B相同。发明的硼基介质的层70位于ILD层16顶上。层70包括掩蔽区域70和开口72。
参考图4,用开口72构图硬掩模层70。这如此完成,通过在层66上覆盖光致抗蚀剂,使用光刻工艺(如技术上已公知的)以构图光致抗蚀剂,并且使用合适的蚀刻化学反应将光致抗蚀剂中的图形转移到掩蔽层70。然后除去光致抗蚀剂以留下图4的结构。使用层70蚀刻ILD 16中的开口6。
下一步,描述了沉积发明的硼基介质层的方法。首先提供化学气相沉积(CVD)室并且在室中放置衬底。可选地,衬底位于具有从约100°到约450℃,优选从约300°到约400℃的选定温度的加热的晶片卡盘上。然后,向CVD室通入包括硼并结合C,N和H的至少一种和可选地,Si,F,P和Ge的至少一种的第一前体气体或液体,同时可选地通入至少第二前体。还可以可选地使用稀释气体。当将室内的压强稳定在合适的压强(在约1-10Torr或更小的量级上)时,以期望的时间向混合的前体气体提供能量直到期望厚度的膜沉积到衬底上。
注意,本发明发明的磷基介质是以与硼基介质类似的方式制备的,除了使用包括磷和氮的前体取代这里下面描述的用于硼基介质的第一前体之外。
这里给出的液体前体的流量是毫克/分,公知为mgm。优选流入室内的第一前体从约10到约2000mgm,更优选具有从约100到约1000mgm的第一前体流量。
如技术上公知的,能量可以是能够与室内的至少一个电极耦合的RF能量,或者通过远程等离子体源施加的RF或微波能量,或者可以是感应耦合的RF能量。可选地,可以向衬底施加偏置或第二RF信号,目的是在沉积期间加速来自等离子体的离子以碰撞生长的膜层,为了使膜更致密,并且调整膜的内部应力。
在室内形成等离子体并且在衬底上沉积包括硼与C,N和H的至少一种和可选地,Si,F,P和Ge的至少一种结合的膜。在本发明中利用的第一前体可以包括下面分子的至少一种:碳硼烷,癸硼烷,环硼氮烷,取代的环硼氮烷如三甲基环硼氮烷,六甲基环硼氮烷或被其它烷基取代,苯基,乙烯基(双乙基-或三乙基环硼氮烷等),烷基氨基取代的环硼氮烷如(二甲基氨基)环硼氮烷,三(二甲基氨基)环硼氮烷,以及被烷氧基(乙氧基,等),氟或其它卤素取代的环硼氮烷。
可选地,可以使用碳硼烷的衍生物,如含烷氧基,烷基,乙烯基,苯基或乙炔取代分子的碳硼烷。通常,这些取代分子在碳硼烷中与碳键合,但是取代分子还可以键合在其它位置。
可选地,可以使用被取代为富勒烯笼的含BN基团的分子。
前体可以作为气体直接送入室,作为液体直接在室内蒸发,或通过如氦或氩的惰性载气传送。在优选实施例中,第一前体是碳硼烷。
第一前体可以与第二前体混合形成前体混合物。第二前体包括B2H6(双硼烷)和其它硼氢化物成分包括但不限于B10H10(癸硼烷)。
在另一个实施例中,前体混合物还可以包含另一种氢化物分子如双硼烷,硅烷(单-,双-三硅烷等),氨,烷基硅烷如甲基硅烷或三甲基硅烷,锗烷或其它氢化物。
在另一个实施例中,前体混合物还可以包括氟化物分子,如四氟化硅(SiF4),NF3等。
提供下面的实例以示出形成发明的硼基介质的方法。在此实例中,在膜沉积期间以连续模式操作等离子体。混合气体包括500sccm流速的碳硼烷和500sccm流速的He。室内的压强保持在5Torr。气体通过还作为施加13.56MHz频率,500W的RF功率的供电电极的气体配给盘传送。衬底是包括预形成半导体器件的200mm的Si晶片。衬底位于在350℃温度下的加热器卡盘上,并且向衬底施加50W的功率,同样在13.56MHz的频率。
如技术上已公知的,上面的细节仅有实例价值,并且在本发明中可以使用任何等离子体条件。
本发明中,可以使用如Ar,H2和N2的其它气体作为载气。如果前体具有足够的蒸汽压,可以不需要载气。传送液体前体到等离子体室的可选方法是通过使用液体传送系统。如果需要调整膜的成分和性能,可以向室内的混合气体添加含氮,硼,碳,硅,氢,锗或氟的气体。
下一步,提供不同的实例以示出形成发明的磷基介质的方法。在此实例中,在膜沉积期间以连续模式操作等离子体。混合气体包括环磷腈(N3P3Hm,其中m是6或更小)。在相关的实施例中,使用环磷腈的衍生物,示为N3P3Rn,其中R可以是氟,烷基,芳基,烷氧基,甲硅烷基以及相关的基团,并且n是6或更小。
环磷腈的流速为500sccm,并且He的流速为500sccm。室内的压强保持在5Torr。气体通过还作为施加13.56MHz频率,500W的RF功率的供电电极的气体配给盘传送。衬底是包括预形成半导体器件的200mm的Si晶片。衬底位于在350℃温度下的加热器卡盘上,并且向衬底施加50W的功率,同样在13.56MHz的频率。
如技术上已公知的,上面的细节仅有实例价值,并且在本发明中可以使用任何等离子体条件。
本发明中,可以使用如Ar,H2和N2的其它气体作为载气。如果前体具有足够的蒸汽压,可以不需要载气。传送液体前体到等离子体室的可选方法是通过使用液体传送系统。如果需要调整膜的成分和性能,可以向室内的混合气体添加含氮,硼,碳,硅,氢,锗或氟的气体。
沉积的材料具有的成分为Nx’Py’Rz’,其中x’,y’,z’表示成分。如技术上公知的,x’,y’,z’可以具有与非晶材料的范围对应的值的范围。例如,在优选成分x’=y’中并且在相关成分x’=3,y’=1-3中,z’可以从1到6改变。取代分子R可以是卤素,氢,烷基,芳基,烷氧基,氨基,取代的氨基以及其它类似的分子部分。典型地,z’=2y’。在本发明中的相关材料中,可以用碳,硫或硫氧化物核素取代一个或多个磷原子。
在普适分子式Nx’Py’Rz’的情况中,氮的成分可以从x’=0.01到0.9改变并且优选从0.03到0.5。磷的成分可以从y’=0.01到0.9改变并且优选从0.03到0.5。在保留少量的R取代分子的材料中,z’较低,并且x’和y’两者可以从0.3到0.5。磷基介质材料是热稳定的,具有小于4的介电常数,其在SiCOH成分的有机硅酸盐上有好的蚀刻选择性。
本发明的新方法和通过这样的方法形成的电子结构根据上面的描述和附图1-4进行了详细的描述。强调,图1-4示出的本发明的电子结构的实例仅用来说明本发明的新器件,以及发明的硼基介质可以应用于大量电子器件的制造中。
虽然以示意性的方式描述了本发明,但是应该明白使用的术语旨在自然的词描述而不是限制。
另外,虽然以优选和几个可选的实施例描述了本发明,但是应该认识到本领域的技术人员可以容易地将这些教导应用到本发明的其它可能变化中。本发明的实施例声明了专有的性质或权利,限定如下。

Claims (20)

1.一种电子结构,具有作为布线结构中的级内或级间介质的绝缘材料层,包括:
预处理半导体衬底;
级内或级间介质,位于衬底顶上,所述级内或级间介质包括至少一个嵌入其中的导电部件;以及
致密介质,包括(i)碳,氮和氢中的至少一种和硼,或者(ii)磷和氮,其中所述致密介质和所述级内或级间介质紧密接触并且所述级内或级间介质和所述至少一个导体部件的顶表面共面。
2.根据权利要求1的电子结构,其中所述致密介质包括硼并且还包括Si,F,P和Ge中的至少一种。
3.根据权利要求2的电子结构,其中所述致密介质包括BxCyRz或BxNyRz,其中x,y和z是整数并表示每种成分的范围,并且R是选自卤素,氢,烷基,芳基,烷氧基,氨基或取代的氨基的取代分子。
4.根据权利要求1的电子结构,其中所述致密介质具有从0.9到2.0克/cm3的密度。
5.根据权利要求1的电子结构,其中所述致密介质是覆盖在所述致密介质下位于导电部件中的导电材料的介质扩散阻挡层。
6.根据权利要求5的电子结构,其中所述致密介质是单层。
7.根据权利要求5的电子结构,其中所述致密介质是双层结构的顶层。
8.根据权利要求1的电子结构,其中所述致密介质是位于所述级内或级间介质中的导电线底部的掩埋蚀刻停止层。
9.根据权利要求1的电子结构,其中所述致密介质是化学机械抛光停止层,其中所述致密介质的上表面与所述导电材料的上表面共面。
10.根据权利要求1的电子结构,其中所述致密介质包括磷和可选地氢,氮,硅,锗和氟中的至少一种。
11.一种在衬底上沉积致密硼基介质层的方法,包括如下步骤:
在化学气相沉积室内放置衬底;
将第一前体气体流入所述CVD室,所述第一前体气体包括(i)硼结合C,N和H中的至少一种,或者(ii)磷和氮;
向至少所述第一前体气体提供能量;以及
在所述衬底上沉积致密介质膜,所述致密介质膜包括(i)硼结合C,N和H中的至少一种,或者(ii)磷和氮。
12.根据权利要求11的方法,其中所述致密介质膜包括硼并且还包括Si,F,P和Ge中的至少一种。
13.根据权利要求11的方法,其中所述化学气相沉积包括平行板类型等离子体室,或远程等离子体室,或感应耦合等离子体室。
14.根据权利要求11的方法,其中所述第一前体气体选自碳硼烷,癸硼烷,环硼氮烷,取代的环硼氮烷,烷基氨基取代的环硼氮烷,烷氧基取代的环硼氮烷,氟或其它卤素,碳硼烷的衍生物和包含BN基团的富勒烯笼分子。
15.一种硼基介质材料,包括硼和C,N和H中的至少一种,其中所述硼存在的量从5到90原子百分比,并且所述介质具有从0.9到2.0克/cm3的密度。
16.根据权利要求15的硼基介质材料,其中C存在的量从5到50原子百分比。
17.根据权利要求15的硼基介质材料,其中N存在的量从5到50原子百分比。
18.根据权利要求15的硼基介质材料,其中H存在的量从20到60原子百分比。
19.根据权利要求15的硼基介质材料,还包括Si,F,P和Ge中的至少一种。
20.一种磷氮基介质材料,包括P和N和可选地氢,硅,锗和氟中的至少一种,所述介质具有从0.9到2.0克/cm3的密度和从3到50原子百分比的磷成分以及从3到50原子百分比的氮成分。
CNB2007101125589A 2006-06-22 2007-06-21 利用抗腐蚀硼和磷材料的电子结构及其形成方法 Active CN100546023C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/472,726 2006-06-22
US11/472,726 US20070296064A1 (en) 2006-06-22 2006-06-22 Electronic structures utilizing etch resistant boron and phosphorus materials and methods to form same

Publications (2)

Publication Number Publication Date
CN101093825A CN101093825A (zh) 2007-12-26
CN100546023C true CN100546023C (zh) 2009-09-30

Family

ID=38872796

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007101125589A Active CN100546023C (zh) 2006-06-22 2007-06-21 利用抗腐蚀硼和磷材料的电子结构及其形成方法

Country Status (3)

Country Link
US (2) US20070296064A1 (zh)
CN (1) CN100546023C (zh)
TW (1) TWI414042B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN101310038B (zh) * 2005-11-17 2011-05-04 株式会社日本触媒 化学气相沉积成膜用组合物及生产低介电常数膜的方法
US20080108215A1 (en) * 2006-11-07 2008-05-08 Applied Materials, Inc. Integrated circuit interconnect lines having reduced line resistance
US7939942B2 (en) * 2007-12-19 2011-05-10 Infineon Technologies Ag Semiconductor devices and methods of manufacturing thereof
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
CN103383922A (zh) * 2012-05-03 2013-11-06 中芯国际集成电路制造(上海)有限公司 一种低k介质阻挡层及其形成方法
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US9620454B2 (en) * 2014-09-12 2017-04-11 Qualcomm Incorporated Middle-of-line (MOL) manufactured integrated circuits (ICs) employing local interconnects of metal lines using an elongated via, and related methods
KR101598294B1 (ko) 2014-09-15 2016-02-26 삼성전기주식회사 음향 공진기 및 그 제조 방법
CN113943933B (zh) * 2020-07-16 2023-09-29 江苏菲沃泰纳米科技股份有限公司 多层结构的复合膜及其制备方法和产品

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
JP3468717B2 (ja) 1999-05-13 2003-11-17 積水化学工業株式会社 低誘電率材料及び層間絶縁膜の製造方法
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6309982B1 (en) * 2001-03-12 2001-10-30 Chartered Semiconductor Manufacturing Ltd. Method for minimizing copper diffusion by doping an inorganic dielectric layer with a reducing agent
US7001854B1 (en) * 2001-08-03 2006-02-21 Novellus Systems, Inc. Hydrogen-based phosphosilicate glass process for gap fill of high aspect ratio structures
JP3778045B2 (ja) * 2001-10-09 2006-05-24 三菱電機株式会社 低誘電率材料の製造方法および低誘電率材料、並びにこの低誘電率材料を用いた絶縁膜および半導体装置
JP2003152106A (ja) * 2001-11-15 2003-05-23 Mitsubishi Electric Corp 半導体装置およびその製造方法
US7423166B2 (en) * 2001-12-13 2008-09-09 Advanced Technology Materials, Inc. Stabilized cyclosiloxanes for use as CVD precursors for low-dielectric constant thin films
JP2003347401A (ja) * 2002-05-30 2003-12-05 Mitsubishi Electric Corp 多層配線構造を有する半導体装置およびその製造方法
US7029723B2 (en) * 2003-01-07 2006-04-18 Intel Corporation Forming chemical vapor depositable low dielectric constant layers
TWI253684B (en) * 2003-06-02 2006-04-21 Tokyo Electron Ltd Method and system for using ion implantation for treating a low-k dielectric film
US20050238889A1 (en) * 2003-07-10 2005-10-27 Nancy Iwamoto Layered components, materials, methods of production and uses thereof
US20060071300A1 (en) * 2004-09-30 2006-04-06 Haverty Michael G Dielectric material having carborane derivatives

Also Published As

Publication number Publication date
CN101093825A (zh) 2007-12-26
US7863749B2 (en) 2011-01-04
TW200807625A (en) 2008-02-01
US20080277796A1 (en) 2008-11-13
TWI414042B (zh) 2013-11-01
US20070296064A1 (en) 2007-12-27

Similar Documents

Publication Publication Date Title
CN100546023C (zh) 利用抗腐蚀硼和磷材料的电子结构及其形成方法
CN100431110C (zh) 低介电氮化硅膜的形成方法和半导体器件及其制造工艺
US7811926B2 (en) Multilayer hardmask scheme for damage-free dual damascene processing of SiCOH dielectrics
US7088003B2 (en) Structures and methods for integration of ultralow-k dielectrics with improved reliability
US7239017B1 (en) Low-k B-doped SiC copper diffusion barrier films
CN101495674B (zh) 多孔质绝缘膜的形成方法
US7968436B1 (en) Low-K SiC copper diffusion barrier films
US6737746B2 (en) Semiconductor device containing copper diffusion preventive film of silicon carbide
US7420275B1 (en) Boron-doped SIC copper diffusion barrier films
US7435676B2 (en) Dual damascene process flow enabling minimal ULK film modification and enhanced stack integrity
US9105642B2 (en) Interlevel dielectric stack for interconnect structures
KR101327640B1 (ko) 유전체막 형성 방법 및 상기 방법을 실행하는 신규한전구체
US7968451B2 (en) Method for forming self-assembled mono-layer liner for Cu/porous low-k interconnections
CN102237272B (zh) 半导体装置和半导体装置制造方法
US20070148966A1 (en) Method of forming an interconnect structure
EP1777739A2 (en) Semiconductor device and fabrication method therefor
JP3882914B2 (ja) 多相低誘電率材料およびその堆積方法
CN103515297B (zh) 一种半导体器件的制造方法
WO2001041203A1 (en) Improved flourine doped sio2 film
US20060115980A1 (en) Method for decreasing a dielectric constant of a low-k film

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171101

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171101

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right