CN100570889C - 在体硅和soi mos器件中制造无位错应力沟道的结构和方法 - Google Patents

在体硅和soi mos器件中制造无位错应力沟道的结构和方法 Download PDF

Info

Publication number
CN100570889C
CN100570889C CNB2005100553049A CN200510055304A CN100570889C CN 100570889 C CN100570889 C CN 100570889C CN B2005100553049 A CNB2005100553049 A CN B2005100553049A CN 200510055304 A CN200510055304 A CN 200510055304A CN 100570889 C CN100570889 C CN 100570889C
Authority
CN
China
Prior art keywords
pfet
nitride layer
silicon
photoresist
thin nitride
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CNB2005100553049A
Other languages
English (en)
Other versions
CN1691350A (zh
Inventor
朱慧珑
B·B·多里斯
陈华杰
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Core Usa Second LLC
GlobalFoundries Inc
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN1691350A publication Critical patent/CN1691350A/zh
Application granted granted Critical
Publication of CN100570889C publication Critical patent/CN100570889C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7845Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being a conductive material, e.g. silicided S/D or Gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28035Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being silicon, e.g. polysilicon, with or without impurities
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4916Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen
    • H01L29/4925Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a silicon layer, e.g. polysilicon doped with boron, phosphorus or nitrogen with a multiple layer structure, e.g. several silicon layers with different crystal structure or grain arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate

Abstract

本发明提供了通过利用SiGe和/或Si:C的栅极应力在体硅和SOI(绝缘体上硅)CMOS(互补金属氧化物半导体)器件中制造无位错应力沟道的结构和方法。MOS器件包括体硅或SOI的衬底、衬底上的栅极介质层以及SiGe和/或Si:C的叠层栅极结构,该叠层栅极结构具有在叠层栅极结构中的SSi(应变Si)/SiGe或SSi/Si:C的界面处产生的应力。叠层栅极结构具有在栅极介质层上的大晶粒尺寸Si或SiGe的第一应力膜层、第一应力膜层上的应变SiGe或应变Si:C的第二应力膜层以及第二应力膜层上的半导体或导体如p(多晶)-Si。

Description

在体硅和SOI MOS器件中制造无位错应力沟道的结构和方法
技术领域
本发明总体上涉及通过利用SiGe和/或Si:C的栅极应力在体硅和SOI(绝缘体上硅)MOS(金属氧化物半导体)器件中制造无位错应力沟道的结构和方法。
背景技术
位错是晶体结构中的缺陷,并在具有这种位错的体硅和SOI CMOS器件中可能不利地提供漏电流的电流路径。
发明内容
本发明提供了通过利用SiGe和/或Si:C的栅极应力在体硅和SOI MOS(金属氧化物半导体)器件中制造无位错应力沟道的结构和方法。MOS器件包括体硅或SOI的衬底、衬底上的栅极介质层以及SiGe和/或Si:C的叠层栅极结构,该叠层栅极结构具有在叠层栅极结构中的SSi(应变Si)/SiGe或SSi/Si:C的界面处产生的应力。该叠层栅极结构具有在栅极介质层上的大晶粒尺寸Si或SiGe的第一应力膜层、第一应力膜层上的应变Si或应变SiGe或应变Si:C的第二应力膜层以及第二应力膜层上的半导体或导体如p(多晶)-Si或硅化物。
本说明书在此论述应力和应变,应该认识到应力和应变是相关的,应力与应变成正比,等于应变乘以常数。而且,强应变在晶体结构中常常产生位错。本说明书在此也涉及拉伸应力和压缩应力,其中拉伸应力指nFET沟道中施加的应力,压缩应力指pFET沟道中施加的应力。
本发明:
避免了在体硅和SOI(绝缘体上硅)MOS(金属氧化物半导体)器件的沟道中产生的位错;
分别施加不同类型的应力到nFET和pFET器件;
克服了可能引起窄电线断裂的SiGe的蚀刻和清洗方法;
通过用于超薄SOI器件的SiGe施加应力;
克服了在更高的温度下位错产生增加。这是由于SD RTA(源区、漏区、快速热退火)限制了在较低的温度(例如550℃)下生长的应变Si(SSi)的厚亚稳定层的使用;
克服了与高Ge%SSi/SiGe要求SSi非常薄以减小位错产生的需求相关的问题。但是,如果应变Si太薄(例如5nm,对应于35%Ge的临界厚度),那么SSi/SiGe的界面可能降低迁移率。
本发明:
提供了通过利用SiGe和Si:C叠层栅极的应力栅极技术制造应变体硅和SOI CMOS器件的结构和方法;
由于栅极中的SSi/SiGe或SSi/Si:C的界面,允许使用大的Ge%和SiGe厚度,以产生大应力;
由于如在高温处理(例如SD RTA)之后进行的替换部分栅极的工艺(指栅极中的部分多晶硅的替换,如下面所公开和论述),提供了更稳定的SSi/SiGe和SSi/Si:C的应力膜;
通过调整SiGe或Si:C中的Ge%或C%或通过改变薄膜厚度来控制器件沟道中的应力;
可以直接应用体硅和SOI技术,而不改变前段制程(FEOL)工艺中的常规扩散工艺。
附图说明
通过结合附图,参考以下几个实施例的详细描述,本领域的技术人员可以更容易地理解通过利用SiGe和/或Si:C的栅极应力在体硅和SOICMOS器件中制造无位错应力沟道的结构和方法的本发明的上述目的和优点,其中在整个附图中使用相同的标号表示相同的部分,以及其中:
图1至8示出了本发明的第一实施例的制造方法步骤;
图1示出了步骤1和2完成之后的结构,步骤1和2使用常规工艺在硅衬底上形成栅极氧化物,淀积非晶硅或多晶硅,并进行退火以获得具有大晶粒尺寸的多晶硅;
图2示出了步骤3之后的结构,步骤3包括氧化和蚀刻大晶粒多晶硅层上的氧化物,直到达到~10nm厚度;
图3示出了步骤4之后的结构,步骤4包括淀积p(多晶)-SiGe以形成叠层栅极;
图4示出了步骤5之后的结构,步骤5包括用于替换栅极以使器件具有栅极中的p-SiGe和围绕栅极的隔离层的常规方法;
图5示出了步骤6之后的结构,步骤6包括淀积氧化物,接着进行CMP(化学机械抛光),在栅极顶部上停止,以及淀积薄氮化物层;
图6示出了步骤7之后的结构,步骤7包括用光致抗蚀剂覆盖并构图pFET,蚀刻氮化物,并有选择地蚀刻p-SiGe栅极用于nFET;
图7示出了步骤8之后的结构,步骤8包括除去光致抗蚀剂、选择性epi应变c-SiGe,在nFETs中再填充多晶硅,以及进行CMP,停止在氧化物上;
图8示出了步骤9之后的结构,步骤9包括淀积薄氮化物层和光致抗蚀剂,以及通常重复步骤6和7,但是此时覆盖nFET和处理pFET;
图9示出了通过使用SiGe和/或Si:C的栅极应力完成的体硅或SOICMOS器件;
图10示出了本发明的第二实施例,包括类似于第一实施例的方法步骤,但是使用弛豫的大晶粒尺寸p-Si1-xGex 100代替p-Si作为第一栅极层或籽晶层,以在栅极中生长应力膜;
图11示出了本发明的第三实施例,包括类似于第二实施例的方法,但是其中用于nFETs和pFETs的籽晶层具有不同的Ge含量,例如用于nFET的p-Si1-xnGexn110和用于pFET的p-Si1-xpGexp111;
图12示出了本发明的第四实施例,使用不同的方法通过在栅极构图之前形成叠层栅极,在栅极中形成应力层,以获得与第一、第二和第三实施例相同的结构;
图13示出了本发明的第五实施例,使用具有两个单晶硅层的键合处理晶片,两个单晶硅层具有各自的键合氧化物/硅界面和热氧化物/硅界面;
图14示出了本发明的第六实施例,使用另一方法制造具有如第五实施例所示的两个单晶层的结构。该方法使用从a-Si层的单晶再生长,开始于栅极附近的籽晶;
步骤1从单晶硅(c-Si)衬底140上的常规晶片开始,接着进行常规方法,以在硅衬底上制造栅极氧化物层142,然后淀积a-Si 144的薄层(例如,~25nm厚度),如图14所示;
图15示出了步骤2之后的结构,步骤2包括淀积并构图光致抗蚀剂,蚀刻a-Si,并蚀刻栅极氧化物;
图16示出了步骤3之后的结构,步骤3包括除去光致抗蚀剂和淀积a-Si(~25nm);
图17示出了步骤4之后的结构,步骤4包括构图光致抗蚀剂,以使它仍然覆盖nFET区和pFET区,以及蚀刻a-Si直到栅极氧化物,以便隔离用于晶体硅再生长的nFET区和pFET区;
图18示出了步骤5之后的结构,步骤5包括退火以再结晶a-Si层,从而形成单晶Si。
具体实施方式
图1至8示出了本发明的第一实施例的制造方法步骤。
图1示出了完成步骤1和2之后的结构。步骤1使用常规方法在晶片的Si衬底10(替换性实施例可以采用SOI技术)上形成栅极氧化物12,步骤2包括淀积a-Si(非晶硅)或多晶硅,以及退火a-Si或多晶硅,以获得具有大晶粒尺寸的多晶硅14。如果晶粒尺寸接近200nm,如图1所示,那么对于50nm的栅极器件(示为Lpo1y=50nm),在栅极的横向中有75%概率不能看见晶粒边界16,如图1所示。晶粒边界有助于消除材料中的应力。
图2示出了步骤3之后的结构,步骤3包括氧化和蚀刻大晶粒多晶硅层上的氧化物,直到达到~10nm厚度。
图3示出了步骤4之后的结构,步骤4包括淀积p(多晶)-SiGe,以形成叠层栅极40。
图4示出了步骤5之后的结构,步骤5包括用于替换栅极以使器件具有栅极中的p-SiGe40和围绕栅极的隔离层42的常规方法。注意所有掺杂剂被注入和退火,以变为有源区,以及对于掺杂剂激活不需要进一步扩散。
图5示出了步骤6之后的结构,步骤6包括淀积氧化物50,接着进行CMP(化学机械抛光),在栅极顶部上停止,以及淀积薄氮化物层52,以防止当分开处理nFET和pFET时在栅极顶部上epi(外延晶体生长)。
图6示出了步骤7之后的结构,步骤7包括用光致抗蚀剂64覆盖并构图pFET,蚀刻氮化物52,并有选择地蚀刻在66处的p-SiGe40栅极用于nFET62。覆盖pFET的目的是在nFET器件和pFET器件中分别产生不同级别或类型的应力。
图7示出了步骤8之后的结构,步骤8包括除去光致抗蚀剂64,选择性epi(外延晶体生长)应变c-SiGe(单晶)70(<临界厚度并可能需要就地对栅极进行掺杂),在nFET 62中在72处再填充多晶硅,进行CMP,在氧化物50上停止,并可能在nFET 62中稍微回蚀刻。
图8示出了步骤9之后的结构,步骤9包括淀积薄氮化物层80和光致抗蚀剂82,以及通常重复步骤6和7,但是此时覆盖nFET 62并处理pFET 64;使用应变Si:C 84代替SiGe用于pFET,然后在86处再填充多晶硅并进行CMP,在氧化物50处停止。图8示出了晶粒边界16连续进入应变Si:C。图8示出了第一实施例完成的叠层栅极结构,在该步骤之后,使用常规方法形成用于栅极的硅化物并完成后段制程(BEOL)工作。
另一选择方案包括覆盖nFET区并将碳注入pFET栅极中,以及在700℃-850℃下退火,以在pFET栅极中的注入区中产生拉伸应力。
图9示出了通过使用SiGe和/或Si:C的叠层栅极以通过叠层栅极结构中的SSi/SiGe或SSi/Si:C的界面产生应力的栅极应力,完成的应变体硅或SOIMOS器件。图9示出了可以在体半导体(Si)10或绝缘体上半导体(SOI)90的衬底上制造的器件,该器件包括衬底顶部上的栅极介质层,以及叠层栅极结构和围绕叠层栅极结构的介质隔离层42,叠层栅极结构具有在栅极介质层12上的单晶或大晶粒尺寸Si或SiGe的第一半导体或导体应力膜层14,在第一应力膜层上的应变c-SiGe或应变Si:C的第二半导体或导体应力膜层70或84,以及在第二应力膜层上的半导体或导体膜72或86如p-Si。通过不同的材料或通过材料的不同百分比可以在不同的实施例中产生栅极中的应力/应变。
图10示出了本发明的第二实施例,包括类似于第一实施例的方法步骤,但是使用弛豫的大晶粒尺寸p-Si1-xGex 100代替p-Si作为第一栅极层,该第一栅极层用作籽晶层以在栅极中生长应力膜。在选择性外延生长步骤之后该层应变。在不同的实施例中可以改变材料的百分比以获得不同的应力。在第一实施例的步骤4中,用p-Si淀积代替p-SiGe淀积。类似地,在第一实施例的步骤7和8中,p-SiGe的选择性蚀刻步骤变为p-Si的选择性蚀刻。在此情况下,在nFET 102的栅极中生长Si1-yGey(y>x)106,以及在pFET 104的栅极中生长Si1-zGez(z<x)108。因此,该方法在pFET沟道中产生压缩应力和在nFET沟道中产生拉伸应力。对于pFET,该方法也可以使用Si:C代替Si1-zGez(z<x),尽管与Si:C具有比SiGe具有更好的热稳定性。x的值也可以用于调整pFET的Vt(阈值电压)。通常,这要求在pFET沟道中减少晕圈掺杂,这可以进一步提高pFET的性能。图10示出了进行所有方法步骤之后的最终所得结构。Si1-xGex 100是用于其上的部分栅极的籽晶层,以及在选择性外延生长之后该层应变。
图11示出了本发明的第三实施例,包括类似于第二实施例方法,但是其中用于nFET112和pFET114的籽晶层具有不同的Ge含量,例如用于nFET112的p-Si1-xnGexn110和用于pFET114的p-Si1-xpGexp111。该方法可以使用分别覆盖pFET和nFET区的常规方法。在此情况下,在nFET的栅极中生长Si1-yGey(y>xn)116,以及在pFET的栅极中生长Si1-zGez(z<xp)118。因此,该方法获得压缩的pFET沟道和拉伸的nFET沟道。对于pFET,该方法也可以使用Si:C代替Si1-zGez(z<x)118,尽管与Si:C相比SiGe具有更好的热稳定性。x的值也可用于调整pFET的Vt。通常,这要求在pFET沟道中减少晕圈掺杂,这可以进一步提高pFET的性能。图10示出了最终的所得结构。在选择性外延生长之后,用于该籽晶层上的部分栅极的Si1-xnGexn110籽晶层和该籽晶层应变。在选择性外延生长之后用于该籽晶层上的部分栅极的Si1-xpGexp111籽晶层和该籽晶层应变。
图12示出了本发明的第四实施例,使用不同的方法通过在栅极构图之前形成叠层栅极120可以更容易地在栅极中形成应力层,如图12所示,以获得与第一、第二和第三实施例相同的结构。模拟表明尽管他们结构方式是相同的,但是通过第一、第二和第三实施例方法产生的应力大于由第四实施例产生的30%。在nFET和pFET区中应变SiGe或应变Si:C层分别可以具有不同的应力级别、不同的应力类型以及不同的Ge含量。在nFET和pFET区中作为用于epi SiGe或Si:C层的籽晶的大晶粒尺寸p-Si 14或p-SiGe 100可以具有不同的应力级别、不同的应力类型和不同的Ge含量。
图13示出了本发明的第五实施例。第一至第四实施例的一个缺点是叠层栅极中的晶粒中的晶体取向不同。由于在窄宽度器件的栅极中只有一个晶粒,因此这可能引起窄宽度器件的性能变化。为了避免该问题,方法可以使用具有两个单晶硅层132、134的键合处理晶片130,两个单晶硅层具有各自的键合氧化物/硅界面133和热氧化物/硅界面135,如图12所示。该结构可用于代替第一实施例的步骤2所示的结构,然后接着第一至第四实施例的其余步骤,以制造应变硅器件。为了利用Smart-Cut(在键合之后通过采用H注入以损坏单晶硅132来切割晶片,然后沿损坏的注入切割/破裂的方法),该方法可以在键合到处理晶片130之前,在栅极氧化物131上淀积薄金属或硅化物层。薄金属或硅化物层可用于调整器件的阈值电压Vt,或获得用于给定厚度的栅极氧化物的更薄的电介质厚度。
图14示出了本发明的第六实施例,使用另一方法制造具有如第五实施例所示的两个单晶层的结构。该方法使用从a-Si层的单晶再生长,开始于栅极附近的籽晶。
步骤1从单晶c-Si衬底140上的常规晶片开始,接着进行常规方法,以在Si衬底上制造栅极氧化物层142,然后淀积a-Si 144的薄层(例如,~25nm厚度),如图14所示。
图15示出了步骤2之后的结构,步骤2包括淀积并构图光致抗蚀剂150,在152处蚀刻a-Si,以及在154处蚀刻栅极氧化物。
图16示出了步骤3之后的结构,步骤3包括除去光致抗蚀剂150和淀积a-Si(~25nm)160,以及示出了用于单晶再生长的籽晶162。
图17示出了步骤4之后的结构,步骤4包括构图光致抗蚀剂,以使它仍然覆盖nFET区172和pFET区174,以及在170处蚀刻a-Si直到栅极氧化物,以便在162处隔离用于晶体硅再生长的nFET区172和pFET区174,该162也是STI(浅沟槽隔离)区,以便栅极氧化物的去除没有问题。
图18示出了步骤5之后的结构,步骤5包括在570℃下退火10小时,以再结晶a-Si层,从而形成单晶Si 180(Brian J.Greene等人)。在此条件下,a-Si可以再生长只要在横向上为~1μm,对于高性能器件,器件的总宽度通常小于0.5μm。在该步骤之后,可以使用第一至第四实施例中描述的方法制造在它们的栅极中具有相同晶体取向的器件。用于单晶的再生长的籽晶位置也是STI的位置,以便栅极氧化物的去除是精细的。
尽管在此详细描述了用于通过利用SiGe和/或Si:C的栅极应力在体硅和SOI MOS器件中制造无位错应力沟道的结构和方法的本发明的几个实施例和变化,但是对本领域的技术人员来说,本发明的公开和教导所暗示的许多选择性设计应该是显而易见的。

Claims (26)

1.一种体硅或绝缘体上硅金属氧化物半导体器件,具有由SiGe和/或Si:C产生的栅极应力,包括:
体硅或绝缘体上硅的衬底,以及在所述衬底上的栅极介质层;
SiGe和/或Si:C的叠层栅极结构,其中通过所述叠层栅极结构中的应变Si/SiGe或应变Si/Si:C的结构产生应力,所述叠层栅极结构具有在所述栅极介质层上的大晶粒尺寸Si或SiGe的第一应力膜层、在所述第一应力膜层上的应变SiGe或应变Si:C的第二应力膜层、以及在所述第二应力膜层上的半导体或导体。
2.根据权利要求1的器件,其中通过不同的半导体材料和/或通过半导体材料的不同百分比在所述叠层栅极结构中产生应力。
3.根据权利要求1的器件,在具有nFET器件和pFET器件的芯片上制造,以及其中所述nFET器件的沟道中具有拉伸应力和pFET器件的沟道中具有压缩应力。
4.根据权利要求3的器件,其中所述nFET器件的叠层栅极结构包括单晶硅的第一应力膜层上的应变SiGe的第二应力膜层,以及所述pFET器件的叠层栅极结构包括单晶硅的第一应力膜层上的应变Si:C的第二应力膜层。
5.根据权利要求3的器件,其中所述nFET器件的叠层栅极结构包括应变Si1-xGex的第一应力膜层上的应变Si1-yGey的第二应力膜层,以及所述pFET器件的叠层栅极结构包括应变Si1-xGex的第一应力膜层上的应变Si1-zGez的第二应力膜层,其中y>x和z<x,以产生不同的应力。
6.根据权利要求5的器件,其中选择x的值以调整pFET的阈值电压Vt。
7.根据权利要求5的器件,其中所述Si1-xGex是用于所述Si1-xGex层上的部分栅极的籽晶层,以及所述Si1-xGex层在选择性外延生长之后应变。
8.根据权利要求3的器件,其中所述nFET器件的叠层栅极结构包括应变Si1-xnGexn的第一应力膜层上的应变Si1-yGey的第二应力膜层,以及所述pFET器件的叠层栅极结构包括应变Si1-xpGexp的第一应力膜层上的应变Si1-zGez的第二应力膜层,其中y>xn和z<xp,以产生应力。
9.根据权利要求8的器件,其中所述Si1-xnGexn是用于所述Si1-xnGexn上的部分栅极的籽晶层,所述籽晶层在选择性外延生长之后应变,以及所述Si1-xpGexp是用于所述Si1-xpGexp上的部分栅极的籽晶层,所述籽晶层在选择性外延生长之后应变。
10.根据权利要求3的器件,其中所述nFET器件的叠层栅极结构包括应变Si1-xGex的第一应力膜层上的应变Si1-yGey的第二应力膜层,以及所述pFET器件的叠层栅极结构包括应变Si1-xGex的第一应力膜层上的应变Si:C的第二应力膜层,其中y>x,以产生不同的应力。
11.根据权利要求1的器件,在包括具有所述叠层栅极结构的nFET器件和pFET器件的集成电路中制造。
12.根据权利要求1的器件,在包括具有所述叠层栅极结构的nFET器件的集成电路中制造。
13.根据权利要求1的器件,在包括具有所述叠层栅极结构的pFET器件的集成电路中制造。
14.根据权利要求1的器件,其中所述在所述第二应力膜层上的半导体或导体包括多晶硅。
15.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该方法包括以下步骤:
在体硅或绝缘体上硅衬底上的栅极氧化物上淀积非晶硅或多晶硅,并进行退火以获得具有大晶粒尺寸的多晶硅;
在所述具有大晶粒尺寸的多晶硅上淀积多晶SiGe,以形成叠层栅极;
对所述叠层栅极进行构图;
淀积氧化物,接着进行化学机械抛光,在所述栅极顶部上停止,并淀积薄氮化物层;
用光致抗蚀剂覆盖所述薄氮化物层并构图所述光致抗蚀剂和所述薄氮化物层,以用所述光致抗蚀剂和所述薄氮化物层覆盖pFET;
对nFET进行以下处理:通过蚀刻所述薄氮化物层和有选择地蚀刻用于nFET的多晶SiGe栅极来形成nFET,除去所述光致抗蚀剂,执行应变单晶SiGe的选择性外延生长,在nFET中填充多晶硅并进行化学机械抛光,在所述氧化物上停止;
淀积薄氮化物层和光致抗蚀剂并重复先前的方法步骤,但是此时覆盖nFET并处理pFET。
16.根据权利要求15的方法,包括在所述退火步骤之后氧化并蚀刻大晶粒多晶硅层,以获得选择的厚度。
17.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该方法包括以下步骤:
在体硅或绝缘体上硅衬底上的栅极氧化物上淀积非晶硅或多晶硅,并进行退火以获得具有大晶粒尺寸的多晶硅;
在所述具有大晶粒尺寸的多晶硅上淀积多晶SiGe,以形成叠层栅极;
对所述叠层栅极进行构图;
淀积氧化物,接着进行化学机械抛光,在所述栅极顶部上停止,并淀积薄氮化物层;
用光致抗蚀剂覆盖所述薄氮化物层并构图所述光致抗蚀剂和所述薄氮化物层,以用所述光致抗蚀剂和所述薄氮化物层覆盖nFET;
对pFET进行以下处理:通过蚀刻所述薄氮化物层和有选择地蚀刻用于pFET的多晶SiGe栅极来形成pFET,除去所述光致抗蚀剂,执行应变单晶SiGe的选择性外延生长,在pFET中填充多晶硅并进行化学机械抛光,在所述氧化物上停止;
淀积薄氮化物层和光致抗蚀剂并重复先前的方法步骤,但是此时覆盖pFET并处理nFET。
18.根据权利要求17的方法,包括在所述退火步骤之后氧化并蚀刻大晶粒多晶硅层,以获得选择的厚度。
19.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该方法包括以下步骤:
在体硅或绝缘体上硅衬底上的栅极氧化物上淀积弛豫的大晶粒多晶Si1-xGex,并进行退火以获得具有大晶粒尺寸的多晶Si1-xGex
在所述具有大晶粒尺寸的多晶Si1-xGex上淀积多晶硅,以形成叠层栅极;
对所述叠层栅极进行构图;
淀积氧化物,接着进行化学机械抛光,在所述栅极顶部上停止,并淀积薄氮化物层;
用光致抗蚀剂覆盖所述薄氮化物层并构图所述光致抗蚀剂和所述薄氮化物层,以用所述光致抗蚀剂和所述薄氮化物层覆盖pFET;
对nFET进行以下处理:通过蚀刻所述薄氮化物层和有选择地蚀刻用于nFET的多晶硅栅极来形成nFET,除去所述光致抗蚀剂,执行应变单晶Si1-yGey的选择性外延生长,其中y>x,在nFET中填充多晶硅并进行化学机械抛光,在所述氧化物上停止;
淀积薄氮化物层和光致抗蚀剂并重复先前的方法步骤,但是此时覆盖nFET并处理pFET,生长Si1-zGez,其中z<x。
20.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该方法包括以下步骤:
在体硅或绝缘体上硅衬底上的栅极氧化物上淀积弛豫的大晶粒多晶Si1-xGex,并进行退火以获得具有大晶粒尺寸的多晶Si1-xGex
在所述具有大晶粒尺寸的多晶Si1-xGex上淀积多晶硅,以形成叠层栅极;
对所述叠层栅极进行构图;
淀积氧化物,接着进行化学机械抛光,在所述栅极顶部上停止,并淀积薄氮化物层;
用光致抗蚀剂覆盖所述薄氮化物层并构图所述光致抗蚀剂和所述薄氮化物层,以用所述光致抗蚀剂和所述薄氮化物层覆盖nFET;
对pFET进行以下处理:通过蚀刻所述薄氮化物层和有选择地蚀刻用于pFET的多晶硅栅极来形成pFET,除去所述光致抗蚀剂,执行应变单晶Si1-yGey的选择性外延生长,其中y>x,在pFET中填充多晶硅并进行化学机械抛光,在所述氧化物上停止;
淀积薄氮化物层和光致抗蚀剂并重复先前的方法步骤,但是此时覆盖pFET并处理nFET,生长Si1-zGez,其中z<x。
21.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该器件具有由SiGe和/或Si:C产生的栅极应力,该方法包括以下步骤:
形成在叠层结构中的应变Si/SiGe或应变Si/Si:C的界面处具有应力的SiGe和/或Si:C的叠层结构,其中所述叠层结构具有在所述栅极介质层上的大晶粒尺寸Si或SiGe的第一应力膜层、在所述第一应力膜层上的应变SiGe或应变Si:C的第二应力膜层、以及在所述第二应力膜层上的半导体或导体;以及
构图所述叠层结构,以形成构图的叠层栅极结构。
22.根据权利要求21的器件,其中所述在所述第二应力膜层上的半导体或导体包括多晶硅。
23.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该方法包括以下步骤:
形成具有两个单晶硅层的键合处理晶片,所述两个单晶硅层具有各自的氧化物/硅界面;
在所述键合处理晶片上淀积多晶SiGe,以形成叠层栅极;
对所述叠层栅极进行构图;
淀积氧化物,接着进行化学机械抛光,在所述栅极顶部上停止,并淀积薄氮化物层;
用光致抗蚀剂覆盖所述薄氮化物层并构图所述光致抗蚀剂和所述薄氮化物层,以用所述光致抗蚀剂和所述薄氮化物层覆盖pFET;
对nFET进行以下处理:通过蚀刻所述薄氮化物层和有选择地蚀刻用于nFET的多晶SiGe栅极来形成nFET,除去所述光致抗蚀剂,执行应变单晶SiGe的选择性外延生长,在nFET中填充多晶硅并进行化学机械抛光,在所述氧化物上停止;
淀积薄氮化物层和光致抗蚀剂并重复先前的方法步骤,但是此时覆盖nFET并处理pFET。
24.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该方法包括以下步骤:
形成具有两个单晶硅层的键合处理晶片,所述两个单晶硅层具有各自的氧化物/硅界面;
在所述键合处理晶片上淀积多晶SiGe,以形成叠层栅极;
对所述叠层栅极进行构图;
淀积氧化物,接着进行化学机械抛光,在所述栅极顶部上停止,并淀积薄氮化物层;
用光致抗蚀剂覆盖所述薄氮化物层并构图所述光致抗蚀剂和所述薄氮化物层,以用所述光致抗蚀剂和所述薄氮化物层覆盖nFET;
对pFET进行以下处理:通过蚀刻所述薄氮化物层和有选择地蚀刻用于pFET的多晶SiGe栅极来形成pFET,除去所述光致抗蚀剂,执行应变单晶SiGe的选择性外延生长,在pFET中填充多晶硅并进行化学机械抛光,在所述氧化物上停止;
淀积薄氮化物层和光致抗蚀剂并重复先前的方法步骤,但是此时覆盖pFET并处理nFET。
25.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该方法包括以下步骤:
在体硅或绝缘体上硅衬底上形成栅极氧化物层,然后淀积非晶硅层;
淀积并构图光致抗蚀剂,蚀刻所述非晶硅,并蚀刻所述栅极氧化物;
除去所述光致抗蚀剂并淀积非晶硅;
淀积并构图光致抗蚀剂以覆盖将要形成nFET和pFET的区域,并蚀刻所述非晶硅直到所述栅极氧化物,以隔离用于晶体重结晶的nFET和pFET的区域;
退火所述结构,以重结晶所述非晶硅层,从而形成单晶硅;
在所述单晶硅上淀积多晶SiGe,以形成叠层栅极;
对所述叠层栅极进行构图;
淀积氧化物,接着进行化学机械抛光,在所述栅极顶部上停止,并淀积薄氮化物层;
用光致抗蚀剂覆盖所述薄氮化物层并构图所述光致抗蚀剂和所述薄氮化物层,以用所述光致抗蚀剂和所述薄氮化物层覆盖nFET;
对pFET进行以下处理:通过蚀刻所述薄氮化物层和有选择地蚀刻用于pFET的多晶SiGe栅极来形成pFET,除去所述光致抗蚀剂,执行应变单晶SiGe的选择性外延生长,在pFET中填充多晶硅并进行化学机械抛光,在所述氧化物上停止;
淀积薄氮化物层和光致抗蚀剂并重复先前的方法步骤,但是此时覆盖pFET并处理nFET。
26.一种制造体硅或绝缘体上硅金属氧化物半导体器件的方法,该方法包括以下步骤:
在体硅或绝缘体上硅衬底上的栅极氧化物上淀积非晶硅或多晶硅,并进行退火以获得具有大晶粒尺寸的多晶硅;
在所述具有大晶粒尺寸的多晶硅上淀积多晶SiGe,以形成叠层栅极;
对所述叠层栅极进行构图;
淀积氧化物,接着进行化学机械抛光,在所述栅极顶部上停止,并淀积薄氮化物层;
用光致抗蚀剂覆盖所述薄氮化物层并构图所述光致抗蚀剂和所述薄氮化物层,以用所述光致抗蚀剂和所述薄氮化物层覆盖nFET;
通过将碳注入pFET栅极的多晶SiGe中来形成pFET,并进行退火以在pFET栅极中的注入区中产生拉伸应力。
CNB2005100553049A 2004-04-23 2005-03-15 在体硅和soi mos器件中制造无位错应力沟道的结构和方法 Active CN100570889C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/709,239 2004-04-23
US10/709,239 US7504693B2 (en) 2004-04-23 2004-04-23 Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering

Publications (2)

Publication Number Publication Date
CN1691350A CN1691350A (zh) 2005-11-02
CN100570889C true CN100570889C (zh) 2009-12-16

Family

ID=35135569

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2005100553049A Active CN100570889C (zh) 2004-04-23 2005-03-15 在体硅和soi mos器件中制造无位错应力沟道的结构和方法

Country Status (3)

Country Link
US (3) US7504693B2 (zh)
CN (1) CN100570889C (zh)
TW (2) TW200608590A (zh)

Families Citing this family (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7410846B2 (en) * 2003-09-09 2008-08-12 International Business Machines Corporation Method for reduced N+ diffusion in strained Si on SiGe substrate
US6887751B2 (en) 2003-09-12 2005-05-03 International Business Machines Corporation MOSFET performance improvement using deformation in SOI structure
US6872641B1 (en) * 2003-09-23 2005-03-29 International Business Machines Corporation Strained silicon on relaxed sige film with uniform misfit dislocation density
US7144767B2 (en) * 2003-09-23 2006-12-05 International Business Machines Corporation NFETs using gate induced stress modulation
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US9159527B2 (en) * 2003-10-16 2015-10-13 Carl Zeiss Microscopy, Llc Systems and methods for a gas field ionization source
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7037770B2 (en) * 2003-10-20 2006-05-02 International Business Machines Corporation Method of manufacturing strained dislocation-free channels for CMOS
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7198995B2 (en) * 2003-12-12 2007-04-03 International Business Machines Corporation Strained finFETs and method of manufacture
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7202132B2 (en) * 2004-01-16 2007-04-10 International Business Machines Corporation Protecting silicon germanium sidewall with silicon for strained silicon/silicon germanium MOSFETs
US7118999B2 (en) 2004-01-16 2006-10-10 International Business Machines Corporation Method and apparatus to increase strain effect in a transistor channel
US7381609B2 (en) 2004-01-16 2008-06-03 International Business Machines Corporation Method and structure for controlling stress in a transistor channel
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7223994B2 (en) * 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7288443B2 (en) * 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension
US7384829B2 (en) * 2004-07-23 2008-06-10 International Business Machines Corporation Patterned strained semiconductor substrate and device
US7256081B2 (en) * 2005-02-01 2007-08-14 International Business Machines Corporation Structure and method to induce strain in a semiconductor device channel with stressed film under the gate
US7545004B2 (en) * 2005-04-12 2009-06-09 International Business Machines Corporation Method and structure for forming strained devices
US20070010070A1 (en) * 2005-07-05 2007-01-11 International Business Machines Corporation Fabrication of strained semiconductor-on-insulator (ssoi) structures by using strained insulating layers
US7655511B2 (en) 2005-11-03 2010-02-02 International Business Machines Corporation Gate electrode stress control for finFET performance enhancement
US20070108529A1 (en) * 2005-11-14 2007-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Strained gate electrodes in semiconductor devices
US7564081B2 (en) 2005-11-30 2009-07-21 International Business Machines Corporation finFET structure with multiply stressed gate electrode
US7635620B2 (en) 2006-01-10 2009-12-22 International Business Machines Corporation Semiconductor device structure having enhanced performance FET device
US20070158743A1 (en) * 2006-01-11 2007-07-12 International Business Machines Corporation Thin silicon single diffusion field effect transistor for enhanced drive performance with stress film liners
US7691698B2 (en) 2006-02-21 2010-04-06 International Business Machines Corporation Pseudomorphic Si/SiGe/Si body device with embedded SiGe source/drain
EP1833094B1 (en) * 2006-03-06 2011-02-02 STMicroelectronics (Crolles 2) SAS Formation of shallow SiGe conduction channel
US7521307B2 (en) 2006-04-28 2009-04-21 International Business Machines Corporation CMOS structures and methods using self-aligned dual stressed layers
US7615418B2 (en) * 2006-04-28 2009-11-10 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
US7608489B2 (en) * 2006-04-28 2009-10-27 International Business Machines Corporation High performance stress-enhance MOSFET and method of manufacture
JP2007329200A (ja) * 2006-06-06 2007-12-20 Toshiba Corp 半導体装置の製造方法
US8853746B2 (en) 2006-06-29 2014-10-07 International Business Machines Corporation CMOS devices with stressed channel regions, and methods for fabricating the same
DE102006030264B4 (de) * 2006-06-30 2008-08-28 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung von Transistoren mit einem Kanal mit biaxialer Verformung, die durch Silizium/Germanium in der Gateelektrode hervorgerufen wird
JP2008028357A (ja) * 2006-07-24 2008-02-07 Hynix Semiconductor Inc 半導体素子及びその製造方法
US7790540B2 (en) 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
US8754446B2 (en) 2006-08-30 2014-06-17 International Business Machines Corporation Semiconductor structure having undercut-gate-oxide gate stack enclosed by protective barrier material
US7462522B2 (en) 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
US7799592B2 (en) * 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US7960243B2 (en) * 2007-05-31 2011-06-14 Freescale Semiconductor, Inc. Method of forming a semiconductor device featuring a gate stressor and semiconductor device
JP2009016692A (ja) * 2007-07-06 2009-01-22 Toshiba Corp 半導体記憶装置の製造方法と半導体記憶装置
US7615435B2 (en) * 2007-07-31 2009-11-10 International Business Machines Corporation Semiconductor device and method of manufacture
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
JP2009099724A (ja) * 2007-10-16 2009-05-07 Toshiba Corp 半導体装置
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US8293631B2 (en) 2008-03-13 2012-10-23 International Business Machines Corporation Semiconductor devices having tensile and/or compressive stress and methods of manufacturing
KR20110036312A (ko) * 2009-10-01 2011-04-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
CN102117808B (zh) * 2009-12-31 2013-03-13 中国科学院微电子研究所 具有改善的载流子迁移率的场效应晶体管器件及制造方法
US8598006B2 (en) 2010-03-16 2013-12-03 International Business Machines Corporation Strain preserving ion implantation methods
CN102569383A (zh) * 2010-12-14 2012-07-11 中国科学院微电子研究所 一种mos管及其制造方法
CN103489765B (zh) * 2012-06-11 2016-06-22 中芯国际集成电路制造(上海)有限公司 Nmos金属栅电极的制作方法
US8835292B2 (en) 2012-10-31 2014-09-16 International Business Machines Corporation Method of manufacturing semiconductor devices including replacement metal gate process incorporating a conductive dummy gate layer
US9087724B2 (en) * 2013-03-21 2015-07-21 International Business Machines Corporation Method and structure for finFET CMOS
US9391077B2 (en) 2014-02-10 2016-07-12 International Business Machines Corporation SiGe and Si FinFET structures and methods for making the same
US9536900B2 (en) * 2014-05-22 2017-01-03 Globalfoundries Inc. Forming fins of different semiconductor materials on the same substrate
US9196730B1 (en) * 2014-06-20 2015-11-24 Taiwan Seminconductor Manufacturing Company Limited Variable channel strain of nanowire transistors to improve drive current
US10032912B2 (en) 2014-12-31 2018-07-24 Stmicroelectronics, Inc. Semiconductor integrated structure having an epitaxial SiGe layer extending from silicon-containing regions formed between segments of oxide regions
US9455274B2 (en) 2015-01-30 2016-09-27 International Business Machines Corporation Replacement fin process in SSOI wafer
US9508741B2 (en) 2015-02-10 2016-11-29 International Business Machines Corporation CMOS structure on SSOI wafer
US9401372B1 (en) 2015-02-10 2016-07-26 International Business Machines Corporation Dual isolation on SSOI wafer
US9437680B1 (en) 2015-03-31 2016-09-06 International Business Machines Corporation Silicon-on-insulator substrates having selectively formed strained and relaxed device regions
US9349798B1 (en) 2015-06-29 2016-05-24 International Business Machines Corporation CMOS structures with selective tensile strained NFET fins and relaxed PFET fins
US9548361B1 (en) 2015-06-30 2017-01-17 Stmicroelectronics, Inc. Method of using a sacrificial gate structure to make a metal gate FinFET transistor
KR102292812B1 (ko) 2015-08-18 2021-08-23 삼성전자주식회사 반도체 장치
US9679899B2 (en) 2015-08-24 2017-06-13 Stmicroelectronics, Inc. Co-integration of tensile silicon and compressive silicon germanium
US9570298B1 (en) * 2015-12-09 2017-02-14 International Business Machines Corporation Localized elastic strain relaxed buffer
KR102434914B1 (ko) 2016-01-15 2022-08-23 삼성전자주식회사 반도체 소자의 패턴 형성 방법 및 이를 이용한 반도체 소자의 제조 방법
US10529716B1 (en) 2018-10-05 2020-01-07 International Business Machines Corporation Asymmetric threshold voltage VTFET with intrinsic dual channel epitaxy
CN110021598B (zh) * 2019-04-30 2021-04-27 上海新微技术研发中心有限公司 应变层的形成方法、半导体器件及其制造方法

Family Cites Families (86)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
ATE59917T1 (de) * 1985-09-13 1991-01-15 Siemens Ag Integrierte bipolar- und komplementaere mostransistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
JP3156878B2 (ja) * 1992-04-30 2001-04-16 株式会社東芝 半導体装置およびその製造方法
US5461250A (en) * 1992-08-10 1995-10-24 International Business Machines Corporation SiGe thin film or SOI MOSFET and method for making the same
US5561302A (en) * 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5986311A (en) * 1997-05-19 1999-11-16 Citizen Watch Company, Ltd. Semiconductor device having recrystallized source/drain regions
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
KR100213196B1 (ko) * 1996-03-15 1999-08-02 윤종용 트렌치 소자분리
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6060656A (en) * 1997-03-17 2000-05-09 Regents Of The University Of California Si/SiGe superlattice structures for use in thermoelectric devices
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6656697B1 (en) * 1998-09-28 2003-12-02 Lifescan, Inc. Diagnostics based on tetrazolium compounds
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
JP3262089B2 (ja) 1998-12-02 2002-03-04 日本電気株式会社 ディファレンシャル注入における条件設定方法
US6607948B1 (en) * 1998-12-24 2003-08-19 Kabushiki Kaisha Toshiba Method of manufacturing a substrate using an SiGe layer
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
TW517260B (en) * 1999-05-15 2003-01-11 Semiconductor Energy Lab Semiconductor device and method for its fabrication
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6690043B1 (en) * 1999-11-26 2004-02-10 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
AU2002228779A1 (en) * 2000-12-04 2002-06-18 Amberwave Systems Corporation Cmos inverter circuits utilizing strained silicon surface channel mosfets
US6649480B2 (en) * 2000-12-04 2003-11-18 Amberwave Systems Corporation Method of fabricating CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6635506B2 (en) * 2001-11-07 2003-10-21 International Business Machines Corporation Method of fabricating micro-electromechanical switches on CMOS compatible substrates
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6621392B1 (en) * 2002-04-25 2003-09-16 International Business Machines Corporation Micro electromechanical switch having self-aligned spacers
US6953736B2 (en) * 2002-07-09 2005-10-11 S.O.I.Tec Silicon On Insulator Technologies S.A. Process for transferring a layer of strained semiconductor material
JP4546021B2 (ja) * 2002-10-02 2010-09-15 ルネサスエレクトロニクス株式会社 絶縁ゲート型電界効果型トランジスタ及び半導体装置
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US6835618B1 (en) * 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7012009B2 (en) * 2004-02-24 2006-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving the electrical continuity for a silicon-germanium film across a silicon/oxide/polysilicon surface using a novel two-temperature process
US7923782B2 (en) * 2004-02-27 2011-04-12 International Business Machines Corporation Hybrid SOI/bulk semiconductor transistors
US7060539B2 (en) * 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby

Also Published As

Publication number Publication date
US20090149010A1 (en) 2009-06-11
TW201212244A (en) 2012-03-16
US20050236668A1 (en) 2005-10-27
US7504693B2 (en) 2009-03-17
TW200608590A (en) 2006-03-01
US20080064197A1 (en) 2008-03-13
US7713806B2 (en) 2010-05-11
CN1691350A (zh) 2005-11-02
US7476580B2 (en) 2009-01-13

Similar Documents

Publication Publication Date Title
CN100570889C (zh) 在体硅和soi mos器件中制造无位错应力沟道的结构和方法
US7074623B2 (en) Methods of forming strained-semiconductor-on-insulator finFET device structures
US6893936B1 (en) Method of Forming strained SI/SIGE on insulator with silicon germanium buffer
CN100495687C (zh) 双应力soi衬底
US8211761B2 (en) Semiconductor system using germanium condensation
US7393732B2 (en) Double silicon-on-insulator (SOI) metal oxide semiconductor field effect transistor (MOSFET) structures
US7335545B2 (en) Control of strain in device layers by prevention of relaxation
US20060011984A1 (en) Control of strain in device layers by selective relaxation
US20100176495A1 (en) Low cost fabrication of double box back gate silicon-on-insulator wafers
US8933515B2 (en) Device structure, layout and fabrication method for uniaxially strained transistors
JPH11340465A (ja) Soi半導体装置及びその製造方法
JP2000031491A (ja) 半導体装置,半導体装置の製造方法,半導体基板および半導体基板の製造方法
US8716752B2 (en) Structure and method for making a strained silicon transistor
US20130157424A1 (en) Method for improved mobility using hybrid orientaion technology (HOT) in conjunction with
US20070132011A1 (en) Semiconductor device and method of fabricating the same background
JP3664704B2 (ja) 半導体装置
US10680065B2 (en) Field-effect transistors with a grown silicon-germanium channel
CN100477271C (zh) 具有复合区域的绝缘体上硅场效应晶体管及形成该场效应晶体管的方法
US7351994B2 (en) Noble high-k device
US20240128322A1 (en) Device with laterally graded channel region
JP2005116981A (ja) 半導体装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20171102

Address after: Grand Cayman, Cayman Islands

Patentee after: GLOBALFOUNDRIES INC.

Address before: American New York

Patentee before: Core USA second LLC

Effective date of registration: 20171102

Address after: American New York

Patentee after: Core USA second LLC

Address before: American New York

Patentee before: International Business Machines Corp.

TR01 Transfer of patent right