CN100585808C - 包括无定形碳层的掩模结构 - Google Patents

包括无定形碳层的掩模结构 Download PDF

Info

Publication number
CN100585808C
CN100585808C CN200480033277A CN200480033277A CN100585808C CN 100585808 C CN100585808 C CN 100585808C CN 200480033277 A CN200480033277 A CN 200480033277A CN 200480033277 A CN200480033277 A CN 200480033277A CN 100585808 C CN100585808 C CN 100585808C
Authority
CN
China
Prior art keywords
amorphous carbon
layer
top layer
carbon layer
layer comprises
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN200480033277A
Other languages
English (en)
Other versions
CN1879196A (zh
Inventor
G·S·桑胡
殷之平
李伟民
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Micron Technology Inc
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of CN1879196A publication Critical patent/CN1879196A/zh
Application granted granted Critical
Publication of CN100585808C publication Critical patent/CN100585808C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B12/00Dynamic random access memory [DRAM] devices
    • H10B12/01Manufacture or treatment
    • H10B12/02Manufacture or treatment for one transistor one-capacitor [1T-1C] memory cells
    • H10B12/03Making the capacitor or connections thereto
    • H10B12/033Making the capacitor or connections thereto the capacitor extending over the transistor

Abstract

形成具有多层的掩模结构。该掩模结构包括无定形碳层和形成在无定形碳层上的帽盖层。该无定形碳层包括透明的无定形碳。该帽盖层包括非氧化物材料。在半导体器件制造期间,该掩模结构可以用作在蚀刻处理中的掩模。

Description

包括无定形碳层的掩模结构
相关申请
本申请涉及以下共同悬而未决且共同指定的申请;代理案号303.864US1、申请序列号10/661,379、名称为“透明无定形碳结构半导体器件”,在此通过参考将其并入本文。
技术领域
本发明一般涉及半导体器件,更具体地,涉及在半导体器件中的掩模结构。
背景技术
半导体器件如存储器件位于多种计算机和电子产品中以存储数据。典型的半导体器件具有形成于半导体晶片上的很多不同材料层。
在制造期间,这些层经历了很多处理。例如,图案化处理将图案赋予这些层。一些图案化处理使用掩模,以将图案从掩模转移到在掩膜下方的这些层中。通常通过使用掩模上的顶层来产生掩模自身的图案。
在图案化处理期间,顶层的材料会影响在顶层和掩膜下方的这些层的特性。一些常规的顶层由氧化物材料制成。在一些情况下,氧化物顶层影响在顶层和掩膜下方的这些层。由此,在一些情况下,由氧化物制成的顶层并不适合。
发明内容
本发明提供具有掩模结构的器件和用于形成该掩模结构的技术。该掩模结构包括掩模和帽盖层。掩模由包括透明无定形碳的无定形碳形成。该帽盖层由非氧化物材料形成。
附图说明
图1至图11示出了在根据本发明各实施例的各个处理步骤期间器件的截面图。
图12至图23示出了在根据本发明各实施例的各个处理步骤期间存储器件的截面图。
图24示出了根据本发明的实施例的系统。
具体实施方式
以下的描述和附图说明了本发明的具体实施例,能够使得本领域技术人员能够实施本发明。其它的实施例可结合结构、逻辑、电学、工艺或其它方面的改变。在图中,贯穿这几幅图,相同的数字表示基本相似的部件。实例仅表示可能的变化。一些实施例的部分和特征可包括在其它的那些当中,或是代替其它的那些。本发明的范围包括权利要求和所有可用等同物的全部范围。
图1至图10示出了根据本发明各实施例的各个处理步骤期间的器件100。
图1示出了包括衬底110的器件100的截面图。衬底110可表示晶片的一部分,或可以是晶片本身。该晶片可以是半导体晶片,如硅晶片。衬底110也可以是形成于晶片上的结构或层。衬底110可包括非导电材料、导电材料和半导体材料中的至少一种。非导电材料的实例包括氧化物(如SiO2、Al2O3)、氮化物(如Si3N4)和玻璃(硼磷硅酸盐玻璃BPSG)。导电材料的实例包括铝、钨其它金属以及金属的化合物。半导体材料的实例包括硅、和掺杂有其它材料如硼、磷和砷的硅。在由图1表示的实施例中,衬底110包括半导体材料。
衬底110具有表面112,该表面中形成了对准标记114。对准标记114提供参考点或衬底(晶片)110的坐标。在对准处理期间,对准标记114用于对准或定位衬底110,以使在衬底110上的结构和层可精确地相互对准或与衬底110对准。
图2示出具有形成于衬底210上的器件结构220的器件100。器件结构220包括多个层222、224和226。这些多层中的每一层都包括非导电材料、半导体材料和导电材料中的至少一种。例如,层222可以是氧化物层;层224可以是金属层或具有金属和硅的化合物的层;和层226可以是氮化物层。在一些实施例中,以不同于图2中所示顺序的顺序设置多个层222、224和226。通过生长或沉积或通过其它公知处理形成多个层222、224和226。在一些实施例中,从器件结构220中省略了层222、224和226中的一层或多层。在其它实施例中,将与层222、224和226相似的一层或多个其他层加至器件结构220。器件结构220具有厚度T2。在一些实施例中,T2大于40000埃。
图3示出了具有形成于器件结构220上的掩模(层)330的器件100。掩模330由适合于在随后的蚀刻处理中蚀刻器件结构220的材料形成。在由图2表示的实施例中,掩模330由无定形碳形成。由此,在图2中,掩模330也称作无定形碳层330。无定形碳层330具有厚度T3。在一些实施例中,器件结构220具有某一厚度,以形成具有足够厚度的无定形碳层330,从而适当地蚀刻器件结构220。T3可以是任何厚度。在一些实施例中,T3至少为4000埃。
在一些实施例中,无定形碳层330具有低的吸收系数,以使无定形碳层330在可见光范围内是透明的。可见光范围包括具有400纳米和700纳米之间波长的电磁辐射。在一些实施例中,在633纳米的波长处,无定形碳层330具有约0.8和0.001之间的吸收系数(k)。当无定形碳层330在可见光范围内透明时,无定形碳层330称作透明无定形碳层。在一些实施例中,在633的纳米波长处,透明无定形碳层具有约0.15和0.001之间的吸收系数(k)。
无定形碳层330可通过沉积处理形成。在一些实施例中,通过化学气相沉积(CVD)处理来形成无定形碳层330。在其它实施例中,通过等离子体增强化学气相沉积(PECVD)处理来形成无定形碳层330。也可以将其它公知处理用于形成无定形碳层330。
在形成透明无定形碳层如无定形碳层330的示范性PECVD处理中,以在约500sccm(标准立方厘米每分钟)和约2000sccm之间的示范性流率将包括丙烯(C3H6)的处理气体引入到PECVD室中。以在约250sccm和约500sccm之间的示范性流率将包括氦的其它气体引进到室中。而且,存在将碳氢化合物气体中的至少一种用作处理气体的实施例。其它碳氢化合物气体的实例包括CH4、C2H2、C2H4、C2H6和C3H8。氦还可用于与这些碳氢化合物气体中的至少一种组合。
在用于形成透明无定形碳层如无定形碳层330的上述示范性处理中,将气体混合物引进到室中。在该说明书中,该气体混合物可以仅是一种气体或是至少两种气体的组合。例如,气体混合物可以仅仅是丙烯(C3H6)或是丙烯和氦的组合。作为另一实例,气体混合物可以是丙烯、CH4、C2H2、C2H4、C2H6和C3H8中的至少一种。作为又一实施例,该气体混合物可以是丙烯、CH4、C2H2、C2H4、C2H6和C3H8中的至少一种加上氦。
在形成透明无定形碳层如无定形碳330的示范性PECVD处理中,将室中的温度设置在约200℃和约500℃之间。在一些实施例中,将室中的温度设置为从约200℃至低于约300℃。对该室施加射频(RF)功率和压力。在一些实施例中,将射频功率设置在约400瓦特和约1000瓦特之间,且将压力设置为约4托和约7托之间。
图4示出了在无定形碳层330上形成帽盖层440之后的器件100。帽盖层440包括非氧化物材料(不含氧的材料)。非氧化物材料的实例包括碳化硼(BxC)、氮化硼(BN)、碳化硅(SiC)、氮化硅(SixNy)、掺杂有氮化物的氟或者硅、氮和氟的组合(如SixNy:F)、掺杂有碳化物的氟或者硅、碳和氟的组合(如SC:F)和以及氟化物膜如CaFx和MgFx。氧化物材料的实例包括氧化硅(SiO2)。在一些实施例中,将氢混合到帽盖层440的非氧化物材料中。
在上述的实例中,x和y表示在稳定化合物中的原子数目。例如,在SixNy中,x表示与y个氮原子形成稳定化合物的硅原子数目。在该实例中,x可以为三且y可以为四。
可通过沉积处理如CVD和PECVD处理形成帽盖层440。在一些实施例中,在同一处理(相同处理步骤)中,将帽盖层440与无定形碳层330一起形成,以在无定形碳层330上原位沉积帽盖层440。例如,在与图4中描述的处理类似的CVD或PECVD处理中形成层330期间或之后,将包括硼的气体如B2H6、B4H10和BH3CO引入到室中,以在无定形碳层330上形成具有碳化硼的帽盖层440。在一些实施例中,帽盖层440包括具有约0.5原子百分比至约70原子百分比的硼的碳化硼。
图5示出了在帽盖层440上形成光致抗蚀剂层550之后的器件100。光致抗蚀剂层550可通过公知处理来形成。无定形碳层330、帽盖层440和光致抗蚀剂层550的组合形成了掩模结构560。在一些实施例中,掩模结构560包括形成于帽盖层440和光致抗蚀剂层550之间的附加层;该附加层用作抗反射层以增强光处理性能。掩模结构560用作掩模,以在随后的处理中蚀刻在掩模结构560下方的一层或多层。
图6示出了在图案化光致抗蚀剂层550之后的器件100。可使用公知技术进行对光致抗蚀剂层550的图案化。该图案化的光致抗蚀剂层550具有开口601。
图7示出了图案化帽盖层440之后的器件100。在一些实施例中,进行氧等离子体蚀刻处理,以使用光致抗蚀剂层550作为掩模来图案化帽盖层440。在图案化帽盖层440之后,图案化的帽盖层440具有开口701,该开口与图案化的光致抗蚀剂层550的开口相连续或对准。图7示出了在图案化帽盖层440之后仍保留的图案化的光致抗蚀剂。然而,在一些实施例中,除去图案化的光致抗蚀剂层550,以使在掩模结构560内部,只保留图案化的帽盖层440和无定形碳层330。
图8示出了在图案化无定形碳层330之后的器件100。在一些实施例中,在蚀刻处理,如使用图案化的帽盖层440作为掩模的氧等离子体蚀刻处理中图案化无定形碳层330。该图案化的无定形碳层330具有与图案化的帽盖层440的开口801相连续或对准的开口801。
图9示出了在除去光致抗蚀剂层550之后的器件100。将剩余的帽盖层440和无定形碳层330的组合用作掩模以蚀刻器件结构220的一部分、或整个器件结构220、或整个器件结构220和衬底210的至少一部分。在一些实施例中,在图案化无定形碳层330之后除去光致抗蚀剂层550和帽盖层440。由此,只保留了无定形碳层330,且将其用作掩模,以蚀刻在无定形碳层330下方的层。
图10示出了在蚀刻器件结构220之后的器件100。使用帽盖层440和无定形碳层330作为掩模来蚀刻器件结构220。作为蚀刻处理的结果,形成了沟槽1001。在由图8表示的实施例中,沟槽1001形成在器件结构220的至少部分中。在一些实施例中,沟槽1001形成于整个器件结构220中和衬底210的至少一部分中。
将层222蚀刻至水平1002。水平802是衬底210的表面112上方的任一水平。在由图8表示的实施例中,如图8中所示,蚀刻器件结构220,以使蚀刻处理穿过层226和224,且部分进入到层222中,停止在水平1002处。然而,在一些实施例中,蚀刻器件结构220以使水平802在器件结构220中的任一位置。在其它实施例中,蚀刻处理穿过所有层222、224和226且停止于衬底210的表面112处或停止于其下方。蚀刻处理蚀刻到器件结构220中的程度取决于在蚀刻器件结构220之后将形成什么。例如,如果将形成导电互连,则蚀刻器件结构至一个水平,如果将形成部件如电容器,则蚀刻至另一个水平。
图11示出除去了帽盖层440和无定形碳层330之后的器件100。帽盖层440可以通过处理如氧等离子体处理来除去。无定形碳层330可以通过处理如灰化处理来除去。在一些实施例中,使用氧等离子体或氧等离子体和CF4的组合的灰化处理来除去无定形碳层330。
在上面图3至图11的描述中,在可见光范围内为透明的无定形碳层330包括在掩模结构560中,用作掩模以蚀刻器件结构220。在一些实施例中,无定形碳层如无定形碳层330也可包括在器件结构220中。例如,器件结构220的层222、224和226中的一层为无定形碳层,如无定形碳层330。作为另一实例,器件结构220可以包括除了层222、224和226之外的附加层,其中附加层是无定形碳层,如无定形碳层330。
在无定形碳层存在于器件结构220中的实施例中,器件结构220中的无定形碳层可以用于绝缘的目的、抗反射的目的或用于其它目的。因此,在器件结构220包括与无定形碳层330相类似的无定形碳层的实施例中,器件结构220的无定形碳层在除去了掩模结构560的无定形碳层330之后仍然保留。
如图10中所示,在除去了无定形碳层330之后,对器件100进行其它的处理,以形成部件,如晶体管、电容器、存储单元或集成电路如存储器件、处理器、专用集成电路或其它类型的集成电路。
在图1至图11的描述中,器件结构220可包括具有不同特性的不同材料的多层。由此,对于器件结构220中的不同材料,需要具有不同材料的不同掩模结构,以蚀刻器件结构220,以使蚀刻处理不会影响器件结构中的材料。
在上面图1至图11的描述中。掩模结构560包括无定形碳层330和帽盖层440,其中帽盖层440可由不同材料如非氧化物材料形成。由于无定形碳层330由无定形碳形成,因此其具有相对高的电阻。由此,无定形碳层对器件结构220内部的介电材料和金属材料的多层提供适合的蚀刻选择性。由于帽盖层440可由不同类型的非氧化物材料形成,因此帽盖层440可由不同材料形成,以适应器件结构220的不同材料。由此,无定形碳层330和不同材料的帽盖层440的组合使得掩模结构560成为适合于选择性蚀刻器件结构如器件结构220的不同材料的掩模。
而且,当与无定形碳层330一起原位沉积帽盖层440时,会减少时间和成本。此外,可调整(选择)形成无定形碳层330或帽盖层440或这两层的方法,以影响层230和440中的一层或两层的光学性质,从而改善衬底210上的对准标记的读出。例如,可以选择用于无定形碳层330或帽盖层440或这两层的方法,以使这些层中的一层或两层具有低的光吸收率,从而改善对准标记,如衬底210上的对准标记114的读出。
图12至图23示出在根据本发明各实施例的各个处理步骤期间存储器件1200的截面图。在图12中,存储器件1200包括具有形成于衬底1202的表面1207上的对准标记1204的衬底1202。在衬底1202上形成多个表面结构(栅结构)1205(1205.1至1205.4)。在衬底1202内部形成多个扩散区域1206(1206.1至1206.3)和隔离结构1207.1和1207.2。为了清楚起见,图12示出了对准标记1204,而没有在对准标记1204上方形成元件。然而,例如图12中示出的层的元件可形成于对准标记1204的上方。
存储器件1200还包括绝缘层1230和穿过绝缘层1230延伸的多个触点1240(1240.1至1240.3)。每个触点1240都连接至扩散区域1206中的一个。阻挡层1245将表面结构1205与绝缘层1230及触点1240分开。触点1240由导电材料制成,以提供用于扩散区域1206的电连接。阻挡层1245可以为氧化物、或氮化物、或其他非导电材料,以防止在表面结构1205和绝缘层1230之间材料的交叉扩散。在一些实施例中,省略了阻挡层1245。绝缘层1230提供了触点1240之间的绝缘。绝缘层1230可以是掺杂了一种或多种掺杂剂如硼和磷的硅酸盐玻璃或其它类型的掺杂玻璃。例如,绝缘层1230可以是硼硅酸盐玻璃(BSG)或磷硅酸盐玻璃(PSG)。在由图12表示的实施例中,绝缘层1230包括硼磷硅酸盐玻璃(BPSG)且具有厚度T12。在一些实施例中,T12在2000埃至5000埃的范围内。
在由图12表示的实施例中,衬底1202包括掺杂有掺杂剂如硼的硅,以使其成为P-型材料。扩散区域1206掺杂有掺杂剂如磷,以使其成为N-型材料。在一些实施例中,衬底1202可以是N-型材料,扩散区域1206可以是P-材料。
每个栅结构1205包括多个元件:栅电介质(栅氧化物)1209、掺杂的多晶硅层1212、硅化物层1214、帽盖电介质层1216和电介质间隔物1218。硅化物层1214可以包括金属和硅的化合物,如硅化钛、硅化钨及其它。栅结构1205中的所有电介质可以包括例如氧化硅的材料。每个栅结构1205还可称作字线。使用公知技术形成图12的结构。
图13示出在形成绝缘层1310之后的存储器件1200。绝缘层1310可以包括与绝缘层1230相似的BSG、PSG或BPSG。绝缘层1310和图12中的其它结构形成器件结构1320。器件结构1320具有厚度T13。在一些实施例中,T13至少为40000埃。
图14示出了在器件结构1320上形成掩模1430之后的存储器件1200。掩模1430可以包括无定形碳。由此,掩模1430还称作无定形碳层1430。在一些实施例中,无定形碳层1430具有低的吸收系数,以使无定形碳层1430在可见光范围内是透明的。在一些实施例中,在633纳米的波长处,无定形碳层1430具有在约0.8和0.001之间的吸收系数(k)。在其它实施例中,无定形碳层1430是透明无定形碳层,其中在633纳米的波长处,该透明无定形碳层具有在约0.15和0.001之间的吸收系数(k)。无定形碳层1430可以通过与图4中描述的无定形碳层330的形成方法相似的方法形成。
由于无定形碳层1430在可见光范围内是透明的,因此可以以选择的厚度形成无定形碳层1430,以适当地蚀刻器件结构1320,而基本上不会影响在器件1200对准期间的对准标记1204的读出。无定形碳层1430具有厚度T14,可选择该厚度为合适的值,以便适当地蚀刻器件结构1320。T14可以是任一厚度。在一些实施例中,T14至少为4000埃。
图15示出了在无定形碳层1430上形成帽盖层1540之后的存储器件1200。帽盖层1540包括非氧化物材料如碳化硼(BxC)、氮化硼(BN)、碳化硅(SiC)、氮化硅(SixNy)、掺杂有氧化物的氟(如SiOx:F)、掺杂有氮化物的氟(如SixNy:F)、掺杂有碳化物的氟(如SC:F)和氟化物膜如CaFx和MgFx。在一些实施例中,将氢结合到非氧化物材料的帽盖层1540中。帽盖层1540可通过如CVD或PECVD处理的处理来形成。在一些实施例中,帽盖层1540可与无定形碳层1430在同一处理(相同处理步骤)中一起形成,以在无定形碳层1430上原位沉积帽盖层1540。例如,在与图4中描述的处理相似的CVD或PECVD处理中形成无定形碳层1430期间或之后,可将包括硼的气体如B2H6、B4H10和BH3CO引入到室中,以在无定形碳层1430上形成具有碳化硼的帽盖层1540。在一些实施例中,帽盖层1540包括具有约0.5原子百分比至约70原子百分比的硼的碳化硼。
图16示出了在帽盖层1540上形成光致抗蚀剂层1650之后的器件1200。光致抗蚀剂层1650可通过公知处理来形成。无定形碳层1430、帽盖层1540和光致抗蚀剂层1650的组合形成了掩模结构1660。在一些实施例中,掩模结构1540包括形成于帽盖层1540和光致抗蚀剂层1650之间的附加层;该附加层用作抗反射层,以增强光处理性能。将掩模结构1660用作掩模,以在随后的处理中蚀刻在掩模结构1660下方的一层或多层。
图17示出了在图案化光致抗蚀剂层1650之后的器件1200。图案化光致抗蚀剂层1650可以通过使用公知技术来进行。图案化的光致抗蚀剂层1650具有开口1701。
图18示出了在图案化帽盖层1540之后的器件1200。在一些实施例中,在蚀刻处理如使用光致抗蚀剂层1650作为掩模的氧等离子体蚀刻处理中图案化帽盖层1540。在图案化帽盖层1540之后,图案化的帽盖层1540具有与图案化的光致抗蚀剂层1650的开口相连续或对准的开口1801。图17示出了在图案化帽盖层1540之后仍然保留的图案化的光致抗蚀剂。然而,在一些实施例中,使得图案化的光致抗蚀剂层1650在掩模结构1660内,只留下图案化的帽盖层1540和无定形碳层1430。
图19示出了在图案化无定形碳层1430之后的器件1200。在一些实施例中,在蚀刻处理如使用图案化的帽盖层1540作为掩模的氧等离子体蚀刻处理中图案化无定形碳层1430。图案化的无定形碳层1430具有与图案化的帽盖层1540的开口相连续或对准的开口1901。
图20示出在除去了光致抗蚀剂层1650之后的器件1200。将剩余的帽盖层1340和无定形碳层1430的组合用作掩模,以蚀刻器件结构1320的一部分、或整个器件结构1320、或整个器件结构1320与衬底1202的至少一部分。在一些实施例中,在图案化无定形碳层1430之后,将光致抗蚀剂层1650和帽盖层1540都除去。由此,只保留了无定形碳层1430,且将其用作掩模以蚀刻在无定形碳层1430下面的层。
图21示出了在蚀刻器件结构1320之后的器件1200。使用帽盖层1540和无定形碳层1430作为掩模,蚀刻器件结构1320。蚀刻的器件结构1320具有开口2101。
图22示出了在除去了帽盖层1540和无定形碳层1430之后的器件1200。除去帽盖层1540和无定形碳层1430的处理与除去图11的帽盖层440和无定形碳层330的处理相似。
图23示出了在使用公知技术形成其它层之后的器件1200。在每个开口2101中,形成了第一导电层2302(2302.1和2302.2)、第二导电层2304(2304.1和2304.2)以及电介质层2306(2306.1和2306.2)。导电层2302、2304、电介质层2306和其它元件形成了存储电容器C1和C2。例如,在存储电容器C1中,导电层2302.1、触点1240.1和扩散区域1206.1形成了第一电容板(底板);导电层2302.2形成了第二电容板(顶板);和电介质层2306.1是电容器的电介质。在一些实施例中,导电层2304连接至存储器件1200的共同单元板。为了简单起见,图19省略了该共同单元板。
存储器件1200包括存取晶体管T1和T2。栅结构1205.2和扩散区域1206.1-1206.2形成了存取晶体管T1。栅结构1205.3和扩散区域1206.2-1206.3形成了存取晶体管T2。存取晶体管T1和存储电容器C1形成了存储单元CELL 1。存取晶体管T2和存储电容器C2形成了存储单元CELL 2。
存储单元CELL 1和CELL 2以存储电容器C1和C2中的电荷的方式存储数据。该电荷经由触点1240.2转移至电容器C1和C2的掺杂区域1206.1和1206.3,或从其转移。在一些实施例中,触点1240.2是埋入的位线触点,其连接至存储器件1200的位线。
在其它实施例中,具有与层2302、2304和2306的结构不同结构的其它元件可形成于开口2101中(图21)。例如,代替电容器板的互连可形成于开口2101中,以将扩散区域1206连接至存储器件1200的其它部分。
存储器件1200可以是动态随机存取存储(DRAM)器件。DRAM器件的实例包括同步DRAM,通常称作SDRAM、SDRAM II、SGRAM(同步图形随机存取存储器)、DDR SDRAM(双数据率SDRAM)、DDR II SDRAM、DDRIII SDRAM、GDDRIII SDRAM(图形双数据率)和Rambus DRAM。存储器件1200包括其它元件,为了清楚,未示出该其它元件。
图24示出根据本发明实施例的系统。系统2400包括室2410和设置在室中的晶片2420。在一些实施例中,室2410是PECVD室,晶片2420是半导体晶片。室2410的一个实例包括可从位于Santa Clara,California的Appllied Materials公司获得的发生器处理器(ProducerProcessor)的室。室2410和晶片2420可用于形成器件100(图1-图11)和存储器件1200(图12-23)的一部分。
晶片2420包括多个对准标记2414和多个管芯2430。在一些实施例中,对准标记2414表示对准标记144(图1)和对准标记1204(图12)。
至少一个管芯2430包括根据上面图2-图23中所述的实施例的元件。例如,至少一个管芯2430包括衬底、器件结构和掩模结构,如器件100和1200(图2-图23)的那些。由此,至少一个管芯2430包括无定形碳层,如根据图2-图23中描述的处理所形成的无定形碳层330(图4)和无定形碳层1430(图13)。
管芯,如管芯2430中的一个是半导体晶片如晶片2420上的图案。管芯含有执行具体的功能的电路。例如,至少一个管芯2430含有用于器件如处理器或存储器件如存储器件1200(图11-图23)的电路。
总结
本发明的各个实施例提供了具有掩模结构的器件和用于形成该器件的方法。掩模结构包括在帽盖层上的无定形碳层。该无定形碳层可以是透明的无定形碳层。帽盖层包括非氧化物材料。尽管在此描述了具体实施例,但是本领域技术人员将意识到,可用其它实施例代替示出的具体实施例以实现相同的目的。该申请覆盖了本发明的任一种改进或变化。因此,本发明由权利要求和所有可获得的等价物限定。

Claims (31)

1.一种器件,包括:
衬底;和
形成在所述衬底上的结构,该结构包括无定形碳层和形成在该无定形碳层上的顶层,其中所述无定形碳层在可见光范围内是透明的,所述顶层包括非氧化物材料,且其中在633纳米的波长处,所述无定形碳层具有在0.15和0.001之间的吸收系数。
2.根据权利要求1的器件,其中所述顶层包括碳化硅。
3.根据权利要求1的器件,其中所述顶层包括氮化硅。
4.根据权利要求1的器件,其中所述顶层包括至少一个开口。
5.根据权利要求4的器件,其中所述无定形碳层包括与所述顶层的开口相连续的至少一个开口。
6.根据权利要求3的器件,其中所述结构还包括光致抗蚀剂层。
7.根据权利要求6的器件,其中所述光致抗蚀剂层包括与所述顶层的开口相连续的至少一个开口。
8.根据权利要求1的器件,其中所述无定形碳层具有大于4000埃的厚度。
9.根据权利要求8的器件,还包括形成在衬底和无定形碳层之间的器件结构。
10.根据权利要求9的器件,其中所述器件结构具有大于40000埃的厚度。
11.根据权利要求10的器件,其中所述器件结构包括介电层。
12.根据权利要求11的器件,其中所述器件结构包括导电层。
13.根据权利要求9的器件,其中所述衬底包括多个掺杂区域,且其中所述器件结构包括多个栅结构、多个触点和形成在栅结构和触点上的绝缘层,其中每个触点都位于两个栅结构之间且与掺杂区域之一相接触。
14.根据权利要求13的器件,其中所述器件结构还包括位于栅结构和触点之间的阻挡层。
15.根据权利要求1的器件,其中所述顶层包括碳化硼。
16.根据权利要求1的器件,其中所述顶层包括氮化硼。
17.根据权利要求1的器件,其中所述顶层包括氟化物膜。
18.根据权利要求1的器件,其中所述顶层包括氟和氮的组合。
19.根据权利要求1的器件,其中所述顶层包括氟和碳的组合。
20.一种方法,包括:
在衬底上形成无定形碳层,其中所述无定形碳层在可见光范围内是透明的;且
在所述无定形碳层上形成顶层,其中所述顶层包括非氧化物材料,并且其中在633纳米的波长处,所述无定形碳层具有在0.15和0.001之间的吸收系数。
21.根据权利要求20的方法,其中所述顶层包括碳化硅。
22.根据权利要求20的方法,其中所述顶层包括氮化硅。
23.根据权利要求20的方法,其中通过沉积形成所述无定形碳层。
24.根据权利要求23的方法,其中所述顶层与所述无定形碳层一起原位沉积。
25.根据权利要求20的方法,其中形成所述结构还包括形成光致抗蚀剂层。
26.根据权利要求20的方法,还包括:
形成具有栅结构的器件结构,该器件结构形成在衬底和无定形碳层之间;
图案化无定形碳层和顶层以形成图案化的结构;
使用图案化的结构作为掩模来蚀刻所述器件结构,以形成存储单元的一部分。
27.根据权利要求20的器件,其中所述顶层包括碳化硼。
28.根据权利要求20的器件,其中所述顶层包括氮化硼。
29.根据权利要求20的器件,其中所述顶层氟化物膜。
30.根据权利要求20的器件,其中所述顶层包括氟和氮的组合。
31.根据权利要求20的器件,其中所述顶层包括氟和碳的组合。
CN200480033277A 2003-09-12 2004-09-08 包括无定形碳层的掩模结构 Active CN100585808C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/661,100 2003-09-12
US10/661,100 US7129180B2 (en) 2003-09-12 2003-09-12 Masking structure having multiple layers including an amorphous carbon layer

Publications (2)

Publication Number Publication Date
CN1879196A CN1879196A (zh) 2006-12-13
CN100585808C true CN100585808C (zh) 2010-01-27

Family

ID=34273803

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200480033277A Active CN100585808C (zh) 2003-09-12 2004-09-08 包括无定形碳层的掩模结构

Country Status (7)

Country Link
US (2) US7129180B2 (zh)
EP (1) EP1678748A1 (zh)
JP (1) JP2007505498A (zh)
KR (1) KR100869460B1 (zh)
CN (1) CN100585808C (zh)
TW (1) TWI261146B (zh)
WO (1) WO2005034216A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103210480A (zh) * 2010-10-05 2013-07-17 应用材料公司 超高选择性的掺杂非晶碳可剥除硬掩模的开发与集成

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6939794B2 (en) * 2003-06-17 2005-09-06 Micron Technology, Inc. Boron-doped amorphous carbon film for use as a hard etch mask during the formation of a semiconductor device
US7105431B2 (en) * 2003-08-22 2006-09-12 Micron Technology, Inc. Masking methods
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
WO2005036627A1 (en) * 2003-10-03 2005-04-21 Applied Materials, Inc. Absorber layer for dynamic surface annealing processing
US7115993B2 (en) * 2004-01-30 2006-10-03 Tokyo Electron Limited Structure comprising amorphous carbon film and method of forming thereof
US7115524B2 (en) * 2004-05-17 2006-10-03 Micron Technology, Inc. Methods of processing a semiconductor substrate
US7442609B2 (en) * 2004-09-10 2008-10-28 Infineon Technologies Ag Method of manufacturing a transistor and a method of forming a memory device with isolation trenches
US7341906B2 (en) * 2005-05-19 2008-03-11 Micron Technology, Inc. Method of manufacturing sidewall spacers on a memory device, and device comprising same
US7364276B2 (en) * 2005-09-16 2008-04-29 Eastman Kodak Company Continuous ink jet apparatus with integrated drop action devices and control circuitry
TWI322485B (en) * 2005-10-12 2010-03-21 Hynix Semiconductor Inc Method for forming contact hole of semiconductor device
KR100724568B1 (ko) * 2005-10-12 2007-06-04 삼성전자주식회사 반도체 메모리 소자 및 그 제조방법
US20070087227A1 (en) * 2005-10-14 2007-04-19 Seagate Technology Llc Granular magnetic recording media with improved corrosion resistance by cap layer + pre-covercoat etching
KR100792402B1 (ko) * 2005-12-28 2008-01-09 주식회사 하이닉스반도체 듀얼폴리게이트를 갖는 반도체소자의 제조 방법
US7691499B2 (en) * 2006-04-21 2010-04-06 Seagate Technology Llc Corrosion-resistant granular magnetic media with improved recording performance and methods of manufacturing same
US20100155899A1 (en) * 2006-05-01 2010-06-24 Mitsubishi Chemical Corporation Etching method, etching mask and method for manufacturing semiconductor device using the same
KR100780596B1 (ko) * 2006-06-30 2007-11-29 주식회사 하이닉스반도체 반도체 소자의 콘택플러그 제조 방법
KR100843917B1 (ko) 2006-09-08 2008-07-03 주식회사 하이닉스반도체 반도체 소자 제조 방법
JP2008227360A (ja) * 2007-03-15 2008-09-25 Elpida Memory Inc 半導体装置の製造方法
US7807064B2 (en) * 2007-03-21 2010-10-05 Applied Materials, Inc. Halogen-free amorphous carbon mask etch having high selectivity to photoresist
US7553770B2 (en) * 2007-06-06 2009-06-30 Micron Technology, Inc. Reverse masking profile improvements in high aspect ratio etch
US7718546B2 (en) 2007-06-27 2010-05-18 Sandisk 3D Llc Method for fabricating a 3-D integrated circuit using a hard mask of silicon-oxynitride on amorphous carbon
JP2009059804A (ja) * 2007-08-30 2009-03-19 Elpida Memory Inc 半導体装置の製造方法およびハードマスク
US7935618B2 (en) * 2007-09-26 2011-05-03 Micron Technology, Inc. Sputtering-less ultra-low energy ion implantation
US8298931B2 (en) * 2007-09-28 2012-10-30 Sandisk 3D Llc Dual damascene with amorphous carbon for 3D deep via/trench application
US8076229B2 (en) * 2008-05-30 2011-12-13 Micron Technology, Inc. Methods of forming data cells and connections to data cells
JP5411171B2 (ja) * 2010-02-05 2014-02-12 東京エレクトロン株式会社 アモルファスカーボン膜を含む積層構造を形成する方法
KR20130075158A (ko) 2011-12-27 2013-07-05 삼성전자주식회사 반도체 소자의 제조 방법
CN104347392A (zh) * 2013-08-05 2015-02-11 中芯国际集成电路制造(上海)有限公司 图形化方法
JP2016153518A (ja) * 2015-02-20 2016-08-25 東京エレクトロン株式会社 カーボン膜の成膜方法および成膜装置
US9312064B1 (en) 2015-03-02 2016-04-12 Western Digital (Fremont), Llc Method to fabricate a magnetic head including ion milling of read gap using dual layer hard mask
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
KR102557230B1 (ko) 2017-07-21 2023-07-19 도레이 카부시키가이샤 에폭시 수지 조성물, 프리프레그 및 섬유 강화 복합 재료
KR20200130490A (ko) 2018-04-09 2020-11-18 어플라이드 머티어리얼스, 인코포레이티드 패터닝 애플리케이션들을 위한 탄소 하드 마스크들 및 이와 관련된 방법들
US11049728B2 (en) * 2018-10-31 2021-06-29 Entegris, Inc. Boron-doped amorphous carbon hard mask and related methods

Family Cites Families (89)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US86547A (en) * 1869-02-02 Improvement in rendering safes and other structures fire-proof
US1778A (en) * 1840-09-10 Spark- arrester
US6837A (en) * 1849-10-30 Improvement in electro-chemical telegraphs
US570316A (en) 1896-10-27 le blois
JPS58204534A (ja) 1982-05-24 1983-11-29 Hitachi Ltd X線リソグラフイ用マスク
USH566H (en) * 1985-12-04 1989-01-03 The United States Of America As Represented By The United States Department Of Energy Apparatus and process for deposition of hard carbon films
US5514885A (en) * 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
JPS63155145A (ja) * 1986-12-19 1988-06-28 Seiko Instr & Electronics Ltd マスクの白点欠陥修正方法
JPH01154468A (ja) * 1987-12-11 1989-06-16 Hitachi Ltd 液体燃料電池
US6224952B1 (en) * 1988-03-07 2001-05-01 Semiconductor Energy Laboratory Co., Ltd. Electrostatic-erasing abrasion-proof coating and method for forming the same
US4975144A (en) * 1988-03-22 1990-12-04 Semiconductor Energy Laboratory Co., Ltd. Method of plasma etching amorphous carbon films
US4971853A (en) * 1988-05-04 1990-11-20 Syracuse University Laser directed chemical vapor deposition of transparent metal films
IL88837A (en) * 1988-12-30 1993-08-18 Technion Res & Dev Foundation Method for the preparation of mask for x-ray lithography
KR950011563B1 (ko) * 1990-11-27 1995-10-06 가부시끼가이샤 도시바 반도체장치의 제조방법
US5198263A (en) * 1991-03-15 1993-03-30 The United States Of America As Represented By The United States Department Of Energy High rate chemical vapor deposition of carbon films using fluorinated gases
EP0531232A3 (en) 1991-08-26 1993-04-21 Eastman Kodak Company High durability mask for use in selective area, epitaxial regrowth of gaas
AU650782B2 (en) * 1991-09-24 1994-06-30 Canon Kabushiki Kaisha Solar cell
US5369040A (en) * 1992-05-18 1994-11-29 Westinghouse Electric Corporation Method of making transparent polysilicon gate for imaging arrays
JP2530990B2 (ja) * 1992-10-15 1996-09-04 富士通株式会社 薄膜トランジスタ・マトリクスの製造方法
US5470661A (en) * 1993-01-07 1995-11-28 International Business Machines Corporation Diamond-like carbon films from a hydrocarbon helium plasma
US5358880A (en) * 1993-04-12 1994-10-25 Motorola, Inc. Method of manufacturing closed cavity LED
JP3256751B2 (ja) * 1993-05-06 2002-02-12 四国化工機株式会社 固形物の搬送装置
US5346729A (en) * 1993-05-17 1994-09-13 Midwest Research Institute Solar-induced chemical vapor deposition of diamond-type carbon films
JPH0773909A (ja) * 1993-08-23 1995-03-17 Ebara Res Co Ltd 光電気化学装置
US5431800A (en) * 1993-11-05 1995-07-11 The University Of Toledo Layered electrodes with inorganic thin films and method for producing the same
JP3441011B2 (ja) * 1994-03-18 2003-08-25 富士通株式会社 アモルファスカーボンを用いた半導体装置製造方法
US6420095B1 (en) * 1994-03-18 2002-07-16 Fujitsu Limited Manufacture of semiconductor device using A-C anti-reflection coating
US5589307A (en) * 1994-05-26 1996-12-31 Dai Nippon Printing Co., Ltd. Printing plate and process for preparing the same
US5566112A (en) * 1994-08-10 1996-10-15 Sgs-Thomson Microelectronics, Inc. Apparatus and method for enabling a bus driver when a data signal is valid
TW366367B (en) * 1995-01-26 1999-08-11 Ibm Sputter deposition of hydrogenated amorphous carbon film
US6128700A (en) * 1995-05-17 2000-10-03 Monolithic System Technology, Inc. System utilizing a DRAM array as a next level cache memory and method for operating same
US5669644A (en) * 1995-11-13 1997-09-23 Kokusai Electric Co., Ltd. Wafer transfer plate
US5700316A (en) * 1996-03-29 1997-12-23 Xerox Corporation Acoustic ink compositions
ES2169390T3 (es) * 1996-05-11 2002-07-01 Univ Manchester Compuestos fotorrefractivos.
US5759746A (en) * 1996-05-24 1998-06-02 Kabushiki Kaisha Toshiba Fabrication process using a thin resist
JP3408074B2 (ja) * 1996-09-06 2003-05-19 キヤノン株式会社 屋根材一体型太陽電池及びその施工方法
US5800878A (en) * 1996-10-24 1998-09-01 Applied Materials, Inc. Reducing hydrogen concentration in pecvd amorphous silicon carbide films
JP3327811B2 (ja) * 1997-05-13 2002-09-24 キヤノン株式会社 酸化亜鉛薄膜の製造方法、それを用いた光起電力素子及び半導体素子基板の製造方法
JPH1146006A (ja) * 1997-07-25 1999-02-16 Canon Inc 光起電力素子およびその製造方法
US6035803A (en) * 1997-09-29 2000-03-14 Applied Materials, Inc. Method and apparatus for controlling the deposition of a fluorinated carbon film
US6624064B1 (en) * 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6211065B1 (en) * 1997-10-10 2001-04-03 Applied Materials, Inc. Method of depositing and amorphous fluorocarbon film using HDP-CVD
US6323119B1 (en) * 1997-10-10 2001-11-27 Applied Materials, Inc. CVD deposition method to improve adhesion of F-containing dielectric metal lines for VLSI application
EP0915523A3 (en) * 1997-10-29 2005-11-02 Canon Kabushiki Kaisha A photovoltaic element having a back side transparent and electrically conductive layer with a light incident side surface region having a specific cross section and a module comprising said photovoltaic element
TW505984B (en) * 1997-12-12 2002-10-11 Applied Materials Inc Method of etching patterned layers useful as masking during subsequent etching or for damascene structures
US6291334B1 (en) * 1997-12-19 2001-09-18 Applied Materials, Inc. Etch stop layer for dual damascene process
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6262450B1 (en) * 1998-04-22 2001-07-17 International Business Machines Corporation DRAM stack capacitor with vias and conductive connection extending from above conductive lines to the substrate
JP3763667B2 (ja) * 1998-04-23 2006-04-05 株式会社東芝 半導体発光素子
JPH11307782A (ja) * 1998-04-24 1999-11-05 Semiconductor Energy Lab Co Ltd 半導体装置およびその作製方法
JP3884564B2 (ja) * 1998-05-20 2007-02-21 出光興産株式会社 有機el発光素子およびそれを用いた発光装置
ATA119098A (de) * 1998-07-09 1999-05-15 Ims Ionen Mikrofab Syst Verfahren zur erzeugung eines kohlenstoffilmes auf einem substrat
US6281100B1 (en) * 1998-09-03 2001-08-28 Micron Technology, Inc. Semiconductor processing methods
US6140652A (en) * 1998-09-09 2000-10-31 Intersil Corporation Device containing sample preparation sites for transmission electron microscopic analysis and processes of formation and use
US6566757B1 (en) * 1998-11-30 2003-05-20 Intel Corporation Stabilization of low dielectric constant film with in situ capping layer
JP3259704B2 (ja) * 1998-12-30 2002-02-25 日本電気株式会社 半導体装置の製造方法
US6394109B1 (en) * 1999-04-13 2002-05-28 Applied Materials, Inc. Method and apparatus for removing carbon contamination in a sub-atmospheric charged particle beam lithography system
US6447891B1 (en) * 1999-05-03 2002-09-10 Guardian Industries Corp. Low-E coating system including protective DLC
US6821571B2 (en) * 1999-06-18 2004-11-23 Applied Materials Inc. Plasma treatment to enhance adhesion and to minimize oxidation of carbon-containing layers
US6423384B1 (en) * 1999-06-25 2002-07-23 Applied Materials, Inc. HDP-CVD deposition of low dielectric constant amorphous carbon film
US6508911B1 (en) * 1999-08-16 2003-01-21 Applied Materials Inc. Diamond coated parts in a plasma reactor
US6313896B1 (en) * 1999-08-31 2001-11-06 International Business Machines Corporation Method for forming a multi-domain alignment layer for a liquid crystal display device
US6300631B1 (en) * 1999-10-07 2001-10-09 Lucent Technologies Inc. Method of thinning an electron transparent thin film membrane on a TEM grid using a focused ion beam
US6875687B1 (en) * 1999-10-18 2005-04-05 Applied Materials, Inc. Capping layer for extreme low dielectric constant films
JP4467692B2 (ja) * 1999-12-22 2010-05-26 株式会社半導体エネルギー研究所 太陽電池及びその作製方法
KR20010059284A (ko) 1999-12-30 2001-07-06 박종섭 반도체 소자의 캐패시터 형성방법
JP2001223384A (ja) * 2000-02-08 2001-08-17 Toshiba Corp 半導体発光素子
US6573030B1 (en) * 2000-02-17 2003-06-03 Applied Materials, Inc. Method for depositing an amorphous carbon layer
US20020086547A1 (en) 2000-02-17 2002-07-04 Applied Materials, Inc. Etch pattern definition using a CVD organic layer as an anti-reflection coating and hardmask
US6795636B1 (en) * 2000-03-05 2004-09-21 3M Innovative Properties Company Radiation-transmissive films on glass articles
JP2002194547A (ja) 2000-06-08 2002-07-10 Applied Materials Inc アモルファスカーボン層の堆積方法
US20020003239A1 (en) * 2000-06-28 2002-01-10 Motorola, Inc. Semiconductor structure and device including a carbon film and method of forming the same
US6551941B2 (en) * 2001-02-22 2003-04-22 Applied Materials, Inc. Method of forming a notched silicon-containing gate structure
DE10153310A1 (de) 2001-10-29 2003-05-22 Infineon Technologies Ag Photolithographisches Strukturierungsverfahren mit einer durch ein plasmaunterstützes Abscheideeverfahren hergestellten Kohlenstoff-Hartmaskenschicht diamantartiger Härte
US6541397B1 (en) * 2002-03-29 2003-04-01 Applied Materials, Inc. Removable amorphous carbon CMP stop
US20030198814A1 (en) * 2002-04-23 2003-10-23 3M Innovative Properties Company Retroreflective sheeting comprising thin continuous hardcoat
US6951709B2 (en) * 2002-05-03 2005-10-04 Micron Technology, Inc. Method of fabricating a semiconductor multilevel interconnect structure
US6780753B2 (en) * 2002-05-31 2004-08-24 Applied Materials Inc. Airgap for semiconductor devices
US6653735B1 (en) * 2002-07-30 2003-11-25 Advanced Micro Devices, Inc. CVD silicon carbide layer as a BARC and hard mask for gate patterning
US6864556B1 (en) * 2002-07-31 2005-03-08 Advanced Micro Devices, Inc. CVD organic polymer film for advanced gate patterning
US6764949B2 (en) 2002-07-31 2004-07-20 Advanced Micro Devices, Inc. Method for reducing pattern deformation and photoresist poisoning in semiconductor device fabrication
US6884733B1 (en) * 2002-08-08 2005-04-26 Advanced Micro Devices, Inc. Use of amorphous carbon hard mask for gate patterning to eliminate requirement of poly re-oxidation
US6875664B1 (en) * 2002-08-29 2005-04-05 Advanced Micro Devices, Inc. Formation of amorphous carbon ARC stack having graded transition between amorphous carbon and ARC material
US6803313B2 (en) * 2002-09-27 2004-10-12 Advanced Micro Devices, Inc. Method for forming a hardmask employing multiple independently formed layers of a pecvd material to reduce pinholes
US6649469B1 (en) * 2002-10-11 2003-11-18 Micron Technology, Inc. Methods of forming capacitors
US6787452B2 (en) * 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
US6825114B1 (en) * 2003-04-28 2004-11-30 Advanced Micro Devices, Inc. Selective stress-inducing implant and resulting pattern distortion in amorphous carbon patterning
US7132201B2 (en) * 2003-09-12 2006-11-07 Micron Technology, Inc. Transparent amorphous carbon structure in semiconductor devices
US7129180B2 (en) * 2003-09-12 2006-10-31 Micron Technology, Inc. Masking structure having multiple layers including an amorphous carbon layer

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103210480A (zh) * 2010-10-05 2013-07-17 应用材料公司 超高选择性的掺杂非晶碳可剥除硬掩模的开发与集成

Also Published As

Publication number Publication date
EP1678748A1 (en) 2006-07-12
US7129180B2 (en) 2006-10-31
US7341957B2 (en) 2008-03-11
US20050056940A1 (en) 2005-03-17
KR20060083216A (ko) 2006-07-20
TW200517771A (en) 2005-06-01
CN1879196A (zh) 2006-12-13
WO2005034216A1 (en) 2005-04-14
US20060001175A1 (en) 2006-01-05
KR100869460B1 (ko) 2008-11-19
JP2007505498A (ja) 2007-03-08
TWI261146B (en) 2006-09-01

Similar Documents

Publication Publication Date Title
CN100585808C (zh) 包括无定形碳层的掩模结构
CN100530561C (zh) 半导体器件中的透明非晶碳结构
US5942446A (en) Fluorocarbon polymer layer deposition predominant pre-etch plasma etch method for forming patterned silicon containing dielectric layer
US6939808B2 (en) Undoped and fluorinated amorphous carbon film as pattern mask for metal etch
US20040043623A1 (en) Method for fabricating a gate structure of a field effect transistor
US20040018738A1 (en) Method for fabricating a notch gate structure of a field effect transistor
US20050218373A1 (en) Etching methods
KR20120081253A (ko) 타이트한 피치의 콘택트들을 포함하는 반도체 구조체 및 그의 형성 방법
US20240087894A1 (en) High density carbon films for patterning applications
KR20000004349A (ko) 반도체 소자의 제조방법
US5930659A (en) Forming minimal size spaces in integrated circuit conductive lines
US20050009342A1 (en) Method for etching an organic anti-reflective coating (OARC)
US7534711B2 (en) System and method for direct etching
KR100256237B1 (ko) 콘택홀 형성방법
KR100367735B1 (ko) 집적 회로의 배선 구조 및 그 제조 방법
JP3570903B2 (ja) 半導体装置の製造方法
US20230395391A1 (en) Ruthenium carbide for dram capacitor mold patterning
KR100504554B1 (ko) 반도체 소자의 캐패시터 제조 방법
US20230317462A1 (en) Etching of Polycrystalline Semiconductors
KR100764452B1 (ko) 반도체 소자 및 이의 제조 방법
JP3902726B2 (ja) 未ドープ二酸化ケイ素に対して選択的に高密度プラズマエッチング器でドープ二酸化ケイ素をエッチングする方法
KR100240891B1 (ko) 반도체장치의 캐패시터용 하부전극 형성방법
KR20240004755A (ko) 비정질-실리콘 에칭 보호 라이너를 증착하기 위한 프로세스들
CN112825300A (zh) 半导体器件及其制备方法
KR20040006409A (ko) 반도체 소자의 캐패시터 제조 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant