CN101006198A - 用于离子注入的现场表面污染物去除 - Google Patents

用于离子注入的现场表面污染物去除 Download PDF

Info

Publication number
CN101006198A
CN101006198A CNA2005800275709A CN200580027570A CN101006198A CN 101006198 A CN101006198 A CN 101006198A CN A2005800275709 A CNA2005800275709 A CN A2005800275709A CN 200580027570 A CN200580027570 A CN 200580027570A CN 101006198 A CN101006198 A CN 101006198A
Authority
CN
China
Prior art keywords
wafer
flood chamber
chamber
radio
plasma body
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800275709A
Other languages
English (en)
Inventor
史蒂夫·沃尔瑟
桑德普·梅塔
诺萨德·瓦里姆
尤克扬·杰奥格
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Varian Semiconductor Equipment Associates Inc
Original Assignee
Varian Semiconductor Equipment Associates Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Varian Semiconductor Equipment Associates Inc filed Critical Varian Semiconductor Equipment Associates Inc
Publication of CN101006198A publication Critical patent/CN101006198A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/0055Other surface treatment of glass not in the form of fibres or filaments by irradiation by ion implantation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0035Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like
    • B08B7/0057Cleaning by methods not provided for in a single other subclass or a single group in this subclass by radiant energy, e.g. UV, laser, light beam or the like by ultraviolet radiation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B08CLEANING
    • B08BCLEANING IN GENERAL; PREVENTION OF FOULING IN GENERAL
    • B08B7/00Cleaning by methods not provided for in a single other subclass or a single group in this subclass
    • B08B7/0064Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes
    • B08B7/0071Cleaning by methods not provided for in a single other subclass or a single group in this subclass by temperature changes by heating
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C15/00Surface treatment of glass, not in the form of fibres or filaments, by etching
    • CCHEMISTRY; METALLURGY
    • C03GLASS; MINERAL OR SLAG WOOL
    • C03CCHEMICAL COMPOSITION OF GLASSES, GLAZES OR VITREOUS ENAMELS; SURFACE TREATMENT OF GLASS; SURFACE TREATMENT OF FIBRES OR FILAMENTS MADE FROM GLASS, MINERALS OR SLAGS; JOINING GLASS TO GLASS OR OTHER MATERIALS
    • C03C23/00Other surface treatment of glass not in the form of fibres or filaments
    • C03C23/0005Other surface treatment of glass not in the form of fibres or filaments by irradiation
    • C03C23/002Other surface treatment of glass not in the form of fibres or filaments by irradiation by ultraviolet light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32412Plasma immersion ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/304Mechanical treatment, e.g. grinding, polishing, cutting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/335Cleaning

Abstract

本发明包括一种方法和一种设备,可以在离子注入室或与离子注入室相连的隔离室内,在离子注入之前去除晶片表面上的污染物和氧化表层。用于去除污染物的手段包括组合或单独地进行低能量等离子刻蚀、加热晶片、以及应用紫外线照射。其结果是,可以在清洗/准备步骤之后立即进行注入,而没有将晶片的晶片表面暴露在外部环境下而受到污染物的潜在危险。该准备过程可以去除诸如水蒸气、有机物和表面氧化物等表面污染物。

Description

用于离子注入的现场表面污染物去除
技术领域
本发明主要涉及离子注入,尤其涉及用于离子注入的现场表面污染物去除。
背景技术
要进行离子注入的半导体晶片的表面通常具有污染物材料和氧化物。这些材料能够污染注入过程并造成剂量不均匀和保留在硅中的剂量的减小。
现有的表面准备过程包括在离子注入室外部进行的预清洗技术。其中的一个方法包括从晶片剥离天然氧化物,并将晶片暴露在高真空环境下使水蒸气从晶片表面蒸发。然而,在外部去除氧化物的方法仍然会随着把晶片传送到注入工具中而重新生长氧化物。因此,晶片上的器件的性能受到由清洗它们的外部环境所限。此外,由于外部环境通常是不可控的,因此,传送条件的可重复性也可能影响性能。
如上所述,本领域有对于现场准备用于离子注入的晶片表面的手段的需求。
发明内容
本发明包括一种方法和一种设备,可以在离子注入室或与离子注入室相连的隔离室内,在离子注入之前去除晶片表面上的污染物和氧化表层。用于去除污染物的手段包括组合或单独地进行低能量等离子刻蚀、加热晶片、以及应用紫外线照射。其结果是,可以在清洗/准备步骤之后立即进行注入,而没有将晶片的晶片表面暴露在外部环境下而受到污染物的潜在危险。该准备过程可以去除诸如水蒸气、有机物和表面氧化物等表面污染物。
本发明的第一方面提供一种离子注入设备,包括:离子注入室;用于在该室内产生注入晶片的离子的装置;用于在注入室内现场地去除晶片表面的污染物的装置。
本发明的第二方面提供一种用于离子注入设备的现场从晶片表面去除晶片表面污染物的方法,该方法包括:在与注入室相连的隔离室内放置晶片;在隔离室或注入室中现场地从晶片表面去除污染物。
本发明的第三方面提供一种从晶片表面去除污染物的方法,该方法包括:在与注入室相连的隔离室内放置晶片;通过进行把该表面暴露在紫外线(UV)照射下、加热保持在注入室内的晶片的台板、以及控制注入室的射频(RF)源中的至少一个步骤来进行低能量等离子刻蚀,在隔离室或注入室中现场地从晶片表面去除污染物。
本发明的上述以及其他方面由下述的本发明实施方式具体说明。
附图说明
参照附图对本发明的实施例进行详细说明。图中,相同的标记表示相同的元件。
图1表示本发明的第1实施方式的离子注入设备。
图2表示本发明的第2实施方式的离子注入设备。
具体实施方式
参照附图,图1表示根据本发明的离子注入设备10。设备10包括用于从气体源24接收处理气体22的离子注入室20。气压控制器25可以由例如逆流控制器与可变位置节流阀23的组合而被配置。排气口27与一个或多个真空泵29相连。气压控制器25用于通过固定排气流导而改变反馈回路中的处理气体22的流速以改变气体需求量,来将注入室20的压力保持在设定值。射频(RF)源26被配置为与射频天线28中的射频电流的谐振,该射频电流进入注入室20并激励并离子化处理气体22以在室内产生等离子体。RF源26通过匹配阻抗42与包围注入室20的天线28相耦合。RF源26既可以是脉冲型的也可以是连续型的。设备10还包括用于保持将要在注入室20内被注入的半导体晶片48的台板46。在另一个实施例中,离子注入设备10可以使用将脉冲DC电压(负)施加在阴极(台板46)上的辉光放电系统来作为用于任何进行注入的设备。在适合的晶片偏置电压和处理气体22压力(例如-5kV和15mTorr的BF3)的条件下,生成了辉光放电等离子体。由于晶片偏置电压是脉冲信号,因此该等离子体是脉冲等离子体。脉冲晶片偏置电压通常施加在台板46上,而其他导电的室部件接地。等离子体也可以通过与晶片偏置电压同步地偏置第三电极而生成,该方法可用于晶片偏置太小以致不能生成等离子体的情况。根据期望的注入能量和所使用的处理气体22来施加脉冲DC电压。此时,并不需要RF源26。在又一个实施例中,上述的等离子体浸入式离子注入设备可以用例如低能量束线注入器(未图示)来替代。在任何情况下,还包括用于将晶片48输送到注入室20的密封的隔离室50。隔离室50可以包括任何现有的或后述的负荷固定装置(load lock)或晶片装卸室(wafer handler chamber)。
本发明还包括多种用于将污染物从晶片48的表面60去除的手段。
第1手段包括把晶片48暴露在紫外线灯下。此时,可以配置一个或多个紫外线照射设备70,用于将表面60暴露到紫外线(UV)照射下。如图1所示,在一个实施例中,UV照射设备72设置于注入室20中。而在如图2所示的另一个实施例中,UV照射设备74设置于处理室20外部,并通过处理室20的窗口76透射UV。在另一个实施例中,也可以设置UV照射设备78,用来将UV光线透射到隔离室50中,以使晶片48可以在传输过程中被净化。另外,在如上所述的将UV光线透射到注入室20内的实施例中,UV光线也可以由谐振射频电流的RF源26产生,以生成UV发光等离子体;或通过上述的辉光发电系统生成UV发光等离子体。在任何通过照射UV光线来去除污染物的实施例中,还优选包括利用一个或多个真空泵29来将晶片48暴露到真空度好于1×10-5Torr(压强等于或小于该值)的真空下。当UV光线用于隔离室50时,也可以以惯用的方式使用真空。
如图1所示,用于从表面60去除污染物的第2手段包括加热晶片48。在一个实施例中,该手段通过加热台板46进行,使得在注入室20内加热晶片48。然而,也可以各种其他方法加热晶片48,本发明也并不限于除如权利要求所述之外的范围。在前一情况下,台板46可以具有诸如一个或多个内置的加热线圈之类的加热器80。该方法也可以包括用于加热器80的温度控制器82,温度控制器82包括热电偶84和加热控制器86。还可以设置气体入口88来用于在台板46和晶片48之间引入气体90,以改善传热。通过对阀92进行控制,可以由加热控制器86来控制气体90的流。优选地,通过加热晶片48以去除污染物的手段也可以包括利用一个或多个真空泵29,将晶片48暴露到真空度好于1×10-5Torr(压强等于或小于该值)的真空下。
如图1所示,去除污染物的第3手段包括:控制RF源26来进行低能量等离子刻蚀98。另外,也可以采用脉冲辉光放电法以进行低能量等离子刻蚀98。在任何情况下,低能量等离子刻蚀98使用不超过-1000V的晶片偏置电压,以及适合的刻蚀处理气体(例如NF3,SiF4,BF3,F2,H2等)。在一个例子中,对于随后的BF3离子注入,低能量等离子刻蚀98可以使用NF3、BF3、F2中的一种作为等离子气体源。通过监测晶片脉冲电流来检测当晶片表面改变时二次电子发射的变化,来控制处理的持续时间。
一种根据本发明的从晶片46表面去除污染物的方法包括:在与注入室20相连的隔离室50中放置晶片48;然后在隔离室50和注入室20之一中,现场从晶片48的表面60去除污染物。去除步骤包括进行下述步骤中的至少一个:把表面60暴露在紫外线(UV)照射下;加热晶片48;对注入室20的RF源26进行控制以进行低能量等离子刻蚀98。
虽然本发明以特定的实施例加以说明,但是对本领域的技术人员而言,各种变更、修改和变化是显而易见的。因此,如上所述的本发明的实施例仅为举例说明,而并不仅限于此。在不脱离由所附的权利要求所限定的本发明的主旨和范围内,可以进行各种变更。

Claims (33)

1.一种离子注入设备,包括:
注入室;
在所述注入室内产生用于注入晶片的离子的装置;
在所述注入室内现场地从所述晶片表面去除污染物的装置。
2.根据权利要求1所述的设备,其特征在于:所述去除污染物的装置包括把所述表面暴露在紫外线(UV)照射下的装置。
3.根据权利要求2所述的设备,其特征在于:所述暴露装置安装在所述注入室的外部,并包括通过所述注入室的窗口传输UV光的UV照射装置。
4.根据权利要求2所述的设备,其特征在于:所述暴露装置包括内置的UV照射装置或用于产生配置为发射UV光线的离子等离子体的装置。
5.根据权利要求4所述的设备,其特征在于:所述产生装置包括下列之一:
a)射频(RF)源,用于与射频天线中的射频电流谐振,以将该射频电流传入所述注入室来将处理气体激发以及离子化以在所述注入室内产生等离子体;
b)直流(DC)脉冲发生器,用于在晶片阴极上产生脉冲DC电压,来将处理气体激发和离子化以在所述注入室内产生等离子体。
6.根据权利要求2所述的设备,其特征在于:所述暴露装置还包括将所述晶片暴露在真空度好于1×10-5Torr的真空下的装置。
7.根据权利要求1所述的设备,其特征在于:所述去除污染物的装置包括用于加热晶片的加热器。
8.根据权利要求7所述的设备,其特征在于:还包括用于所述加热器的温度控制器。
9.根据权利要求7所述的设备,其特征在于:还包括气体入口,用于在保持所述晶片的台板和所述晶片之间引入气体以增进传热。
10.根据权利要求1所述的设备,其特征在于:所述去除污染物的装置包括进行低能量等离子刻蚀的装置。
11.根据权利要求10所述的设备,其特征在于:所述低能量等离子刻蚀使用不超过-1000V的晶片偏置电压。
12.根据权利要求10所述的设备,其特征在于:所述低能量等离子刻蚀包括使用BF3、NF3、F2中的一种作为随后的BF3等离子注入所用的等离子体。
13.根据权利要求10所述的设备,其特征在于:所述离子生成装置包括以下至少一种,
a)射频(RF)源,用于与射频天线中的射频电流谐振以将该射频电流传入注入室来将所述处理气体激发以及离子化,以在所述注入室内产生等离子体;
b)直流(DC)脉冲发生器,用于在晶片阴极上产生脉冲DC电压,来将处理气体激发和离子化以在所述注入室内产生等离子体。
14.一种用于离子注入设备的现场从晶片表面去除污染物的方法,包括以下步骤:
在与注入室相连的隔离室中放置晶片;
在所述隔离室和所述注入室之一中,现场地从所述晶片的表面去除污染物。
15.根据权利要求14所述的方法,其特征在于:所述污染物去除步骤包括把所述表面暴露于紫外线(UV)照射下。
16.根据权利要求15所述的方法,其特征在于:所述暴露步骤还包括通过所述隔离室和所述注入室之一的窗口,把所述表面暴露在UV光线下。
17.根据权利要求15所述的方法,其特征在于:所述暴露步骤包括把所述表面暴露在内置的UV照射装置下或暴露在产生发射UV光的等离子体的装置下。
18.根据权利要求17的方法,其特征在于:所述产生装置包括以下之一:
a)射频(RF)源,用于与射频天线中的射频电流谐振以将该射频电流传入注入室来将所述处理气体激发以及离子化,以在所述注入室内产生等离子体;
b)直流(DC)脉冲发生器,用于在晶片阴极上产生脉冲DC电压,来将处理气体激发和离子化以在所述注入室内产生等离子体。
19.根据权利要求15所述的方法,其特征在于:所述暴露步骤还包括将晶片暴露在真空度好于1×10-5Torr的真空下。
20.根据权利要求14所述的方法,其特征在于:所述污染物去除步骤包括加热所述晶片。
21.根据权利要求20所述的方法,其特征在于:所述加热步骤包括加热在注入室内保持晶片的台板,以及控制加热温度。
22.根据权利要求20所述方法,其特征在于:还包括在所述台板和所述晶片间引入气体以增进传热。
23.根据权利要求14所述的方法,其特征在于:所述污染物去除步骤包括对所述注入室的射频(RF)源进行控制以进行低能量等离子刻蚀。
24.根据权利要求23所述的方法,其特征在于:所述低能量等离子刻蚀使用不超过-1000V的晶片偏置电压。
25.根据权利要求23所述的方法,其特征在于:所述低能量等离子刻蚀包括使用BF3、NF3、F2中的一种作为随后的BF3等离子注入所用的等离子体。
26.一种从晶片表面去除污染物的方法,包括以下步骤:
在与注入室相连的隔离室内放置晶片;
通过进行以下步骤中的至少一个,在隔离室和注入室之一中现场地从所述晶片表面去除污染物:
把所述表面暴露在紫外线(UV)照射下;
加热在所述注入室内保持所述晶片的台板;
对所述注入室的射频(RF)源进行控制以进行低能量等离子刻蚀。
27.根据权利要求26所述的方法,其特征在于:所述暴露步骤包括通过所述隔离室和所述注入室之一上的窗口,把所述表面暴露在UV光线下。
28.根据权利要求26所述的方法,其特征在于:所述暴露步骤包括把所述表面暴露在内置的UV照射装置下或暴露在产生发射UV光的等离子体的装置下。
29.根据权利要求28所述的方法,其特征在于,所述产生装置包括以下之一:
a)射频(RF)源,用于与射频天线中的射频电流谐振以将该射频电流传入注入室来将所述处理气体激发以及离子化,以在所述注入室内产生等离子体;
b)直流(DC)脉冲发生器,用于在晶片阴极上产生脉冲DC电压,来将处理气体激发和离子化以在所述注入室内产生等离子体。
30.根据权利要求26所述的方法,其特征在于:所述加热步骤还包括控制加热温度。
31.根据权利要求26所述的方法,其特征在于:所述加热步骤还包括在所述台板和所述晶片间进入气体以增进传热。
32.根据权利要求26所述的方法,其特征在于:所述控制步骤包括使用不超过0.1V的电压。
33.根据权利要求26所述的方法,其特征在于:所述控制步骤包括使用BF3、NF3、F2中的一种作为随后的BF3等离子注入所用的等离子体。
CNA2005800275709A 2004-08-20 2005-08-18 用于离子注入的现场表面污染物去除 Pending CN101006198A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/922,710 2004-08-20
US10/922,710 US20060040499A1 (en) 2004-08-20 2004-08-20 In situ surface contaminant removal for ion implanting

Publications (1)

Publication Number Publication Date
CN101006198A true CN101006198A (zh) 2007-07-25

Family

ID=35910169

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800275709A Pending CN101006198A (zh) 2004-08-20 2005-08-18 用于离子注入的现场表面污染物去除

Country Status (6)

Country Link
US (2) US20060040499A1 (zh)
JP (1) JP2008511139A (zh)
KR (1) KR20070041595A (zh)
CN (1) CN101006198A (zh)
TW (1) TWI268547B (zh)
WO (1) WO2006023637A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102644052A (zh) * 2012-05-03 2012-08-22 中国科学院光电技术研究所 一种配置紫外光照射清洁功能的真空镀膜机
CN102683198A (zh) * 2011-03-14 2012-09-19 富士通株式会社 蚀刻方法、制造半导体装置的方法以及蚀刻装置
US8475602B2 (en) 2008-10-27 2013-07-02 Toyko Electron Limited Substrate cleaning method and apparatus
CN103894377A (zh) * 2013-12-25 2014-07-02 韦小凤 一种紫外光和等离子体联合清洗器
CN104465292A (zh) * 2014-11-28 2015-03-25 上海华力微电子有限公司 一种离子注入机的预处理方法
CN112921272A (zh) * 2021-01-26 2021-06-08 西安钛斗金属制品科技有限公司 一种低摩擦TiN膜层的制备方法
CN114496852A (zh) * 2022-01-25 2022-05-13 永耀实业(深圳)有限公司 一种用于集成电路生产线的离子注入机

Families Citing this family (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting
US7923424B2 (en) * 2005-02-14 2011-04-12 Advanced Process Technologies, Llc Semiconductor cleaning using superacids
KR101264687B1 (ko) * 2006-06-21 2013-05-16 엘지디스플레이 주식회사 인쇄장비, 패턴형성방법 및 이를 이용한 액정표시장치제조방법
WO2009146744A1 (de) * 2008-06-05 2009-12-10 Osram Gesellschaft mit beschränkter Haftung Verfahren zur behandlung von oberflächen, strahler für dieses verfahren sowie bestrahlungssystem mit diesem strahler
CN101935883B (zh) * 2010-09-10 2012-05-02 北京工业大学 超高真空离子源晶片清洗系统
US8742373B2 (en) * 2010-12-10 2014-06-03 Varian Semiconductor Equipment Associates, Inc. Method of ionization
US9834473B2 (en) * 2014-02-20 2017-12-05 Corning Incorporated UV photobleaching of glass having UV-induced colorization
GB201615114D0 (en) * 2016-09-06 2016-10-19 Spts Technologies Ltd A Method and system of monitoring and controlling deformation of a wafer substrate
US10224212B2 (en) * 2017-01-27 2019-03-05 Lam Research Corporation Isotropic etching of film with atomic layer control
US10555412B2 (en) 2018-05-10 2020-02-04 Applied Materials, Inc. Method of controlling ion energy distribution using a pulse generator with a current-return output stage
US11476145B2 (en) 2018-11-20 2022-10-18 Applied Materials, Inc. Automatic ESC bias compensation when using pulsed DC bias
CN109546012B (zh) * 2018-11-23 2021-10-26 京东方科技集团股份有限公司 有机膜的刻蚀方法和显示基板显示区域电路的修补方法
JP7451540B2 (ja) 2019-01-22 2024-03-18 アプライド マテリアルズ インコーポレイテッド パルス状電圧波形を制御するためのフィードバックループ
US11462389B2 (en) 2020-07-31 2022-10-04 Applied Materials, Inc. Pulsed-voltage hardware assembly for use in a plasma processing system
US11798790B2 (en) 2020-11-16 2023-10-24 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11901157B2 (en) 2020-11-16 2024-02-13 Applied Materials, Inc. Apparatus and methods for controlling ion energy distribution
US11495470B1 (en) 2021-04-16 2022-11-08 Applied Materials, Inc. Method of enhancing etching selectivity using a pulsed plasma
US11791138B2 (en) 2021-05-12 2023-10-17 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11948780B2 (en) 2021-05-12 2024-04-02 Applied Materials, Inc. Automatic electrostatic chuck bias compensation during plasma processing
US11967483B2 (en) 2021-06-02 2024-04-23 Applied Materials, Inc. Plasma excitation with ion energy control
US11810760B2 (en) 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
US11569066B2 (en) 2021-06-23 2023-01-31 Applied Materials, Inc. Pulsed voltage source for plasma processing applications
US11776788B2 (en) 2021-06-28 2023-10-03 Applied Materials, Inc. Pulsed voltage boost for substrate processing

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS58159842A (ja) * 1982-03-17 1983-09-22 Ricoh Co Ltd 感光体の製造方法
JPS63119527A (ja) * 1986-11-07 1988-05-24 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4718974A (en) * 1987-01-09 1988-01-12 Ultraphase Equipment, Inc. Photoresist stripping apparatus using microwave pumped ultraviolet lamp
JPH01207930A (ja) * 1988-02-16 1989-08-21 Oki Electric Ind Co Ltd 表面改質法
JPH0536621A (ja) * 1991-07-25 1993-02-12 Canon Inc 半導体表面処理方法及び装置
JPH0982495A (ja) * 1995-09-18 1997-03-28 Toshiba Corp プラズマ生成装置およびプラズマ生成方法
JPH0992199A (ja) * 1995-09-27 1997-04-04 Nissin Electric Co Ltd イオンビーム発生方法およびその装置
JPH10340857A (ja) * 1997-06-10 1998-12-22 Mitsubishi Electric Corp 半導体装置の製造方法及び半導体製造装置
JP3283477B2 (ja) * 1997-10-27 2002-05-20 松下電器産業株式会社 ドライエッチング方法および半導体装置の製造方法
US6805139B1 (en) * 1999-10-20 2004-10-19 Mattson Technology, Inc. Systems and methods for photoresist strip and residue treatment in integrated circuit manufacturing
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
KR20050034731A (ko) * 2002-08-02 2005-04-14 베리안 세미콘덕터 이큅먼트 어소시에이츠, 인크. 희석 가스 스퍼터링에 의한 플라즈마 증착 표면층의 제거
JP4544447B2 (ja) * 2002-11-29 2010-09-15 パナソニック株式会社 プラズマドーピング方法
US20060040499A1 (en) * 2004-08-20 2006-02-23 Steve Walther In situ surface contaminant removal for ion implanting

Cited By (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8475602B2 (en) 2008-10-27 2013-07-02 Toyko Electron Limited Substrate cleaning method and apparatus
CN102683198A (zh) * 2011-03-14 2012-09-19 富士通株式会社 蚀刻方法、制造半导体装置的方法以及蚀刻装置
CN102683198B (zh) * 2011-03-14 2015-05-13 富士通株式会社 蚀刻方法、制造半导体装置的方法以及蚀刻装置
US10508343B2 (en) 2011-03-14 2019-12-17 Fujitsu Limited Etching method for manufacturing semiconductor device
CN102644052A (zh) * 2012-05-03 2012-08-22 中国科学院光电技术研究所 一种配置紫外光照射清洁功能的真空镀膜机
CN102644052B (zh) * 2012-05-03 2014-02-05 中国科学院光电技术研究所 一种配置紫外光照射清洁功能的真空镀膜机
CN103894377A (zh) * 2013-12-25 2014-07-02 韦小凤 一种紫外光和等离子体联合清洗器
CN104465292A (zh) * 2014-11-28 2015-03-25 上海华力微电子有限公司 一种离子注入机的预处理方法
CN104465292B (zh) * 2014-11-28 2017-05-03 上海华力微电子有限公司 一种离子注入机的预处理方法
CN112921272A (zh) * 2021-01-26 2021-06-08 西安钛斗金属制品科技有限公司 一种低摩擦TiN膜层的制备方法
CN114496852A (zh) * 2022-01-25 2022-05-13 永耀实业(深圳)有限公司 一种用于集成电路生产线的离子注入机

Also Published As

Publication number Publication date
US20060040499A1 (en) 2006-02-23
TWI268547B (en) 2006-12-11
WO2006023637A3 (en) 2007-03-01
US20080185537A1 (en) 2008-08-07
KR20070041595A (ko) 2007-04-18
US7544959B2 (en) 2009-06-09
JP2008511139A (ja) 2008-04-10
WO2006023637A2 (en) 2006-03-02
TW200614352A (en) 2006-05-01

Similar Documents

Publication Publication Date Title
CN101006198A (zh) 用于离子注入的现场表面污染物去除
US6777037B2 (en) Plasma processing method and apparatus
KR100559197B1 (ko) 플라즈마 침지 이온 주입을 위한 전처리 공정
KR101333924B1 (ko) 에칭 방법, 컴퓨터 판독 가능한 기록 매체, 및 플라즈마 처리 시스템
US6861643B2 (en) Neutral particle beam processing apparatus
US20060236931A1 (en) Tilted Plasma Doping
KR101741405B1 (ko) 이온 소스 부품으로부터 잔류물을 세정하기 위한 방법 및 장치
US6174370B1 (en) Semiconductor wafer chucking device and method for stripping semiconductor wafer
JP5652582B2 (ja) ハイブリッドイオン源
KR19990022731A (ko) 감소된 입자 오염도를 갖는 플라즈마 처리 시스템
CN103117203A (zh) 一种等离子体刻蚀工艺的处理装置及方法
JP2010532919A (ja) 高ニュートラル密度プラズマ注入を用いるコンフォーマルドーピング
US6858838B2 (en) Neutral particle beam processing apparatus
KR101453263B1 (ko) 이온주입장치 및 이온주입장치의 운전 방법
KR100431889B1 (ko) 건식 세정/에싱 방법 및 장치
KR100305134B1 (ko) 에칭방법
KR20020085149A (ko) 상온/상압에서의 플라즈마 건식세정장치
CN110718440B (zh) 原子层刻蚀设备及刻蚀方法
KR20100114191A (ko) 플라즈마 이온 도핑 장치 및 플라즈마 이온 도핑 방법
JPH0869897A (ja) プラズマアッシング装置
KR100552850B1 (ko) 선택적 이온 주입 장치 및 선택적 이온 주입 방법
KR20030057178A (ko) 마이크로웨이브를 이용한 이온중성화 장치
CN112201557A (zh) 基板处理装置和方法
KR20010064601A (ko) 이온 주입 공정에 사용되는 리소네이터 시스템
KR20050096032A (ko) 이온주입장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20070725