CN101010783A - 在低利用工艺中流量和压力梯度的去除 - Google Patents

在低利用工艺中流量和压力梯度的去除 Download PDF

Info

Publication number
CN101010783A
CN101010783A CNA2005800293552A CN200580029355A CN101010783A CN 101010783 A CN101010783 A CN 101010783A CN A2005800293552 A CNA2005800293552 A CN A2005800293552A CN 200580029355 A CN200580029355 A CN 200580029355A CN 101010783 A CN101010783 A CN 101010783A
Authority
CN
China
Prior art keywords
chamber
gas
substrate
flow
pressure
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2005800293552A
Other languages
English (en)
Inventor
詹姆斯·P·格鲁斯
安德烈亚斯·G·海格达斯
萨思诗·库普劳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101010783A publication Critical patent/CN101010783A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45557Pulsed pressure or control pressure
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/08Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases only one element being applied
    • C23C8/10Oxidising
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • C23C8/36Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases using ionised gases, e.g. ionitriding
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/14Feed and outlet means for the gases; Modifying the flow of the reactive gases
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28202Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a nitrogen-containing ambient, e.g. nitride deposition, growth, oxynitridation, NH3 nitridation, N2O oxidation, thermal nitridation, RTN, plasma nitridation, RPN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28211Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation in a gaseous ambient using an oxygen or a water vapour, e.g. RTO, possibly through a layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28525Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising semiconducting material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/3115Doping the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • H01L21/3144Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers on silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD

Abstract

本发明公开了在低物种利用工艺期间,通过停止气体流入反应腔室,可使扩散入衬底的原子数量均匀或者可使薄膜的厚度均匀。停止气体流入反应腔室可能需要关闭阀门(真空泵的阀门),稳定反应腔室内的压力,以及在停止气体流入腔室时维持稳定的压力。低物种利用工艺包括通过去耦等离子体氮化(DPN)使氮扩散到二氧化硅栅介电层中,通过快速热处理(RTP)或者化学气相沉积(CVD)沉积二氧化硅膜,以及通过CVD沉积硅外延层。

Description

在低利用工艺中流量和压力梯度的去除
背景技术
技术领域
本发明涉及半导体制造和处理领域,尤其涉及通过去耦等离子体氮化、快速热处理和化学气相沉积完成的低利用工艺(low utilization process)。
背景技术
低物种利用工艺包括通过去耦等离子体氮化(DPN)使氮扩散进入二氧化硅栅介电层中,通过快速热处理(RTP)或化学气相沉积(CVD)沉积二氧化硅膜,并通过CVD沉积硅外延层。在每一个低物种利用工艺中,在执行工艺的整个衬底上获得非常均匀的原子扩散或者薄膜都是有益的。这是因为随着器件尺寸进一步缩小,它们需要更薄的膜和更低浓度的原子扩散进入衬底。更薄的膜和更低浓度的原子扩散进入衬底进而需要整个衬底上膜厚度或扩散浓度的变化可忽略不计。
可以通过去耦等离子体氮化(DPN)腔室完成氮化物扩散到二氧化硅栅介电层。将氮气流入包含衬底的腔室,在该衬底上形成二氧化硅栅介电层,并且当氮气流持续流动的同时轰击等离子体。等离子体使氮电离,然后电离氮扩散入二氧化硅栅介电层。
可以在RTP腔室中通过快速热处理(RTP)完成二氧化硅薄膜的形成。将氢气(H2)和氧气(O2)气体流入到RTP腔室,并将硅衬底加热至氢气和氧气气体与硅衬底反应以形成二氧化硅层的温度。
可以在CVD腔室中通过化学气相工艺沉积(CVD)完成外延层的形成。将欲沉积的材料类型的前驱气体通常伴随载体或稀释气体流入腔室。当该气体流经腔室时,将腔室加热至前驱气体起反应以形成蒸汽并在衬底上形成薄膜的温度。
在每个工艺中,气体流经腔室,而腔室内的压力在腔室的不同部分不同。压力梯度可归因于进入腔室的气体的恒流,以及从腔室抽出气体的流量。这些流量和压力梯度可能是引起在整个衬底上扩散进入衬底的原子数量或在衬底上形成的薄膜的厚度不均匀的主要因素。
对反应腔室已进行了一些改进以减少由流量和压力梯度引起的不均匀。这些改进包括抽吸(pumping)平板、气体分配平板和喷头。设计抽吸平板以控制由流入或抽出腔室的气体的流量引起的流量和压力梯度。设计气体分配板以均匀分配整个腔室内的气体,以克服由流量和压力梯度引起的气体分配不均的问题。设计喷头是以特殊方式分配流入腔室的气体,以克服流量和压力梯度。
对反应腔室的这些改进可以帮助减少由供应给泵的气体流量引起的压力和流量梯度。但是,这些改进不能提供对于工艺的足够均匀性,尤其在反应物的消耗相对不重要的低利用工艺。
发明内容
根据本发明的一个方案,通过流入气体到反应腔室在反应腔室内执行低物种利用工艺,一旦腔室内的压力稳定就停止气体流入到反应腔室,并在停止气体流入腔室之后在腔室内执行低物种利用工艺。低物种利用工艺可以是耦合等离子体氮化、通过快速热处理沉积薄膜、或者通过化学气相沉积沉积薄膜。
根据本发明的另一方案,描述了设计用于无流量工艺的反应腔室。
附图说明
图1为根据本发明的实施方式的去耦等离子体氮化工艺流程图;
图2A为去耦等离子体氮化腔室的截面图;
图2B为去耦等离子体氮化腔室的内部和射频(RF)源的截面图;
图3为在去耦等离子体氮化工艺期间,氮扩散进入二氧化硅膜的截面图;
图4为根据本发明的实施方式在衬底上形成薄膜的快速热处理的流程图;
图5为快速热处理腔室的截面图;
图6为在反应气体的快速热处理期间在硅衬底上形成二氧化硅膜的截面图;
图7为根据本发明的实施方式的化学气相沉积薄膜的流程图;
图8为化学气相沉积腔室的截面图;
图9为通过化学气相沉积在硅衬底上形成硅外延层的截面图。
具体实施方式
在以下的说明书中,为了提供对本发明透彻的理解,陈述了多个具体细节。本领域任何一个普通技术人员应该可以理解这些具体细节仅是为了说明目的,而并非意欲限制本发明的范围。另外,在其它实施例中,以免使本发明不够清晰,没有对公知的处理技术和设备进行详细描述。
在低物种利用工艺期间,通过停止气体流入反应腔室,在低物种利用工艺中可以使扩散进入衬底的原子数量均匀。停止气体流入反应腔室可能需要关闭阀门(gate valve)(真空泵的阀),稳定反应腔室内的压力,并且当停止气体流入腔室时保持稳定的压力。同样,在低物种利用工艺期间,通过停止气体流入到反应腔室,在低物种利用工艺中可以使薄膜的厚度均匀。低物种利用工艺是通过仅利用反应腔室内的小部分反应物执行薄膜或注入或扩散的工艺。更尤其是,低物种利用工艺可是仅使用反应腔室内的反应物形成薄膜的工艺,或者扩散或注入到衬底表面的每平方厘米的原子的数量是在大约1×e14原子/cm2和1×c16原子/cm2的范围内。
在低物种利用工艺中,将气体流入腔室中直到在腔室中存在足够数量的反应物用于低物种利用工艺。然后,停止气体流入反应腔室。停止气体流入反应腔室可能需要关闭阀门(真空泵的阀门),同时通过第一次稳定压力稳定腔室内的压力,然后当停止气体流入反应腔室时维持压力。一旦腔室内的压力稳,就可以执行低物种利用工艺。通过关闭阀门并稳定执行低物种利用工艺的腔室内的压力,都可使扩散到衬底的原子数量的不均匀或者沉积到衬底上的薄膜厚度的不均匀最小或者消除。因为在处理期间在腔室内不再有压力或者流量梯度,所以不均匀性最小或者消除。这个“无流量”方法可以应用于二氧化硅栅介电薄膜或高介电常数(K)薄膜诸如HaFx的去耦等离子体氮化,并且可以应用于通过快速热处理、化学气相沉积以及原子层沉积形成薄膜。
在实施方式中,低物种利用工艺为去耦等离子氮化(DPN)工艺。在DPN工艺期间,氮扩散到衬底,诸如二氧化硅栅电介质。图1为描述根据本发明的DPN工艺的流程图。在方块101,诸如单晶硅片的衬底被设置在如图2中所示的IDPN腔室10内的衬底支架14上。在图3中示出了放置在DPN腔室中的衬底的截面图。在衬底插入到位于衬底支架14的上表面上的等离子体反应器10中之前,在衬底上形成外延硅层54。同样在衬底插入等离子体反应器10之前,在硅层54上生长薄二氧化硅层58。二氧化硅层58大约为数个埃(如,40埃)的厚度,并且随后用作最终制造的晶体管中的栅介电层。
在图2A中示出的DPN腔室10可对于不同直径的晶片或者衬底,例如,200mm晶片或者300mm晶片,进行不同设计。DPN腔室10包括下传送腔室26和传送机械装置28。上腔室12位于传送腔室26的顶部。传送腔室26的内体30通过腔室12底座中的圆形开口32与上腔室12的内体24相接。将衬底支架14保护在传送机械装置28的顶部,并且传送机械装置28可以用于提升或降低衬底支架14。
在使用中,操作传送机械装置28,使得衬底支架14降低到传送腔室26的内体30中。然后,通过传送腔室26的壁中打开的狭缝阀(slit-valve),将设置在附接到机械臂的叶片上的衬底传送到内体30。然后,操作传送机械装置28,以提升衬底支架14,使得衬底支架14接触衬底的下表面并从叶片提升衬底。然后,将叶片从传送腔室26移除,随后再次操作传送机械装置28,以将衬底支架14提升到开口32。位于衬底支架14上的衬底具有暴露于上腔室12的内体24的上表面。上腔室12主要包括导电体36和介电石英上壁38。导电体36形成腔室12的下部分,并且上壁38形成上腔室12的上部分。导电体36和上壁38共同限定内体24。
通过导体36在内体24内形成四个气体喷嘴口40。气体喷嘴口40围绕衬底支架14以90°间隔设置。在替代的实施方式中,DPN腔室10可设计为具有位于衬底支架14上方的气体喷嘴口。导体36同样限定在其任意一侧的真空泵管42。通过阀门气体喷嘴口40与气体歧管连接,并且真空泵管42与泵连接。当操作泵时,通过真空泵管42从内体24抽取气体,以减小内体24内的压力。可以操作阀门,以允许气体从歧管(未示出)通过阀门以及气体喷嘴口40进入到内体24。
更具体地参照图2B,上壁38是球面状,并且电极平板18具有与上壁38的外表面一致的球面状。事实上,电极平板18直接位于上壁38的正上方。电极平板18限定上壁38中心周围的圆形开口44。上壁38和电极平板18都关于重直轴46对称。线圈16围绕垂直轴46和开口44螺旋。线圈16位于电极平板18的球状上并与其一致。线圈16的一端与RF源50连接,并且线圈16的相反端接地52。
在另一实施方式中,DPN腔室可具有用于无流量工艺的修改。这些改进包括除去真空泵管,诸如42。真空泵管的目的是在处理期间调节从腔室流出的气体流量,以使引起氮扩散到衬底中的不均匀性的流量和压力梯度最小。因为在处理期间没有气体抽出腔室,所以可不再需要真空泵管。另外,因为在处理期间没有气体从腔室抽出,所以也就不再需要涡轮泵及其附带的涡轮层叠。可以使用比涡轮泵的抽取能力小的泵,因为在处理期间没有大量的气体需要抽出腔室。另外,涡轮层叠也是不必要的,该涡轮层叠平常附属于涡轮泵上以调节在处理期间流出腔室的气体流量,以使流量和压力梯度最小。另外,因为在处理期间不再存在压力和流量梯度问题,所以在任何位置反应气体都可能流入及流出腔室,并且简单开/关阀门可用于气体输入腔室以及气体从腔室输出。因为可使用简单开/关阀门,因此也不必需要复杂的气体歧管和物流控制器。可将这些修改用于任何一个使用“无流量”低物种利用工艺的工艺腔室,诸如快速热处理腔室、化学气相沉积腔室、以及原子层沉积腔室。
在方块102,一旦衬底位于DPN腔室10内,含氮气体流入DPN腔室10的内体24。含氮体可为纯氮气(N2)、氮气和氦气的混合物(N2/He)、氮气和氖气的混合物(N2/Ne)、或者氮气和氩气的混合物(N2/Ar)、或者N2O(纯气体或者与惰性气体混合)。由于N2O分解,使得引起多物种反应,因此通过“无流量”工艺可大大改善所用的氮化物与N2O的均匀性,与氮气混合的惰性气体的量,诸如氦气、氖气、或者氩气,可以达到大约气体混合物的95%,更尤其为在气体混合物的大约30%-90%的范围内。在停止气体流动之前,氮气到DPN腔室10内的流速可能在大约10sccm/秒-50sccm/秒的范围内。流入腔室内的氮气的量足以注入300mm硅片衬底中约1×1014原子/cm2-8×1014原子/cm2。腔室的整个内体可以具有大约70公升的体积,该腔室包括内腔室24和泵管42。根据是否存在泵管42,腔室的整个内体可能远小于70公升。泵管42可占有总内体的约三分之二。在方块103,将氮气流入腔室中直到内体24内的压力稳定时为止。稳定的压力是指在大约5秒内当压力为腔室内预期压力的大约0.1毫托时。在一个实施方式中,在关闭阀门(真空泵的阀-未示出)之后,通过以逐渐减慢的速率将气体流入到内体24直到内体24内的压力稳定,从而使内体24内的压力稳定。一旦通过减小的流速稳定压力后,在处理期间,压力控制器保持稳定的压力。在另一实施方式中,可以编程软件以控制DPN腔室10的整个内体的压力稳定的全部参数。在该实施方式中,通过与计算机可读介质耦合的系统控制器使气体流速逐渐降低,该计算机可读介质具有存储控制气体流速逐渐降低的指令集的存储器。气体流速逐渐降低到DPN腔室10内的预定压力,然后当停止气体流动时,耦合到系统控制器的计算机可读介质的存储器中存储的指令集稳定DPN腔室10内的压力。在内体24内的稳定压力可能是在大约0.1毫托-1000毫托的范围内,或者更尤其为在大约5毫托和95毫托的范围内,或者甚至更尤其为30毫托。
在方块104,在停止气体流入内体24后大约1秒至5秒,在方块105在内体24内轰击二氧化硅层58之上的氮离子(N+)22的等离子体。在图3中示出了在硅衬底之上形成的二氧化硅层58之上形成的氮离子(N+)的等离子体。通过图2b的RF源50轰击氮等离子体22。RF源可以产生大约13.56MHz的频率。RF线圈产生由整个上壁38上的电极平板18分布的RF场。圆形开口44允许RF场通过上壁38进入到内体24。RF可在10kMz的频率时脉冲。RF脉冲可在大约30W-300W的范围内处于有效的无线电频率功率值。有效功率是功率乘以占空比(duty cycle)。例如,在一个实施方式中,有效功率是大约150W,其中占空比为30%而全功率为500W。在这个实施方式中,RF每100毫秒脉冲调制33毫秒,因此导致大约150毫秒的有效功率。
RF场耦合氮气并激发少量的自由电子。然后自由电子撞击其它原子,以从氮原子释放更多的电子。该工艺持续进行直到达到稳态条件,其中氮等离子体22具有自由电子和自由离子的稳定量、稳定的电子温度、以及相对于地面的恒定电压。在图1的方块106,离子的储层(reservoir)是在内体24内,并且氮等离子体22的电势有助于离子从该储层扩散到二氧化硅层58。在整个工艺期间,衬底和衬底支架14的电势自由浮动,但是在方块106,氮等离子体22的电压和衬底支架14的电压存在差值,该电压差驱动氮离子扩散到二氧化硅层58。扩散发生在足以注入大约1×1014原子/cm2-8×1014原子/cm2到衬底中的时间内,而导致在二氧化硅层58内含大约4%-12%,更尤其为7%-8%的氮。因为二氧化硅膜的厚度可能是在大约6和16的范围内,氮可扩散至整个二氧化硅膜。可在大约2秒-120秒范围内的时间轰击等离子体,更尤其为在15秒-45秒的范围内,甚至更尤其为30秒。相较于在处理期间流量切断的工艺,在处理期间气体流动的工艺期间,扩散到二氧化硅层的氮原子的均匀性之间的差别可能是大约75%。
在从氮等离子体22扩散原子之后,关闭RF,清洗气体可流经DPN腔室20的内体24。然后,衬底可从腔室移除,并传送到快速热处理腔室退火,以增加二氧化硅层58中的氮保持。可在以大约700℃和1200℃的范围内的温度,将其上形成有氮扩散的二氧化硅层58的衬底退火处理5秒到120秒。
在另一实施方式中,低物种利用工艺是使用快速热处理(RTP)腔室,诸如图5中示出的腔室500,在衬底上形成薄膜的工艺。在一个特定的实施方式中,在RTP腔室500中使用低物种利用工艺,在硅衬底506上形成二氧化硅膜。硅衬底506设置在衬底支撑结构508上的RTP腔室500内部。图4为该实施方式中步骤的流程图。在方块401,反应气体520流入到图5示出的包含硅衬底506的快速热处理(RTP)腔室500中。硅衬底506可为单晶硅片或者在绝缘体上硅(SOI)晶片。可用于在硅衬底上形成二氧化硅膜的反应气体可是氧气(O2)和氢气(H2)的混合物或者仅有氧气(O2)。在使用氧气(O2)和氢气(H2)反应气体的混合物的实施方式中,氧气和氢气形成水分子。在该实施方式中,氢气(H2)的量可为大约1%-33%氢气(H2),更尤其为大约2%氢气(H2),并且混合物的平衡是氧气(O2)。在方块402,在室温下,反应气体流入到RTP腔室500内直到腔室内的压力稳定为止。稳定的压力可以是在5托和15托的范围内,更尤其为大约10托。在一个实施方式中,通过调整排气装置530的压力控制阀,在排气装置530利用真空泵(未示出)通过以越来越慢的速度流出RTP腔室500,直到RTP腔室500内的压力稳定,从而稳定RFT腔室500内的压力。一旦通过减小流速稳定压力,在处理期间,压力控制器保持稳定的压力。在另一实施方式中,可以编程软件来控制RTF腔室500的内体的压力稳定的全部参数。在该实施方式中,通过与计算机可读介质耦合的系统控制器逐渐降低气体流速,该计算机可读介质具有存储控制气体流速逐渐降低的指令集的存储器。气体流速逐渐降低到RTP腔室500内所达的预定压力,然后当气流停止时,在与系统控制器耦合的计算机可读介质中存储的指令集稳定RTP腔室500内的压力。在停止气流之前RTP腔室500内的温度为不足以引起反应气体或多种反应气体发生反应的温度。在使用氧气(O2)和氢气(H2)反应气体的混合物的实施方式中,在停止气流之前RTP腔室500内的温度为不足以由反应物形成水的温度。足以在H2和O2之间引起反应的温度大约为600℃。在一实施方式中,在停止气流之前RTP腔室500内的温度可为约室温。
在方块403,停止气体流入RTP腔室500。然后,衬底506逐渐升至到一特定温度,以引起反应气体的反应。在反应气体是H2和O2的一实施方式中,衬底可能逐渐升至大约600℃。可以通过位于衬底506正上方的加热元件510加热衬底。加热元件510可以由诸如钨卤灯的热灯组成。产生热辐射512用以加热衬底506。在另一实施方式中,可以通过含电阻加热元件的基座加热衬底506,或者通过诸如510的辐射加热元件和含电阻加热元件的基座加热衬底506。温度的上升速度可能大于50℃/秒,以及更尤其为大约在75℃/秒和100℃/秒的范围内。衬底上升至的温度可能高于800℃,以及更尤其为在800℃和1100℃的范围内。通过温度探针526和高温计528测量衬底506的温度。
在方块405,一旦达到目标温度,保持该温度恒定足够的时间,以形成目标厚度的二氧化硅膜520。可以通过降低温度至停止反应或通过耗尽腔室内的反应物达到该目标厚度。图6示出了通过氢气(H2)和氧气(O2)反应气体610在硅衬底506上形成二氧化硅膜620。在一个实施方式中,取决于欲用的膜,二氧化硅膜的厚度可为在大约5埃到100埃的范围内。在二氧化硅栅电介质形成的实施方式中,膜的厚度可小于约30埃,并且在一个特定的实施方式中为大约5埃的单层。在二氧化硅形成衬层(liner layer)或者牺牲氧化层的实施方式中,它可具有大约在50埃到100埃范围内的厚度。在二氧化硅形成绝缘区的实施方式中,膜可能具有在大约100埃到200埃范围内的厚度。可在大约15秒到300秒的范围内保持温度恒定。由于在腔室内所限定的反应物量,反应是自限性,并且保持温度恒定超过300秒可能导致仅微量生长。在处理期间,当在衬底506上形成二氧化硅膜620时,衬底可围绕衬底的中心轴以约90rmp到240rmp范围的旋转速度水平旋转。因为由于缺少气体流入以及流出腔室,在腔室内没有压力或这流量梯度,所以二氧化硅膜620可具有非常均匀的厚度。在具有“无流量”工艺的RTP腔室500中形成的二氧化硅膜620的厚度的均匀性可能大约比在处理期间有气体流入以及流出腔室的工艺形成的二氧化硅膜的厚度均匀性高10倍。例如,由在此描述的“无流量”工艺形成的大约20埃的二氧化硅膜在大约1000℃的温度可具有大约0.5或者更低的均匀度(厚度的变化)。
然后,冷却RTP腔室500内的温度至大约室温。一旦冷却下来,通过打开在排气装置530处的压力控制阀,排空RTP腔室500内的反应气体。然后,诸如氮气的清洗气体在开口540处流入RTP腔室500。现在,可使RTP腔室500置于传送压力,在传送压力下可将衬底506用集成设备传送到传送腔室,并放置在另一腔室中用于进一步的处理。
在另一实施方式中,可通过这个工艺在硅晶片上形成氮化硅(Si3N4)膜。氮化硅膜可以用于形成薄膜电容器,并可具有小于大约30埃的厚度。氮化硅膜可以在RTP腔室500中由氨(NH3)气在足以引起氨气反应的高于700℃的温度形成,更尤其为900℃以上。在腔室内形成氮化硅膜的压力可高于大约400托。氮化硅膜的厚度可在大约10到25的范围内。可在30秒到2分钟范围内的时间生长氮化硅膜。通过降低RTP腔室500内的温度可减缓或停止反应气体的反应。
在又一实施方式中,通过这个工艺可在硅晶片上形成氧氮化物膜。使用N2O气体生长氧氮化物层对压力和流量梯度比较敏感,并且可获益于“无流量”工艺。可使用诸如N2O或NO的反应气体形成氧氮化物膜。在高于700℃的温度,而更尤其是高于800℃的温度下,在大约10托和700托的范围内的压力下,这些气体反应形成氧氮化物膜。氧氮化物膜可具有大约10到50范围内的厚度。可在30秒到2分钟的时间范围内生长氧氮化物膜。通过降低RTP腔室500内的温度可减缓或停止反应气体的反应。
在另一实施方式中,低物种利用工艺可以是在CVD腔室800中通过化学气相沉积(CVD)形成薄膜。图7是通过CVD在衬底810上形成膜的工艺流程图。CVD腔室800可以是在图8中示出的热低压CVD(LPCVD)设备。衬底810可以是硅晶片,或者在绝缘衬底上的另一类型的半导体或硅。运用传送叶片841通过入口840将衬底810放置在CVD腔室800的内部890。传送叶片841将衬底810放置到升降组件(lifter assembly)865的升降杆(lifter pins)895上。然后,将传送叶片841从腔室800移除,并且升降组件向上运动,以将基座805带到与衬底810接触。基座805包含如在基座805的截面部分示出的电阻加热元件880。在处理期间,电阻加热元件880将加热基座805和衬底810。在另一实施方式中,基座805可不包含电阻加热元件880,并且可由位于腔室800内基座805上方和下方的热灯加热晶片810和基座805。在方块701,反应气体流入包含衬底810的CVD腔室800的内部890。反应气体通过歧管(未示出)、分配端820、区隔板824和喷头825流入内体890。在另一实施方式中,不存在歧管和喷头825,而仅使用简单的分配端820将反应气体流入内部890。通常,当保持气体流入内部890的量时,歧管和喷头用于在处理期间均匀分配特定量的反应气体到内部890。因为在处理期间,没有反应气体流入内部890,所以不需要歧管和喷头。反应气体流入CVD腔室800的内部890,直到在腔室内存在足够量的反应气体用于低物种利用工艺。
在一个实施方式中,低物种利用工艺是由CVD形成薄膜。薄膜可为硅膜,诸如在硅衬底上形成的单晶外延层、多晶硅层、或者非晶硅层。图9示出了另一实施方式,其中硅外延层910在硅衬底810之上形成。为了在硅衬底810上形成任一种硅膜,反应气体可为含硅的气体,诸如甲烷(SiH4)或者二氯甲硅烷(SiH2Cl2),并伴随有载气,诸如氢气(H2)。在与含硅气体的混合物中氢气的量可为在大约90%和98%的范围内。反应气体流入CVD腔室800,直到存在足以形成特定厚度的外延硅膜910的反应气体量。单晶外延膜910的厚度可为在大约20埃和500埃的范围内,更尤其是大约100埃。在方块702,直到CVD腔室800内的压力稳定才停止反应气体流入内部890。CVD腔室800内的稳定的压力可为大约在10托-700托的范围内,更尤其是大约100托。在一个实施方式中,通过调整压力控制阀,以逐渐减慢的速度通过真空泵从CVD腔室800流出方式稳定CVD腔室800内的压力,直到稳定CVD腔室800的内部890内的压力。一旦通过减小流速稳定压力,在处理期间,压力控制器保持稳定的压力。在另一实施方式中,可编程软件以控制CVD腔室800的内体的压力稳定的所有参数。在该实施方式中,通过耦合到计算机可读介质的系统控制器逐渐降低气体流速,该计算机可读介质具有存储控制气体流速降低的指令集的存储器。气体流速降到CVD腔室800内达到预定压力,然后当气体流动停止时,在耦合到系统控制器的计算机可读介质的存储器中存储的指令集稳定CVD腔室800内的压力。在停止气体流动之前CVD腔室800内的温度不足以引起反应气体或多种气体反应。在一个实施方式中,在停止气体流动之前,CVD腔室800的内部890内的温度可大约为室温。
在方块703,停止反应气体流入CVD腔室800的内部890。然后,衬底810的温度升至足以引起反应气体或多种气体反应并在衬底810上形成薄外延膜910的温度。通过基座805加热衬底810,基座805由基座805内的电阻加热元件880加热。温度的上升速度可大约为在25℃/秒-75℃/秒的范围内,更尤其是大约为50℃/秒。晶片升至的温度可为在大约400℃-900℃范围内,更尤其是在大约600℃-800℃的范围内。通过在硅层生长的稳定温度可控制所形成的硅层的类型。一般而言,在较低温度下,可形成非晶硅,然后随着温度升高,所形成的硅的类型可由无定形到多晶硅,再到单晶硅。一旦衬底810的温度升至反应温度,稳定衬底810的温度足以生成所需厚度的外延硅膜910的时间。在反应温度,反应气体在热衬底的表面上分解,然后所分解的反应物在衬底上生成外延硅膜910。单晶外延膜910的厚度可为在大约20埃和500埃的范围内,更尤其为100埃。在热灯用于加热衬底和基座的实施方式中,当在衬底上生长外延硅膜910时,衬底以大约20rmp和50rmp范围内的旋转速度围绕衬底的中心轴水平旋转。通过使用在此描述的“无流量”工艺,可改善单晶外延膜910的厚度均匀性。因为在单晶外延膜910的生长期间,没有反应气体流入和流出CVD腔室800而引起流动和压力梯度,所以改善了膜910的厚度均匀性。
然后,为了冷却衬底810,基座805和CVD腔室800内的温度冷却至室温。然后,一旦冷却,通过打开位于气体输出830的压力控制阀(未示出),可排空CVD腔室800的反应气体。然后,诸如氢气(H2)和氮气(N2)的清洗气体可流入CVD腔室800的内部890。现在,可将CVD腔室800引到传送压力,在该传送压力,衬底810可传送到集成设备中的传送腔室,并放置到另一腔室中用于进一步处理。
在另一实施方式中,由“无流量”低物种利用工艺通过CVD形成的薄膜可以是二氧化硅,或者氮化硅。用于生长诸如二氧化硅和氮化硅的其它无定形膜的参数可类似于形成外延硅膜的参数。主要区别在于,除主要的硅前驱体诸如SiH4、Si2H6,或Si2H2Cl2之外可引入诸如氧气或氨气的其它气体。温度和压力与用于生长外延硅的可略有差别。
在此描述的“无流量”低物种利用实施方式是本发明应用的一些实施例。在处理期间,停止气体流入反应腔室的观念可以延伸到诸如原子层沉积或掺杂注入的其它低物种利用工艺。应该理解所公开的特定的实施方式仅意在描述本发明,并且本领域的普通技术人员将可以理解对于上述公开的方法和装置的替代物或修改。这样,本发明的权利要求范围应以以下所附的权利要求书为准。

Claims (45)

1、一种方法,包括:
流入气体到腔室中;
停止气体流入所述腔室中;以及
在使所述腔室内的压力和流量梯度最小之后,在所述腔室内执行低物种利用工艺。
2、根据权利要求1所述的方法,其特征在于,停止所述气体流入所述腔室包含稳定所述腔室内的所述压力,关闭所述腔室的阀门,以及当停止气体流入所述腔室时维持所述腔室内的所述压力。
3、根据权利要求1所述的方法,其特征在于,执行所述低物种利用工艺包括沉积小于30埃厚度的薄膜。
4、根据权利要求1所述的方法,其特征在于,执行所述低物种利用工艺包括将原子扩散至衬底中大约1×e14原子/cm2和1×e16原子/cm2的范围内。
5、根据权利要求1所述的方法,其特征在于,执行所述低物种利用工艺包括去耦等离子体氮化。
6、根据权利要求1所述的方法,其特征在于,执行所述低物种利用工艺包括沉积薄膜的快速热处理。
7、根据权利要求1所述的方法,其特征在于,执行所述低物种利用工艺包括化学气相沉积。
8、根据权利要求7所述的方法,其特征在于,所述化学气相沉积包含在衬底上生长外延层。
9、根据权利要求1所述的方法,其特征在于,执行所述低物种利用工艺包括原子层沉积。
10、一种方法,包括:
流入气体到等离子体腔室;
停止所述气体流入到所述等离子体腔室内;以及
在停止所述气体流入到所述等离子体腔室之后轰击等离子体。
11、根据权利要求10所述的方法,其特征在于,还包括在轰击所述等离子体之前稳定所述等离子体腔室内的压力。
12、根据权利要求10所述的方法,其特征在于,当轰击所述等离子体时,在所述等离子体腔室内维持稳定的压力。
13、根据权利要求10所述的方法,其特征在于,当轰击所述等离子体时,还包括扩散所述气体到衬底中。
14、根据权利要求13所述的方法,其特征在于,扩散气体到衬底包括扩散氮气体到二氧化硅栅中。
15、一种方法,包括:
流入氮气到去耦等离子体氮化腔室,所述去耦等离子体氮化腔室具有内压;
关闭所述去耦等离子体氮化腔室的所述阀门;
稳定所述去耦等离子体氮化腔室的所述内压,以获得稳定的压力;
当停止所述气体流入到所述去耦等离子体氮化腔室时,维持所述去耦等离子体氮化腔室内的所述稳定压力;以及
在停止所述氮化气体流入到所述腔室后及稳定所述腔室的所述内压之后,轰击等离子体。
16、根据权利要求15所述的方法,其特征在于,轰击等离子体包括将氮注入到300mm晶片上的二氧化硅膜中大约1×e14原子/cm2和8×e14原子/cm2的范围内。
17、根据权利要求15所述的方法,其特征在于,轰击等离子体包括在所述等离子体氮化腔室的顶周围提供线圈,并以射频源激发所述线圈。
18、根据权利要求15所述的方法,其特征在于,稳定所述去耦等离子体氮化腔室的所述内压包括使所述内压到在大约5毫托和95毫托内。
19、根据权利要求15所述的方法,其特征在于,稳定所述去耦等离子体氮化腔室的所述内压包括使所述内压为大约20毫托。
20、根据权利要求15所述的方法,其特征在于,还包括当轰击等离子体时,维持所述稳定的内压。
21、根据权利要求15所述的方法,其特征在于,维持所述去耦等离子体氮化腔室的所述稳定的压力包括以在大约10sccm/秒和50sccm/秒的范围内的速率逐渐降低所述气体流量。
22、根据权利要求15所述的方法,其特征在于,注入氮到衬底中包括在大约30W到300W的范围内施加有效的射频。
23、根据权利要求15所述的方法,其特征在于,注入氮到衬底包括施加大约150W的有效射频。
24、一种方法,包括:
流入反应气体到包含衬底的快速热处理腔室;
在不足以引起所述反应气体反应的第一温度,停止所述气体流入所述快速热处理腔室;以及
在停止所述气体流入到所述快速热处理腔室后,逐渐上升所述第一温度至第二温度,所述第二温度足以引起所述反应气体的反应;以及
在所述第二温度,在所述衬底上形成薄膜。
25、根据权利要求24所述的方法,其特征在于,流入反应气体到所述腔室包括流入氢气(H2)和氧气(O2)的混合物到所述腔室。
26、根据权利要求24所述的方法,其特征在于,流入反应气体到所述腔室包括流入氧气到所述腔室。
27、根据权利要求24所述的方法,其特征在于,流入反应气体到快速热处理腔室包括流入反应气体的量足以生成在大约5埃和50埃范围内厚度的薄膜。
28、根据权利要求24所述的方法,其特征在于,还包括在不足以引起所述反应气体反应的第一温度停止所述气体流入所述快速热处理腔室之前,稳定所述快速热处理腔室内的内压。
29、根据权利要求24所述的方法,其特征在于,在所述晶片上形成所述薄膜包括沉积二氧化硅膜。
30、根据权利要求24所述的方法,其特征在于,所述第二温度包括在大约800℃和1100℃的范围内的温度。
31、一种方法,包括:
流入反应气体到包含衬底的化学气相沉积腔室;
在不足以引起所述反应气体反应的第一温度,停止所述气体流入所述化学气相沉积腔室;
在停止所述气体流入化学气相沉积腔室之后,逐渐升高所述第一温度至第二温度,所述第二温度足以引起所述反应气体的反应;以及
在所述第二温度,在所述衬底上形成薄膜。
32、根据权利要求31所述的方法,其特征在于,流入反应气体到化学气相沉积腔室包括流入含硅气体、氢气和标记的混合物到所述化学气相沉积腔室。
33、根据权利要求31所述的方法,其特征在于,流入反应气体到化学气相沉积腔室包括流入反应气体的量足以生成具有在大约5埃和500埃范围内厚度的薄膜。
34、根据权利要求31所述的方法,其特征在于,流入反应气体到化学气相沉积腔室包括流入反应气体的量足以生成具有大约100埃厚度的薄膜。
35、根据权利要求31所述的方法,其特征在于,还包括在停止所述气体流入所述化学气相沉积腔室之前,在不足以引起所述反应气体反应的第一温度,稳定所述化学气相沉积腔室内的内压。
36、根据权利要求31所述的方法,其特征在于,在所述衬底上形成所述薄膜包括在硅晶片上生成外延硅层。
37、根据权利要求31所述的方法,其特征在于,在所述衬底上形成所述薄膜包括在硅晶片上生成外延多晶硅层。
38、根据权利要求31所述的方法,其特征在于,在所述衬底上形成所述薄膜包括在硅晶片上生成非晶硅层。
39、根据权利要求31所述的方法,其特征在于,在所述衬底上形成所述薄膜包括在所述晶片上生成二氧化硅层。
40、根据权利要求31所述的方法,其特征在于,在所述衬底上形成所述薄膜包括在所述晶片上生成氮化硅层。
41、一种衬底处理系统,包括:
工艺腔室;
用于控制所述工艺腔室的系统控制器;
耦合到所述控制器的计算机可读介质,所述计算机可读介质具有一存储器,所述存储器存储控制所述工艺腔室的压力稳定性的操作的指令集;以及
其中,通过逐渐降低气体流入所述工艺腔室的气体流速,在关闭所述工艺腔室的阀门之前稳定所述工艺腔室内的压力,以及当停止所述气体流入所述工艺腔室时保持所述工艺腔室内的所述压力,所述指令集控制所述工艺腔室内的所述压力稳定性的所有参数。
42、根据权利要求41所述的衬底处理系统,其特征在于,所述工艺腔室是去耦等离子体氮化腔室。
43、根据权利要求41所述的衬底处理系统,其特征在于,所述工艺腔室是快速热处理腔室。
44、根据权利要求41所述的衬底处理系统,其特征在于,所述工艺腔室是化学气相沉积腔室。
45、根据权利要求41所述的衬底处理系统,其特征在于,在停止所述气体流入所述工艺腔室后,通过执行低物种利用工艺,所述指令集还控制所述工艺腔室内的所述压力稳定性的所有参数。
CNA2005800293552A 2004-08-09 2005-08-04 在低利用工艺中流量和压力梯度的去除 Pending CN101010783A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/914,964 US7955646B2 (en) 2004-08-09 2004-08-09 Elimination of flow and pressure gradients in low utilization processes
US10/914,964 2004-08-09

Publications (1)

Publication Number Publication Date
CN101010783A true CN101010783A (zh) 2007-08-01

Family

ID=35395976

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2005800293552A Pending CN101010783A (zh) 2004-08-09 2005-08-04 在低利用工艺中流量和压力梯度的去除

Country Status (5)

Country Link
US (1) US7955646B2 (zh)
JP (1) JP5042022B2 (zh)
KR (1) KR20070042190A (zh)
CN (1) CN101010783A (zh)
WO (1) WO2006020513A1 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104011839A (zh) * 2011-12-20 2014-08-27 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及气化装置
CN104395498A (zh) * 2012-06-20 2015-03-04 应用材料公司 使用快速热处理的原子层沉积
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
CN108286044A (zh) * 2017-01-10 2018-07-17 Asm Ip控股有限公司 用于减少膜沉积过程期间的残余物堆积的反应器系统和方法
CN111540673A (zh) * 2020-07-07 2020-08-14 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法
CN112420731A (zh) * 2020-11-17 2021-02-26 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法

Families Citing this family (243)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7955646B2 (en) 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
KR20080102065A (ko) * 2007-05-18 2008-11-24 삼성전자주식회사 에피택시얼 실리콘 구조물 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
KR100953825B1 (ko) * 2007-05-28 2010-04-20 한국전자통신연구원 급속 열증착을 이용한 금속산화물 나노구조물 제조방법
US7846793B2 (en) * 2007-10-03 2010-12-07 Applied Materials, Inc. Plasma surface treatment for SI and metal nanocrystal nucleation
US20090162570A1 (en) * 2007-12-19 2009-06-25 Applied Materials, Inc. Apparatus and method for processing a substrate using inductively coupled plasma technology
US8137463B2 (en) * 2007-12-19 2012-03-20 Applied Materials, Inc. Dual zone gas injection nozzle
US8062472B2 (en) * 2007-12-19 2011-11-22 Applied Materials, Inc. Method of correcting baseline skew by a novel motorized source coil assembly
US8999106B2 (en) * 2007-12-19 2015-04-07 Applied Materials, Inc. Apparatus and method for controlling edge performance in an inductively coupled plasma chamber
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101113328B1 (ko) * 2009-12-30 2012-03-13 주식회사 하이닉스반도체 반도체소자의 도전막 형성방법
US9705028B2 (en) 2010-02-26 2017-07-11 Micron Technology, Inc. Light emitting diodes with N-polarity and associated methods of manufacturing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10504721B2 (en) * 2015-04-30 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Staggered-type tunneling field effect transistor
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
JP7186032B2 (ja) * 2018-07-27 2022-12-08 東京エレクトロン株式会社 成膜装置及び成膜方法
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05267186A (ja) * 1992-03-18 1993-10-15 Fujitsu Ltd 気相成長装置および該装置を用いた気相成長方法
JPH08225394A (ja) * 1995-10-30 1996-09-03 Nachi Fujikoshi Corp ダイヤモンドの気相合成方法
JPH09162126A (ja) * 1995-12-04 1997-06-20 Hitachi Ltd 化学気相成長装置
US6727169B1 (en) 1999-10-15 2004-04-27 Asm International, N.V. Method of making conformal lining layers for damascene metallization
JP5016767B2 (ja) 2000-03-07 2012-09-05 エーエスエム インターナショナル エヌ.ヴェー. 傾斜薄膜の形成方法
US6593244B1 (en) * 2000-09-11 2003-07-15 Applied Materials Inc. Process for etching conductors at high etch rates
US6627463B1 (en) 2000-10-19 2003-09-30 Applied Materials, Inc. Situ measurement of film nitridation using optical emission spectroscopy
US6610615B1 (en) 2000-11-15 2003-08-26 Intel Corporation Plasma nitridation for reduced leakage gate dielectric layers
TW580730B (en) 2001-03-09 2004-03-21 Macronix Int Co Ltd Method of forming a silicon oxide layer with different thickness using pulsed nitrogen plasma implantation
US6548366B2 (en) 2001-06-20 2003-04-15 Texas Instruments Incorporated Method of two-step annealing of ultra-thin silicon dioxide layers for uniform nitrogen profile
US6503846B1 (en) 2001-06-20 2003-01-07 Texas Instruments Incorporated Temperature spike for uniform nitridization of ultra-thin silicon dioxide layers in transistor gates
US6426305B1 (en) 2001-07-03 2002-07-30 International Business Machines Corporation Patterned plasma nitridation for selective epi and silicide formation
US6887803B2 (en) * 2001-11-08 2005-05-03 Wafermasters, Inc. Gas-assisted rapid thermal processing
US6566205B1 (en) 2002-01-11 2003-05-20 Taiwan Semiconductor Manufacturing Company Method to neutralize fixed charges in high K dielectric
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
JP4094901B2 (ja) * 2002-07-10 2008-06-04 東京エレクトロン株式会社 成膜方法
US6967154B2 (en) * 2002-08-26 2005-11-22 Micron Technology, Inc. Enhanced atomic layer deposition
US6649538B1 (en) 2002-10-09 2003-11-18 Taiwan Semiconductor Manufacturing Co. Ltd. Method for plasma treating and plasma nitriding gate oxides
JP4303662B2 (ja) * 2003-09-08 2009-07-29 パナソニック株式会社 プラズマ処理方法
US7955646B2 (en) 2004-08-09 2011-06-07 Applied Materials, Inc. Elimination of flow and pressure gradients in low utilization processes
US7534469B2 (en) * 2005-03-31 2009-05-19 Asm Japan K.K. Semiconductor-processing apparatus provided with self-cleaning device

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN104011839A (zh) * 2011-12-20 2014-08-27 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及气化装置
CN104011839B (zh) * 2011-12-20 2017-02-22 株式会社日立国际电气 衬底处理装置、半导体器件的制造方法及气化装置
CN104395498A (zh) * 2012-06-20 2015-03-04 应用材料公司 使用快速热处理的原子层沉积
CN105568256A (zh) * 2016-02-24 2016-05-11 北京七星华创电子股份有限公司 原子层沉积技术制备薄膜的实现方法
CN108286044A (zh) * 2017-01-10 2018-07-17 Asm Ip控股有限公司 用于减少膜沉积过程期间的残余物堆积的反应器系统和方法
CN108286044B (zh) * 2017-01-10 2022-09-20 Asm Ip控股有限公司 用于减少膜沉积过程期间的残余物堆积的反应器系统和方法
CN111540673A (zh) * 2020-07-07 2020-08-14 中芯集成电路制造(绍兴)有限公司 半导体器件的形成方法
CN112420731A (zh) * 2020-11-17 2021-02-26 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法
CN112420731B (zh) * 2020-11-17 2021-12-17 长江存储科技有限责任公司 在深孔中形成薄膜层的方法及半导体器件的制备方法

Also Published As

Publication number Publication date
US20060029747A1 (en) 2006-02-09
KR20070042190A (ko) 2007-04-20
US7955646B2 (en) 2011-06-07
JP5042022B2 (ja) 2012-10-03
WO2006020513A1 (en) 2006-02-23
JP2008509573A (ja) 2008-03-27

Similar Documents

Publication Publication Date Title
CN101010783A (zh) 在低利用工艺中流量和压力梯度的去除
US10490413B2 (en) Selective growth of silicon nitride
JP4408699B2 (ja) オキシナイトライド堆積方法
US7129187B2 (en) Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US10777407B2 (en) Selective deposition of silicon nitride on silicon oxide using catalytic control
US20180138028A1 (en) Selective inhibition in atomic layer deposition of silicon-containing films
TWI355029B (en) Method and apparatus for forming silicon oxide fil
US7745346B2 (en) Method for improving process control and film conformality of PECVD film
US8080477B2 (en) Film formation apparatus and method for using same
US10224185B2 (en) Substrate processing apparatus
US20100041212A1 (en) Film forming method and film forming apparatus
US7335266B2 (en) Method of forming a controlled and uniform lightly phosphorous doped silicon film
US20120201959A1 (en) In-Situ Hydroxylation System
TWI674625B (zh) 原位羥化裝置
TW201341569A (zh) 用於半導體元件應用之氮化矽膜
TW202249206A (zh) 基板處理系統中的原位膜退火
WO2022203763A1 (en) Methods and apparatus for processing a substrate
US20160247675A1 (en) Method for manufacturing thin film
US20080119059A1 (en) Low thermal budget chemical vapor deposition processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication