CN101095211B - 用于互补金属氧化物半导体的受力无位错沟道及制造方法 - Google Patents

用于互补金属氧化物半导体的受力无位错沟道及制造方法 Download PDF

Info

Publication number
CN101095211B
CN101095211B CN2004800299944A CN200480029994A CN101095211B CN 101095211 B CN101095211 B CN 101095211B CN 2004800299944 A CN2004800299944 A CN 2004800299944A CN 200480029994 A CN200480029994 A CN 200480029994A CN 101095211 B CN101095211 B CN 101095211B
Authority
CN
China
Prior art keywords
type
layer
fieldistor channel
channel
fieldistor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN2004800299944A
Other languages
English (en)
Other versions
CN101095211A (zh
Inventor
杜里塞蒂·奇达姆贝拉奥
奥默·多库梅西
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101095211A publication Critical patent/CN101095211A/zh
Application granted granted Critical
Publication of CN101095211B publication Critical patent/CN101095211B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having at least one potential-jump barrier or surface barrier; including integrated passive circuit elements with at least one potential-jump barrier or surface barrier the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66621Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation using etching to form a recess at the gate location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/762Charge transfer devices
    • H01L29/765Charge-coupled devices
    • H01L29/768Charge-coupled devices with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/933Germanium or silicon or Ge-Si on III-V

Abstract

本发明涉及半导体器件及制造半导体器件的方法。该半导体器件包括用于pFET和nFET的沟道。SiGe层生长在nFET沟道的沟道中,Si:C层生长在pFET沟道中。SiGe和Si:C层匹配下面的Si层的晶格网络,从而在上面生长的外延层中产生应力分量。在一实施方式中,这产生pFET沟道中的压缩分量和nFET沟道中的拉伸分量。在另一实施方式中,SiGe层生长在nFET和pFET沟道两者中。在此实施方式中,pFET沟道中的应力水平应当大于约3GPa。

Description

用于互补金属氧化物半导体的受力无位错沟道及制造方法
技术领域
本发明总地涉及半导体器件及制造方法,更特别地,涉及一种半导体器件及在器件制造期间在该器件中施加拉伸应力和压缩应力的制造方法。
背景技术
半导体器件衬底内的机械应力能调整器件性能。即,已经知道半导体器件内的应力提高半导体器件特性。因此,为了改善半导体器件的特性,在n型器件(例如NFET)和/或p型器件(例如PFET)的沟道内产生拉伸和/或压缩应力。然而,相同的应力分量,拉伸应力或压缩应力,不同地影响n型器件和p型器件的特性。
为了最大化集成电路(IC)芯片内的nFET和pFET两者的性能,对于nFET和pFET应当不同地设计和施加应力分量。这是因为对nFET的性能有利的应力类型通常对pFET的性能不利。更具体地,当器件受拉(例如在平面器件中的电流方向上)时,nFET的性能特性提高,而pFET的性能特性下降。为了选择性地形成nFET中的拉伸应力和pFET中的压缩应力,使用不同工艺和不同的材料组合。
例如,已经提出了槽隔离结构,以分别在nFET和pFET中形成适当的应力。当使用此方法时,用于nFET器件的隔离区包含第一隔离材料,该第一隔离材料在纵向(例如平行于电流方向)和在横向(例如垂直于电流方向)上对nFET器件施加第一类型的机械应力。另外,为pFET提供第一隔离区和第二隔离区,且pFET器件的每个隔离区在横向和纵向上对pFET器件施加唯一的机械应力。
作为选择地,已经提出栅极侧壁上的衬垫(liner)来选择性地在FET器件的沟道中引入合适的应力(例如见Ootsuka等人,IEDM 2000,p.575)。通过提供衬垫,与作为槽隔离填充技术的结果所施加的应力相比,该合适的应力被更近地施加在器件上。
尽管这些方法确实提供了使拉伸应力施加到nFET器件以及使压缩应力沿pFET器件的纵向被施加的结构,但它们会需要额外的材料和/或更复杂的处理,因此导致高成本。另外,这些情况中可施加的应力水平通常是中等的(即大约几百MPa)。因此,期望提供更节省成本和简化的方法以用于分别在nFET和pFET沟道中形成大的拉伸和压缩应力。
发明内容
在本发明的第一方面,提供一种用于制造半导体结构的方法。该方法包括在衬底中形成p型场效应晶体管(pFET)沟道和n型场效应晶体管(nFET)沟道。第一层材料设置在该pFET沟道内,具有与该衬底的晶格常数不同的晶格常数;且第二层材料设置在该nFET沟道内,具有与该衬底的晶格常数不同的晶格常数。外延半导体层形成在该pFET沟道内该第一层材料及该nFET沟道内该第二层材料之上。该外延半导体层基本上具有与该衬底相同的晶格常数,使得应力分量产生在该pFET沟道和该nFET沟道内。
在本发明的另一方面,提供一种制造半导体结构的方法。该方法包括在衬底层例如Si或绝缘体上硅中形成pFET和nFET沟道。第一层材料设置在该pFET沟道内,具有与该衬底层的晶格常数不同的晶格常数;第二层材料设置在该nFET沟道内,具有与该衬底层的晶格常数不同的晶格常数。外延半导体层形成在该pFET沟道内该第一层材料及该nFET沟道内该第二层材料之上。该外延半导体层基本上具有与该衬底层相同的晶格常数,从而引起与该pFET沟道内的该第一层材料及该nFET沟道内的第二层材料的应力分量相反的应力分量。
在本发明的再一方面,一种半导体结构包括形成在衬底例如Si层中的pFET和nFET沟道。浅槽隔离结构形成在该Si层中,且该pFET沟道内的第一层材料具有与该Si层的晶格常数不同的晶格常数。该nFET沟道内的第二层材料具有与该Si层的晶格常数不同的晶格常数。形成在该pFET沟道内该第一层材料及该nFET沟道内该第二层材料之上的外延半导体层基本上具有与该Si层相同的晶格常数,从而在该pFET沟道和该nFET沟道内产生所需要的应力分量。
附图说明
图1a至1f示出形成根据本发明的器件的制造工艺;
图2a至2d示出形成根据本发明的器件的制造工艺;
图3示出根据本发明的nFET器件中应力的位置;及
图4示出根据本发明的pFET器件中应力的位置
具体实施方式
本发明针对半导体器件及制造方法,其提供了CMOS器件的nFET沟道中的拉伸应力和pFET沟道中的压缩应力。在一实施例中,高的拉伸应力也可提供在pFET沟道中从而提高器件性能。在本发明一实施例中,沟道在nFET和pFET的形成区域中在硅层中形成。然后沟道填充以硅基材料,其具有与下面的硅层的晶格常数不相配的天然存在的晶格常数。通过应用这些材料,拉伸和/或压缩力分别产生在nFET和pFET的沟道中的上面的外延层中。在一实施例中,nFET和pFET沟道可同时形成。通过使用本发明的制造工艺,可以实现改进的器件特性以及更高的产率和更低的器件缺陷。另外,利用本发明的制造工艺可以实现更低的生产成本。
图1a至1f示出了形成根据本发明的器件的制造工艺。图1a中,提供衬底10,诸如例如绝缘体上硅(SOI)等。其包括掩埋氧化物层(buried oxidelayer)15和绝缘体上硅层20(例如Si层)。该SOI晶片可以通过本领域公知的SIMOX或粘合技术形成。在一实施例中,Si层20为大约
Figure G04829994420060427D000031
Figure G04829994420060427D000032
然而,应当充分理解,根据具体应用本发明考虑Si层20的高度的变动。
仍参照图1a,然后利用垫(pad)氧化、垫氮化物沉积、基于光刻的构图、对包括氮化物、氧化物和硅的堆叠的下至掩埋氧化物的反应离子蚀刻(RIE)、边缘氧化(edge oxidation)、衬垫沉积、填充沉积、以及化学机械抛光的标准技术,Si层20被构图从而形成浅槽隔离部件(STI)25。该STI形成工艺在本领域是公知的。然后剥离垫氮化物。
现在参照图1b,氧化物层32沉积在STI区25和Si层20的抛光表面上。此氧化物层32的高度可以改变,且在一实施例中为大约
Figure G04829994420060427D000033
可以是任何已知光致抗蚀剂材料的光致抗蚀剂层35沉积在氧化物层32上。在使用公知的掩模和光刻构图技术之后,然后在光致抗蚀剂层35和氧化物层32上进行例如反应离子蚀刻。在此步骤中,反应离子蚀刻对氧化物层可以是选择性的。这开始了同时形成pFET沟道40和nFET沟道45的工艺。在氧化物蚀刻后,Si层20利用反应离子蚀刻被选择性地蚀刻,如图1c所示。
在供选步骤中,根据所需的蚀刻深度,利用2e14#/cm2至1e15#/cm2典型剂量、10keV至100keV范围内的能量的Ge注入,Si首先被非晶化。此可选的非晶化步骤可用来改善蚀刻质量。在任一制造中,沟道40和45分别形成在对应pFET和nFET的位置的Si层20中。在一实施例中,沟道40和45在Si层20中被蚀刻至约
Figure G04829994420060427D000041
Figure G04829994420060427D000042
的深度。然而,根据使用本发明的具体应用,此深度可改变。
图1d是根据本发明的进一步制造工序的图示。在这些制造工序中,光致抗蚀剂材料35利用任何公知工艺去除。硬掩模50利用任何公知光刻工艺构图在pFET沟道40内。在一实施例中,硬掩模是氮化物材料且构图在氧化物层32之上,接近pFET沟道40。SiGe层45a外延生长在nFET沟道45内至约
Figure G04829994420060427D000043
Figure G04829994420060427D000044
的厚度,尽管本发明也可考虑其它厚度。
孤立时,SiGe通常具有比Si层20大的晶格常数。即,SiGe材料的晶格常数与Si层20的晶格常数不相配。然而,在本发明的结构中,由于SiGe层45a在nFET沟道45内的生长,SiGe层45a的晶格结构将倾向于匹配下面的Si层20的晶格结构。
由于SiGe 45a(通常较大)与Si层20的晶格匹配,这导致SiGe层45a和周围区域受压。然而,SiGe层的周围区域将试图获得平衡状态,因此导致形成在SiGe层45a上的外延Si层(如图1f所示)的拉伸应力。在一实施例中,SiGe层45a的Ge含量与Si含量的比例可为5%至50%。
在图1e中,硬掩模50通过任何公知工艺去除。硬掩模55利用任何公知光刻工艺构图在nFET沟道45内。硬掩模55也构图在氧化物层32之上,接近nFET沟道45且在这样生长的SiGe层45a之上。再次,在一实施例中,硬掩模55是氮化物材料。然后,Si:C层40a外延生长在pFET的沟道40中至约
Figure G04829994420060427D000045
的厚度,尽管本发明也考虑其它厚度。本领域普通技术人员应当理解,图1e的工艺步骤同样可以在图1d所示的工艺步骤之前进行。
孤立时,Si:C通常具有比Si层20小的晶格常数。即,Si:C材料的晶格常数与Si层20的晶格常数不相配。然而,在本发明的结构中,由于Si:C层40a在pFET沟道40内的生长,Si:C层40a的晶格结构将倾向于匹配下面的Si层20的晶格结构。
由于Si:C 40a(通常较小)与Si层20的晶格匹配,这导致Si:C层40a和周围区域处于拉伸应力之下。类似于SiGe层发生的情况,Si:C层40a的周围区域将试图获得平衡状态,因此导致形成在Si:C层40a上的外延Si层的压缩应力。在一实施例中,C含量与Si含量的比例可为0%至4%。
图1f示出了中间结构。为获得此结构,硬掩模55以与参照图1e所描述的方式类似的方式被去除。Si外延层60分别选择性地生长在pFET和nFET的沟道中的Si:C和SiGe层之上。在一实施例中,如上所述,Si外延层60与SiGe 45a或Si:C 40a周围结构及Si绝缘层20平衡,导致nFET沟道45中的拉伸应力及pFET沟道40中的压缩应力。应当理解,通过调整SiGe层中Ge含量的浓度,可以调整nFET沟道45中的拉伸应力。类似地,通过调整Si:C层中C的浓度,于是可以调整pFET沟道40中的压缩应力。这归因于这些材料的晶格常数。
仍然参照图1f,然后牺牲氧化物层65生长在选择性生长的外延Si层60之上。然后利用常规基于光致抗蚀剂的光刻技术遮蔽pFET,从而可以进行nFET沟道注入。在剥离相关抗蚀剂(图1f中未示出)之后,接着nFET被遮蔽(再次使用常规基于光致抗蚀剂的光刻技术)且进行pFET沟道注入,然后是另一光致抗蚀剂剥离。然后,牺牲氧化物层65被剥离,且栅极氧化层70被生长,如图1f所示。然后,栅极多晶硅70形成在pFET和nFET区中。进行本领域普通技术人员公知的栅极多晶硅沉积和化学机械抛光,从而制造图1f所示的结构。
在剥离镶嵌(damascene)氧化物层32之后,常规CMOS加工可继续该工艺。例如,在利用任何公知工艺剥离氧化物层32之后,可进行常规间隔物(spacer)和离子注入工艺,从而形成pFET和nFET的延伸部(extension)及源极和漏极区域。
图2a至2d示出了形成根据本发明的器件的另一制造工艺。图2a中,衬底和STI以与用于图1a的方式相同的方式形成。图2a中,提供衬底10,诸如例如绝缘体上硅(SOI)等。其包括掩埋氧化物层15和绝缘体上硅层20。该SOI晶片可以通过本领域公知的SIMOX或粘合技术形成。在一实施例中,Si层20为大约
Figure G04829994420060427D000051
Figure G04829994420060427D000052
然而,应当理解,本发明根据具体应用考虑Si层20的高度的变化。
仍参照图2a,然后利用垫氧化、垫氮化物沉积、基于光刻的构图、包括氮化物、氧化物和硅的堆叠的下至掩埋氧化物的反应离子蚀刻(RIE)、边缘氧化(edge oxidation)、衬沉积、填充沉积和化学机械抛光的常规技术,Si层20被构图,从而形成浅槽隔离部件(STI)25。该STI形成工艺是本领域公知的。然后剥离垫氮化物。
现在参照图2b,氧化物层32沉积在STI区25和Si层20的抛光表面上。此氧化物层32的高度可以改变,且在一实施例中为大约
Figure G04829994420060427D000061
可以是任何公知光致抗蚀剂材料的光致抗蚀剂层35沉积在氧化物层32上。在使用公知的掩模和光刻构图技术之后,然后在光致抗蚀剂层35和氧化物层32上进行例如反应离子蚀刻。在此步骤中,反应离子蚀刻对氧化物层可以是选择性的。这开始了形成nFET沟道45的工艺。在氧化物蚀刻之后,Si层20利用反应离子蚀刻被选择性地蚀刻。可进行可选的非晶Si蚀刻以改善蚀刻质量。在一实施方式中,沟道45在Si绝缘层20中被蚀刻至约
Figure G04829994420060427D000063
的深度。然而,此深度可根据使用本发明的具体应用而改变。
在供选步骤中,根据所需的蚀刻深度,利用2e14#/cm2至1e15#/cm2的典型剂量、10keV至100keV范围内的能量的Ge注入,Si首先被非晶化。此可选的非晶化步骤可用来改善蚀刻质量。在任一制造中,沟道40和45分别在对应pFET和nFET的位置的Si层20中形成。在一实施方式中,沟道40和45在Si层20中被蚀刻至约
Figure G04829994420060427D000064
Figure G04829994420060427D000065
的深度。然而,此深度可根据使用本发明的具体应用而变化。
图2c是根据本发明的进一步制造工序的图示。在这些制造工序中,SiGe层45a生长在nFET的沟道45中至约
Figure G04829994420060427D000066
Figure G04829994420060427D000067
的高度,尽管本发明也考虑其它高度。在一实施例中,SiGe的Ge含量与Si含量的比例可为0%至50%,优选约15%。然后,外延Si层60选择性生长在nFET沟道45中的SiGe层45a之上。然后,牺牲栅极氧化物层生长在选择性生长的Si层60之上。然后利用任何公知的制造工艺提供nFET掩模和阱注入。栅极氧化物65a然后形成在nFET区中。然后沉积栅极多晶硅70a,接着是本领域技术人员公知的化学机械抛光,从而制造图2c所示的结构。
然后,此相同工艺可用于形成器件的pFET,其可同等地由图2b和2c表示。取代SiGe,pFET结构结合Si:C。最后的产品示于图2d,其示出了结合选择的Si:C栅极氧化物65b和栅极多晶硅70b的pFET。氧化物32被剥离且可利用常规CMOS加工来继续该工艺。这些包括延伸部(extension)、源极和漏极区、硅化物形成、氮化物蚀刻停止层、接触工艺、互连等。
在本发明另一实施例中,如果由SiGe材料可以在沟道中实现大于约3GPa的应力水平,则SiGe材料可用于pFET沟道和nFET沟道两者中。此方法利于大的Ge含量,因为其要求非松弛系统(unrelaxed system)。因此,针对pFET可以使用所述的SiGe沉积步骤。然而,应当认识到,由于诸如高应力和位错问题的竞争性需求,工艺(Ge%)窗口会较小。由于与嵌入的材料相比沟道应力水平相对减小,所以在实施例中,嵌入的材料应当具有比约25%至30%更大的Ge百分比,以将此结构用于pFET。在此方法中,没有独立的pFET和nFET控制。
图3示出了根据本发明的nFET器件中的应力位置。如图3所示,拉伸应力出现在具有受压非松弛SiGe区域的nFET的沟道中。更具体地,在本发明的结构中,SiGe层45a的晶格结构匹配下面的Si绝缘层20的晶格结构。这导致SiGe层45a和周围区域处于压缩应力下。周围区域将试图获得平衡状态,从而导致形成在SiGe层45a上的外延Si层60的拉伸应力。
图4示出了根据本发明的pFET器件中的应力位置。如图4所示,压缩应力出现在具有受拉非松弛Si:C区域的pFET的沟道中。更具体地,在本发明的结构中,Si:C层40a的晶格结构将匹配下面的Si绝缘层20的晶格结构。这导致Si:C层40a和周围区域处于拉伸应力下。如SiGe层发生的情况那样,Si:C层40a的周围区域将获得平衡状态。然而,这导致形成在Si:C层40a上的外延Si层60的压缩应力。
在一实施方式中,图1f的nFET的Si外延层60中的纵向应力分量(从源极到漏极的电流的方向上的应力)的优选范围为大于100MPa的拉伸值,而在pFET Si沟道中大于100MPa的压缩值是优选的。
因此,在本发明的结构中,现在拉伸应力形成在nFET的沟道中且压缩应力形成在pFET中。在一实施方式中,高拉伸应力也可以形成在pFET中。通过允许这样的应力,可以实现高器件性能。另外,利用本发明的工艺,可以减小制造成本,得到高产率。
尽管已经根据实施例描述了本发明,但是本领域技术人员将理解,在所附权利要求的精神和范围内,本发明可以以改进型式实施。例如,本发明可以容易地应用于块衬底(bulk substrate)。

Claims (26)

1.一种制造半导体结构的方法,包括步骤:
在衬底中形成p型场效应晶体管沟道和n型场效应晶体管沟道,所述p型场效应晶体管沟道和n型场效应晶体管沟道由通过从所述衬底的上表面去除材料来在所述衬底中形成的沟槽形成;
在该p型场效应晶体管沟道内设置具有与该衬底的晶格常数不同的晶格常数的第一层材料;
在该n型场效应晶体管沟道内设置具有与该衬底的晶格常数不同的晶格常数的第二层材料;
在该p型场效应晶体管沟道内该第一层材料及该n型场效应晶体管沟道内该第二层材料之上形成外延半导体层,该外延半导体层基本上具有与该衬底相同的晶格常数,使得应力分量产生在该p型场效应晶体管沟道和该n型场效应晶体管沟道内。
2.如权利要求1所述的方法,其中该p型场效应晶体管沟道和该n型场效应晶体管沟道同时形成。
3.如权利要求1所述的方法,其中该p型场效应晶体管沟道和该n型场效应晶体管沟道分别形成。
4.如权利要求1所述的方法,其中该第一层材料为SiGe,按与Si的比例具有大于25%的Ge含量。
5.如权利要求4所述的方法,其中该第一层材料产生大于3GPa的该外延半导体层内的拉伸应力。
6.如权利要求1所述的方法,其中该第二层材料为SiGe。
7.如权利要求6所述的方法,其中该第二层材料在该n型场效应晶体管沟道内该外延半导体层中产生拉伸应力。
8.如权利要求1所述的方法,其中该第一层材料为Si:C。
9.如权利要求1所述的方法,还包括步骤:
在该外延半导体层之上形成栅极氧化物结构;以及
在该栅极氧化物结构的侧部该衬底中形成延伸部及漏极区和源极区。
10.如权利要求1所述的方法,其中该衬底为硅层或包括绝缘体上硅层的绝缘体上硅,该n型场效应晶体管和该p型场效应晶体管沟道的形成包括蚀刻该硅层至
Figure F200480029994401C00021
Figure F200480029994401C00022
的深度。
11.如权利要求1所述的方法,其中:
该第一层材料通过在该n型场效应晶体管沟道之上设置硬掩模且在该p型场效应晶体管沟道内生长该第一层材料而形成;以及
该第二层材料通过在该p型场效应晶体管沟道之上设置硬掩模且在该n型场效应晶体管沟道内生长该第二层材料而形成。
12.如权利要求1所述的方法,还包括在该衬底内形成浅槽结构。
13.如权利要求1所述的方法,其中该第一层材料和该第二层材料生长至
Figure F200480029994401C00023
Figure F200480029994401C00024
的高度。
14.如权利要求1所述的方法,其中该衬底层是绝缘体上硅。
15.如权利要求1所述的方法,其中该第一层材料和该第二层材料都是SiGe材料,其具有比25%至30%更大的Ge百分比从而应用于p型场效应晶体管。
16.一种制造半导体结构的方法,包括步骤:
在衬底中形成p型场效应晶体管沟道和n型场效应晶体管沟道,所述p型场效应晶体管沟道和n型场效应晶体管沟道由通过从所述衬底的上表面去除材料来在所述衬底中形成的沟槽形成;
在该p型场效应晶体管沟道内设置具有与该衬底的晶格常数不同的晶格常数的第一层材料;
在该n型场效应晶体管沟道内设置具有与该衬底的晶格常数不同的晶格常数的第二层材料;
在该p型场效应晶体管沟道内该第一层材料及该n型场效应晶体管沟道内该第二层材料之上形成外延半导体层,该外延半导体层基本上具有与该衬底相同的晶格常数,从而产生与该p型场效应晶体管沟道内的该第一层材料及该n型场效应晶体管沟道内的该第二层材料的应力分量相反的应力分量。
17.如权利要求16所述的方法,其中该p型场效应晶体管沟道和该n型场效应晶体管沟道同时形成。
18.如权利要求16所述的方法,其中该p型场效应晶体管沟道和该n型场效应晶体管沟道分别形成。
19.如权利要求16所述的方法,其中该第一层材料是Si:C,且该第二层材料是SiGe。
20.如权利要求19所述的方法,其中:
该第一层材料在该p型场效应晶体管沟道内该外延半导体层中产生压缩应力;且
该第二层材料在该n型场效应晶体管沟道内该外延半导体层中产生拉伸应力。
21.如权利要求16所述的方法,还包括步骤:
在该外延半导体层之上形成栅极氧化物结构;以及
在该栅极氧化物结构的侧部该Si层中形成延伸部及漏极区和源极区。
22.如权利要求16所述的方法,其中:
该第一层材料通过在该n型场效应晶体管沟道之上设置硬掩模且在该p型场效应晶体管沟道内生长该第一层材料而形成;以及
该第二层材料通过在该p型场效应晶体管沟道之上设置硬掩模且在该n型场效应晶体管沟道内生长该第二层材料而形成。
23.一种半导体结构,包括:
p型场效应晶体管沟道,其形成在衬底中,所述p型场效应晶体管沟道由通过从所述衬底的上表面去除材料来在所述衬底中形成的沟槽形成;
n型场效应晶体管沟道,其形成在该衬底中,所述n型场效应晶体管沟道由通过从所述衬底的上表面去除材料来在所述衬底中形成的沟槽形成;
浅槽隔离结构,其形成在该衬底中;
第一层材料,其在该p型场效应晶体管沟道内,具有与该衬底的晶格常数不同的晶格常数;
第二层材料,其在该n型场效应晶体管沟道内,具有与该衬底的晶格常数不同的晶格常数;
外延半导体层,其形成在该p型场效应晶体管沟道内该第一层材料及该n型场效应晶体管沟道内该第二层材料之上,该外延半导体层基本上具有与该衬底相同的晶格常数,从而在该p型场效应晶体管沟道和该n型场效应晶体管沟道内产生所需的应力分量。
24.如权利要求23所述的结构,其中该第一层材料为Si:C,且该第二层材料为SiGe。
25.如权利要求23所述的结构,其中该第一层材料和该第二层材料是SiGe,其在该p型场效应晶体管沟道内产生大于3GPa的应力水平。
26.如权利要求23所述的结构,其中:
该第一层材料在该p型场效应晶体管沟道内该外延半导体层中产生压缩应力;且
该第二层材料在该n型场效应晶体管沟道内该外延半导体层中产生拉伸应力。
CN2004800299944A 2003-10-20 2004-10-19 用于互补金属氧化物半导体的受力无位错沟道及制造方法 Active CN101095211B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/687,608 2003-10-20
US10/687,608 US7037770B2 (en) 2003-10-20 2003-10-20 Method of manufacturing strained dislocation-free channels for CMOS
PCT/US2004/034528 WO2005043590A2 (en) 2003-10-20 2004-10-19 Strained dislocation-free channels for cmos and method of manufacture

Publications (2)

Publication Number Publication Date
CN101095211A CN101095211A (zh) 2007-12-26
CN101095211B true CN101095211B (zh) 2010-08-11

Family

ID=34521006

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2004800299944A Active CN101095211B (zh) 2003-10-20 2004-10-19 用于互补金属氧化物半导体的受力无位错沟道及制造方法

Country Status (7)

Country Link
US (2) US7037770B2 (zh)
EP (1) EP1676296B1 (zh)
JP (1) JP5046153B2 (zh)
KR (1) KR100910902B1 (zh)
CN (1) CN101095211B (zh)
TW (1) TWI327779B (zh)
WO (1) WO2005043590A2 (zh)

Families Citing this family (44)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7247534B2 (en) 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
WO2006030505A1 (ja) * 2004-09-16 2006-03-23 Fujitsu Limited Mos型電界効果トランジスタ及びその製造方法
US7858458B2 (en) * 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US8900980B2 (en) * 2006-01-20 2014-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Defect-free SiGe source/drain formation by epitaxy-free process
US7560326B2 (en) * 2006-05-05 2009-07-14 International Business Machines Corporation Silicon/silcion germaninum/silicon body device with embedded carbon dopant
US7462522B2 (en) * 2006-08-30 2008-12-09 International Business Machines Corporation Method and structure for improving device performance variation in dual stress liner technology
DE102006046380B4 (de) * 2006-09-29 2011-03-24 Globalfoundries Inc. Verfahren zur Herstellung eines Feldeffekttransistors mit einem elastisch verspannten Kanalgebiet und Feldeffekttransistor
KR100833498B1 (ko) 2006-10-19 2008-05-29 한국전자통신연구원 스트레인드 베리드 채널을 구비하는 광소자
DE102006051492B4 (de) 2006-10-31 2011-05-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauelement mit NMOS- und PMOS-Transistoren mit eingebettetem Si/Ge-Material zum Erzeugen einer Zugverformung und einer Druckverformung und Verfahren zur Herstellung eines solchen Halbleiterbauelements
KR101378987B1 (ko) * 2006-10-31 2014-03-28 어드밴스드 마이크로 디바이시즈, 인코포레이티드 인장성 스트레인 및 압축성 스트레인을 생성시키기 위한 임베드된 Si/Ge 물질을 갖는 NMOS 및 PMOS 트랜지스터를 포함하는 반도체 디바이스
US7572712B2 (en) 2006-11-21 2009-08-11 Chartered Semiconductor Manufacturing, Ltd. Method to form selective strained Si using lateral epitaxy
JP2008153515A (ja) * 2006-12-19 2008-07-03 Fujitsu Ltd Mosトランジスタ、そのmosトランジスタの製造方法、そのmosトランジスタを利用したcmos型半導体装置、及び、そのcmos型半導体装置を利用した半導体装置
US7531401B2 (en) 2007-02-08 2009-05-12 International Business Machines Corporation Method for improved fabrication of a semiconductor using a stress proximity technique process
WO2008102451A1 (ja) * 2007-02-22 2008-08-28 Fujitsu Microelectronics Limited 半導体装置及びその製造方法
US7875511B2 (en) * 2007-03-13 2011-01-25 International Business Machines Corporation CMOS structure including differential channel stressing layer compositions
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
KR100902105B1 (ko) * 2007-11-09 2009-06-09 주식회사 하이닉스반도체 반도체 소자의 트랜지스터 및 그 제조 방법
DE102008006961A1 (de) * 2008-01-31 2009-08-27 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen eines verformten Kanalgebiets in einem Transistor durch eine tiefe Implantation einer verformungsinduzierenden Sorte unter das Kanalgebiet
US8012839B2 (en) * 2008-02-29 2011-09-06 Chartered Semiconductor Manufacturing, Ltd. Method for fabricating a semiconductor device having an epitaxial channel and transistor having same
US7687354B2 (en) * 2008-02-29 2010-03-30 Freescale Semiconductor, Inc. Fabrication of a semiconductor device with stressor
US8624295B2 (en) * 2008-03-20 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. SRAM devices utilizing strained-channel transistors and methods of manufacture
US7968910B2 (en) * 2008-04-15 2011-06-28 International Business Machines Corporation Complementary field effect transistors having embedded silicon source and drain regions
KR101354844B1 (ko) 2009-07-08 2014-01-22 가부시끼가이샤 도시바 반도체 장치 및 그의 제조 방법
US20110031503A1 (en) * 2009-08-10 2011-02-10 International Business Machines Corporation Device with stressed channel
US20110068368A1 (en) * 2009-09-18 2011-03-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device comprising a honeycomb heteroepitaxy
JP2011108692A (ja) * 2009-11-12 2011-06-02 Ulvac Japan Ltd Cmosデバイス用シリコンウェハの製造方法
CN102110710A (zh) * 2009-12-23 2011-06-29 中国科学院微电子研究所 形成有沟道应力层的半导体结构及其形成方法
CN101924138B (zh) * 2010-06-25 2013-02-06 中国科学院上海微系统与信息技术研究所 防止浮体及自加热效应的mos器件结构及其制备方法
US20120080722A1 (en) * 2010-09-30 2012-04-05 Institute of Microelectronics,Chinese Academy of Sciences Method for forming strained semiconductor channel and semiconductor device
US9006052B2 (en) 2010-10-11 2015-04-14 International Business Machines Corporation Self aligned device with enhanced stress and methods of manufacture
EP2477211B1 (en) * 2011-01-17 2019-03-06 IMEC vzw Method for selective deposition of a semiconductor material
CN102832171A (zh) * 2011-06-13 2012-12-19 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
KR101865754B1 (ko) * 2011-07-01 2018-06-12 삼성전자주식회사 반도체 장치 및 그 제조 방법
US8610172B2 (en) * 2011-12-15 2013-12-17 International Business Machines Corporation FETs with hybrid channel materials
FR2995134B1 (fr) * 2012-09-05 2015-12-18 Commissariat Energie Atomique Procede de gravure d'un materiau semiconducteur cristallin par implantation ionique puis gravure chimique a base de chlorure d'hydrogene
FR2995135B1 (fr) 2012-09-05 2015-12-04 Commissariat Energie Atomique Procede de realisation de transistors fet
US8796096B2 (en) * 2012-12-04 2014-08-05 International Business Machines Corporation Self-aligned double-gate graphene transistor
CN103928403B (zh) * 2013-01-10 2016-08-10 中芯国际集成电路制造(上海)有限公司 含应变硅层的半导体器件的形成方法
US8927363B2 (en) * 2013-05-17 2015-01-06 International Business Machines Corporation Integrating channel SiGe into pFET structures
KR102077447B1 (ko) 2013-06-24 2020-02-14 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
US9466670B2 (en) * 2014-03-12 2016-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Sandwich epi channel for device enhancement
US9484266B1 (en) 2015-08-04 2016-11-01 International Business Machines Corporation Complementary heterogeneous MOSFET using global SiGe substrate and hard-mask memorized germanium dilution for nFET
US9685510B2 (en) 2015-09-10 2017-06-20 International Business Machines Corporation SiGe CMOS with tensely strained NFET and compressively strained PFET
CN108037131B (zh) * 2017-12-21 2020-10-16 上海华力微电子有限公司 一种对插塞缺陷进行检测的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683934A (en) * 1994-09-26 1997-11-04 Motorola, Inc. Enhanced mobility MOSFET device and method
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel

Family Cites Families (105)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) * 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US3836999A (en) * 1970-09-21 1974-09-17 Semiconductor Res Found Semiconductor with grown layer relieved in lattice strain
US4853076A (en) * 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
JPH0650723B2 (ja) * 1984-10-17 1994-06-29 日本電気株式会社 エピタキシヤル成長方法
US4665415A (en) * 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
EP0219641B1 (de) * 1985-09-13 1991-01-09 Siemens Aktiengesellschaft Integrierte Bipolar- und komplementäre MOS-Transistoren auf einem gemeinsamen Substrat enthaltende Schaltung und Verfahren zu ihrer Herstellung
US4958213A (en) * 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) * 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) * 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) * 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) * 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) * 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) * 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) * 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) * 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) * 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) * 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) * 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
US5679965A (en) * 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) * 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) * 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) * 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) * 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) * 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) * 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) * 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) * 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) * 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) * 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
JP3443343B2 (ja) * 1997-12-03 2003-09-02 松下電器産業株式会社 半導体装置
US6066545A (en) * 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) * 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) * 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) * 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) * 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US5989978A (en) * 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) * 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) * 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) * 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
US6117722A (en) * 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) * 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
US6284626B1 (en) * 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) * 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6281532B1 (en) * 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
US6362082B1 (en) * 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) * 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
KR100332108B1 (ko) * 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) * 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6483171B1 (en) 1999-08-13 2002-11-19 Micron Technology, Inc. Vertical sub-micron CMOS transistors on (110), (111), (311), (511), and higher order surfaces of bulk, SOI and thin film structures and method of forming same
JP2001160594A (ja) * 1999-09-20 2001-06-12 Toshiba Corp 半導体装置
US6284623B1 (en) * 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
DE60036594T2 (de) * 1999-11-15 2008-01-31 Matsushita Electric Industrial Co., Ltd., Kadoma Feldeffekt-Halbleiterbauelement
US6476462B2 (en) * 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) * 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) * 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) * 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
WO2001093338A1 (en) * 2000-05-26 2001-12-06 Amberwave Systems Corporation Buried channel strained silicon fet using an ion implanted doped layer
JP2002033477A (ja) * 2000-07-13 2002-01-31 Nec Corp 半導体装置およびその製造方法
US6429061B1 (en) * 2000-07-26 2002-08-06 International Business Machines Corporation Method to fabricate a strained Si CMOS structure using selective epitaxial deposition of Si after device isolation formation
JP2002100762A (ja) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
US6493497B1 (en) * 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
JP3998408B2 (ja) * 2000-09-29 2007-10-24 株式会社東芝 半導体装置及びその製造方法
US6506639B1 (en) * 2000-10-18 2003-01-14 Advanced Micro Devices, Inc. Method of forming low resistance reduced channel length transistors
US6501121B1 (en) * 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
US7312485B2 (en) * 2000-11-29 2007-12-25 Intel Corporation CMOS fabrication process utilizing special transistor orientation
US6844227B2 (en) * 2000-12-26 2005-01-18 Matsushita Electric Industrial Co., Ltd. Semiconductor devices and method for manufacturing the same
US6563152B2 (en) * 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
US20020086497A1 (en) * 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) * 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
JP3678661B2 (ja) * 2001-03-08 2005-08-03 シャープ株式会社 半導体装置
US6403486B1 (en) * 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
WO2002103760A2 (en) * 2001-06-14 2002-12-27 Amberware Systems Corporation Method of selective removal of sige alloys
US6531740B2 (en) * 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
JP2003031813A (ja) * 2001-07-19 2003-01-31 Matsushita Electric Ind Co Ltd 半導体装置
US6498358B1 (en) * 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
JP2004538634A (ja) * 2001-08-06 2004-12-24 マサチューセッツ インスティテュート オブ テクノロジー ひずみ層を有する半導体基板及びその形成方法
US6908810B2 (en) * 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) * 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
JP2003092399A (ja) * 2001-09-18 2003-03-28 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US6831292B2 (en) * 2001-09-21 2004-12-14 Amberwave Systems Corporation Semiconductor structures employing strained material layers with defined impurity gradients and methods for fabricating same
US20030057184A1 (en) * 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) * 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
JP4034627B2 (ja) * 2001-09-28 2008-01-16 テキサス インスツルメンツ インコーポレイテツド 集積回路及びその製造方法
US6461900B1 (en) * 2001-10-18 2002-10-08 Chartered Semiconductor Manufacturing Ltd. Method to form a self-aligned CMOS inverter using vertical device integration
US6461936B1 (en) * 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
US6492216B1 (en) * 2002-02-07 2002-12-10 Taiwan Semiconductor Manufacturing Company Method of forming a transistor with a strained channel
US6790699B2 (en) * 2002-07-10 2004-09-14 Robert Bosch Gmbh Method for manufacturing a semiconductor device
US7388259B2 (en) * 2002-11-25 2008-06-17 International Business Machines Corporation Strained finFET CMOS device structures
US6717216B1 (en) * 2002-12-12 2004-04-06 International Business Machines Corporation SOI based field effect transistor having a compressive film in undercut area under the channel and a method of making the device
US6974981B2 (en) 2002-12-12 2005-12-13 International Business Machines Corporation Isolation structures for imposing stress patterns
US6825529B2 (en) * 2002-12-12 2004-11-30 International Business Machines Corporation Stress inducing spacers
US6891192B2 (en) * 2003-08-04 2005-05-10 International Business Machines Corporation Structure and method of making strained semiconductor CMOS transistors having lattice-mismatched semiconductor regions underlying source and drain regions
US7119403B2 (en) * 2003-10-16 2006-10-10 International Business Machines Corporation High performance strained CMOS devices
US6977194B2 (en) 2003-10-30 2005-12-20 International Business Machines Corporation Structure and method to improve channel mobility by gate electrode stress modification
US8008724B2 (en) * 2003-10-30 2011-08-30 International Business Machines Corporation Structure and method to enhance both nFET and pFET performance using different kinds of stressed layers
US7129126B2 (en) * 2003-11-05 2006-10-31 International Business Machines Corporation Method and structure for forming strained Si for CMOS devices
US7015082B2 (en) * 2003-11-06 2006-03-21 International Business Machines Corporation High mobility CMOS circuits
US7122849B2 (en) * 2003-11-14 2006-10-17 International Business Machines Corporation Stressed semiconductor device structures having granular semiconductor material
US7247912B2 (en) * 2004-01-05 2007-07-24 International Business Machines Corporation Structures and methods for making strained MOSFETs
US7205206B2 (en) * 2004-03-03 2007-04-17 International Business Machines Corporation Method of fabricating mobility enhanced CMOS devices
US7504693B2 (en) * 2004-04-23 2009-03-17 International Business Machines Corporation Dislocation free stressed channels in bulk silicon and SOI CMOS devices by gate stress engineering
US7002214B1 (en) * 2004-07-30 2006-02-21 International Business Machines Corporation Ultra-thin body super-steep retrograde well (SSRW) FET devices
US7354806B2 (en) * 2004-09-17 2008-04-08 International Business Machines Corporation Semiconductor device structure with active regions having different surface directions and methods

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5683934A (en) * 1994-09-26 1997-11-04 Motorola, Inc. Enhanced mobility MOSFET device and method
US6399970B2 (en) * 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel

Also Published As

Publication number Publication date
EP1676296B1 (en) 2014-12-10
TW200525765A (en) 2005-08-01
JP5046153B2 (ja) 2012-10-10
US20050139930A1 (en) 2005-06-30
EP1676296A4 (en) 2008-08-20
JP2007509503A (ja) 2007-04-12
TWI327779B (en) 2010-07-21
CN101095211A (zh) 2007-12-26
US20050085022A1 (en) 2005-04-21
WO2005043590A3 (en) 2006-09-21
WO2005043590A2 (en) 2005-05-12
KR20060089736A (ko) 2006-08-09
US7037770B2 (en) 2006-05-02
US7495291B2 (en) 2009-02-24
KR100910902B1 (ko) 2009-08-05
EP1676296A2 (en) 2006-07-05

Similar Documents

Publication Publication Date Title
CN101095211B (zh) 用于互补金属氧化物半导体的受力无位错沟道及制造方法
US7781800B2 (en) Embedded silicon germanium using a double buried oxide silicon-on-insulator wafer
US9040373B2 (en) Silicon device on SI:C-OI and SGOI and method of manufacture
US7449767B2 (en) Mixed orientation and mixed material semiconductor-on-insulator wafer
US7560328B2 (en) Strained Si on multiple materials for bulk or SOI substrates
CN101138081B (zh) 集成电路及其制造方法
US20140322873A1 (en) High performance stress-enhanced mosfets using si:c and sige epitaxial source/drain and method of manufacture
US20050106790A1 (en) Strained silicon on a SiGe on SOI substrate
US20070281436A1 (en) Trench liner for DSO integration
US7838932B2 (en) Raised STI structure and superdamascene technique for NMOSFET performance enhancement with embedded silicon carbon

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant