CN101101875A - 电子结构及形成介质膜的方法 - Google Patents

电子结构及形成介质膜的方法 Download PDF

Info

Publication number
CN101101875A
CN101101875A CNA2007101269195A CN200710126919A CN101101875A CN 101101875 A CN101101875 A CN 101101875A CN A2007101269195 A CNA2007101269195 A CN A2007101269195A CN 200710126919 A CN200710126919 A CN 200710126919A CN 101101875 A CN101101875 A CN 101101875A
Authority
CN
China
Prior art keywords
precursor
sila
sicoh
film
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007101269195A
Other languages
English (en)
Other versions
CN100552890C (zh
Inventor
S·M·盖茨
S·V·恩古源
R·D·米勒
V·Y-W·李
A·格里尔
G·J-M·迪布瓦
V·V·帕特尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101101875A publication Critical patent/CN101101875A/zh
Application granted granted Critical
Publication of CN100552890C publication Critical patent/CN100552890C/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/36Carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02167Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon carbide not containing oxygen, e.g. SiC, SiC:H or silicon carbonitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • H01L21/31053Planarisation of the insulating layers involving a dielectric removal step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3148Silicon Carbide layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/318Inorganic layers composed of nitrides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76835Combinations of two or more different dielectric layers having a low dielectric constant

Abstract

本发明提供了形成包括Si,C,O和H原子(SiCOH)或Si,C,N和H原子(SiCHN)的介质膜的方法,该介质膜具有增强的内聚强度(或等价地,增强的断裂韧度或减小的脆性),和增加的抗水侵蚀特性,例如应力侵蚀破裂,Cu渗入以及其它关键特性。本发明还提供了包括上述材料的电子结构。

Description

电子结构及形成介质膜的方法
技术领域
本发明涉及包括Si,C,O和H原子(SiCOH)或Si,C,N和H原子(SiCHN)的介质膜的形成方法,这些介质膜具有增强的内聚强度(或等价地,增强的断裂韧度或减小的脆性),和增加的抗水侵蚀特性,例如应力侵蚀破裂,Cu渗入以及其它关键特性。本发明还涉及作为在超大规模集成(ULSI)电路上的后段制程(BEOL)互连结构中的级内或级间介质膜,介质覆层或硬掩模/抛光停止的介质膜的应用和相关的电子结构。本发明还涉及发明的介质材料在包括至少两个导体或电子传感结构的电子器件中的应用。
背景技术
近几年,在ULSI电路中利用的电子器件的尺寸持续缩小导致BEOL金属化电阻增加以及层内介质和层间介质电容增加。此结合效应增加了ULSI电子器件中的信号延迟。为了提高未来ULSI电路的开关性能,需要低介电常数(K)绝缘体特别是那些K明显低于氧化硅的绝缘体以减小电容。
甚大规模集成电路(“VLSI”)和ULSI芯片的大部分制造步骤是通过等离子体增强化学或物理气相沉积技术完成的。从而,通过使用先前安装并且可获得的工艺设备的等离子体增强化学气相沉积(PECVD)技术制造低K材料的能力将简化其在制造工艺中的集成,减小制造成本,并且产生更少的危险废物。受让给本发明的共同受让人的美国专利Nos.6,147,009和6,497,963,描述了由Si,C,O和H原子构成的低介电常数材料,该材料具有不大于3.6的介电常数并且表现出很低的裂纹扩展速度,通过参考引入其整个内容。
受让给本发明的共同受让人的美国专利Nos.6,312,793,6,441,491和6,479,110 B2描述了由Si,C,O和H原子构成的基质组成的多相低K介质材料,相主要由C和H构成,并具有不大于3.2的介电常数,通过参考引入其整个内容。
同样,技术上已公知具有小于2.7(优选小于2.3)的介电常数的超低K介电材料。现有技术超低K SiCOH膜的关键问题包括,例如:(a)它们比较脆(即,低内聚强度,低断裂伸张度,低断裂韧度);(b)液态水和水蒸气进一步减小材料的内聚强度。称为“CS湿度图”的内聚强度CS与水压,PH2O或%湿度的图,对每个K值和材料都具有特征斜率;(c)它们倾向于拥有拉伸应力结合低断裂韧度,并且因此当膜高于一些临界厚度时,与水接触时倾向于破裂;(d)它们当为多孔时可以吸收水和其它工艺化学物质,这又导致在电场下增强的Cu电化学腐蚀,并且进入多孔介质导致导体间的电泄漏和高电导率;以及(e)当C键合为Si-CH3基团时,现有技术SiCOH介质容易与耐剥落等离子体,CMP工艺和其它集成工艺反应,引起SiCOH介质的“损坏”,导致更亲水的表面层。
例如,硅酸盐和有机硅酸盐玻璃倾向于落在如图1示出的内聚强度对介电常数的通用曲线上。此图包括常规氧化物(点A),常规SiCOH介质(点B),常规K=2.6 SiCOH介质(点C)和具有约2.2的K的常规CVD超低K介质(点D)。主要通过Si-O键合的体积密度决定两者的数量的事实能够解释它们之间的比例变化。它还表明具有超低介电常数(例如,K<2.4)的OSG材料在完全干燥环境中基本限制为具有约3J/m2或更小的内聚强度。如果湿度增加,内聚强度进一步减小。
现有技术SiCOH膜的另一个问题是它们的强度倾向于被H2O退化。可以使用4点弯曲技术测量现有技术SiCOH膜的H2O退化效应,例如,在M.W.Lane,X.H.Liu,T.M.Shaw的“Environmental Effects onCracking and Delamination of Dielectric Films”,IEEE Transactions onDevice and Materials Reliability,4,2004,pp.142-147中所描述的。图2A取自此参考并且是描述H2O对具有约2.9的K的介电常数的典型SiCOH膜的强度的影响的图。通过在可以控制并改变水压(PH2O)的室中的4点弯曲技术测量数据。具体地,图2A示出了绘制的内聚强度与受控室内水压的自然对数(ln)的关系。在使用的单位内此图的斜率约是-1。H2O的压力增加,内聚强度降低。在图2A中线上的区域,阴影部分,表示很难用现有技术SiCOH介质获得的内聚强度区域。
图2B同样取自上面引用的M.W.Lane参考,并且与图2A类似。具体地,图2B是使用与图2A相同的程序测量的另一种SiCOH膜的内聚强度图。现有技术SiCOH膜具有2.6的介电常数并且在使用的单位内此图的斜率约是-0.66。在图2B线上的区域,阴影部分,表示很难用现有技术SiCOH介质获得的内聚强度区域。
已知,Si-C键的极化小于Si-O键。另外,已知,有机聚合物介质具有的断裂韧度高于有机硅酸盐玻璃并且不倾向于应力腐蚀断裂(如Si-O基介质的)。这说明,添加到SiCOH介质的有机聚合物的成份越多并且Si-C键合越多,可以降低上述水退化效应并且增加如塑性的非线性能量扩散机制。向SiCOH添加越多的有机聚合物成份,将导致介质具有增加的断裂韧度和减小的环境灵敏度。
已知,在其它领域中,一些材料例如有机弹性体的机械性能,可以通过引入添加化学物种以引起和形成交联化学键的特定交联反应提高。这可以增加材料的弹性模量,玻璃转换温度和内聚强度,以及在一些情况下,耐氧化性,耐吸水性,以及相关的退化。
考虑到现有技术低和超低K SiCOH介质具有的上述缺点,存在对提供具有约3.2或更小的介电常数的多孔SiCOH介质膜的形成方法的需要,该介质膜具有位于图1限定的通用曲线之上的明显增加的内聚强度对K曲线。对图1中的具体情况,断裂韧度和内聚强度相等。还存在对发展形成多孔SiCOH介质膜的方法的需要,该介质膜具有Si-C键合,增加的耐水性,特别是在图2A和2B的阴影区域中,以及允许这样的膜用于ULSI器件中的新应用的良好的机械性能。
发明内容
本发明提供一种低K介质材料,包括由元素Si,C,O和H原子构成的基质(或基架(skeleton))并且在此基质中有许多纳米尺寸的孔。这样的介质材料以下称为SiCOH介质。
在本发明的一个实施例中,提供了一种低成本,简单的方法以微调或调整在多孔SiCOH膜的基架中期望的键(即,Si-R-Si键)的浓度。通过调整Si-R-Si键,提高了在50%湿度中的内聚强度,应力,耐综合破坏性和其它类似的性质。在上述分子式中,R是-[CH2]n-,其中n大于或等于1。在优选实施例中,SiCOH介质包括Si-[CH2]n-Si,其中n为1-3。
因为前体的选择,形成多孔SiCOH介质膜的本方法比现有技术方法更具制造性。另外,本发明提供当使用两种或三种前体时,在整个晶片上沉积的SiCOH膜的均匀性问题的解决方法。
通常,本发明提供制造具有提高的和可调整的性能的多孔SiCOH介质的方法,包括新Si-C键。制造提高的多孔SiCOH介质的现有技术方法使用高成本前体,或高沸点前体,并且不允许调整或控制在多孔SiCOH膜的基架中的期望的Si-C键的浓度。
概括地说,本发明的一种方法包括如下步骤:
在反应室中提供衬底;
在所述反应室中流入至少一种前体,其中所述至少一种前体是环碳硅烷(carbosilane)或氧碳硅烷(oxycarbosilane);
在所述衬底上沉积介质膜;以及
可选地执行能量处理步骤,以在所述衬底顶上提供多孔介质膜。
概括地说,本发明的第二方法包括如下步骤:
在反应室中提供至少第一前体和第二前体,其中所述前体的至少一种是烃成孔剂并且所述前体的另一种是环碳硅烷或氧碳硅烷;
沉积包括第一相和第二相的膜;以及
从所述膜除去所述成孔剂以提供多孔介质膜。
除了以上所述,本申请的SiCOH介质材料具有内聚强度(CS)对%湿度的曲线,其显示对湿度的弱依赖。即,以给定的介电常数,本发明的SiCOH介电材料具有比图2A和2B中示出的曲线更小的斜率,并且因此在PH2O的具体值的内聚强度在图2A或2B中的线上面的阴影区域中。通过“弱依赖”意味着发明的SiCOH介质比现有技术材料具有更低的斜率。在本发明中,通过减少反应点(Si-O-Si)的数目获得此性质。Cs对lnPH2O曲线的斜率由反应点Si-O-Si的密度决定。而减小Si-O-Si点的数目降低了对湿度的敏感度,同样降低了线性依赖于Si-O-Si键密度的内聚强度。
另外,本申请的多孔SiCOH介质膜对H2O蒸气(湿气)暴露稳定,包括对晶片中裂纹形成的抵抗性。
本发明还提供了用作低K Cu覆层的相关的常规成分SiCNH膜,和从在环中包括Si,C和N的单环前体制备此膜的方法。这样的前体的实例为2,25,5-四甲基-2,5-二硅杂-1-氮杂环戊烷或相关的氮杂环戊烷,其为具有两个Si和两个C原子的五成员环中包含一个N原子的环分子。
本发明的SiCNH膜,典型地具有约6.0或更小的介电常数,利用下列工艺步骤制备:
在反应室中提供衬底;
在所述反应室中流入至少一种前体,所述至少一种前体是在具有Si和C原子的环结构中包含至少一个N原子的环化合物;以及
从所述至少一种前体沉积包括Si,C,N和H原子的介质膜。
本申请的SiCNH介质膜可以是致密的(即,无孔的)或多孔的。通过包括作为前体的成孔剂并且沉积后从刚沉积膜除去成孔剂形成多孔SiCNH介质膜。
在形成SiCNH介质的一些实施例中,向所述至少一种前体添加气体流,所述气体包括NH3,CO,CO2,O2,N2O,O3,N2和惰性气体中的至少一种。
附图说明
图1是对现有技术介质的内聚强度对介电常数的通用曲线。
图2A-2B示出了对现有技术SiCOH介质,绘制的内聚强度对受控室内水压的自然对数(ln)。
图3示出了内聚强度对介电常数的通用曲线,包括图1示出的现有技术介质以及发明的SiCOH介质材料。
图4A-4B是包括Si-CH2-Si键的SiCOH膜的傅里叶变换红外(FTIR)谱,并且示出了通过在1350-1370cm-1之间的FTIR峰检测所述键。图4A是全谱,而图4B是从0到1700cm-1的扩展谱。在图4A和4B的每个中,谱(a)来自刚沉积的SiCOH介质膜,并且谱(b)来自430℃退火后的相同膜。
图5是根据本发明的第二实施例制造的多孔SiCOH膜在430℃退火4小时后的FTIR谱。1351cm-1处的峰对应Si-CH2-Si键的吸收率。
图6是本发明的电子器件的放大截面图,该器件包括发明的介质膜作为级内介质层和级间介质层。
图7是图6的电子结构的放大截面图,该结构具有沉积在本发明的介质膜顶上的另外的扩散阻挡介质覆盖层,所述扩散阻挡可以是本发明的膜的一种(即,SiCOH或SiCHN)。
图8是图7的电子结构的放大截面图,该结构具有另外的RIE硬掩模/抛光停止介质覆盖层和在抛光停止层顶上沉积的介质覆盖扩散阻挡层,所述介质覆盖扩散阻挡层可以是本发明的膜的一种。
图9是图8的电子结构的放大截面图,该结构具有沉积在本发明的介质膜顶上的另外的RIE硬掩模/抛光停止介质层。
图10的图示表示(通过截面图)示出了包括至少两个导体和本发明的介质材料的电子结构。
图11A-11B的图示表示(通过截面图)示出了包括传感元件和本发明的介质材料的电子结构。
具体实施方式
在本发明的一个实施例中,提供了多孔介质材料,包括在共价键合的三维网络中的Si,C,O和H元素并且具有约3.2或更小的介电常数的氢氧化硅碳材料(SiCOH)基质。贯穿本申请使用的术语“三维网络”指包括在x,y和z方向上互相连接并且互相关联的硅,碳,氧和氢的SiCOH介质材料。
具体地,本发明提供SiCOH介质,该介质具有包括键合为Si-CH3的C和键合为Si-R-Si的C的共价键合的三维网络结构,其中R是-[CH2]n-,其中n大于或等于1,优选n是1-3。在本发明的一些实施例中,发明的介质材料具有的键合为Si-R-Si的碳原子占整个碳原子的分数在0.01和0.99之间。
本发明的SiCOH介质材料包括在约5和约40之间,更优选从约10到约20原子百分比的Si;在约5和约50之间,更优选从约15到约40原子百分比的C;在约0和约50之间,更优选从约10到约30原子百分比的O;以及在约10和约55之间,更优选从约20到约45原子百分比的H。
在一些实施例中,本发明的SiCOH介质材料还可以包括F和/或N。在本发明的另一个实施例中,SiCOH介质材料可以可选地具有由Ge原子部分替代的Si原子。可以存在于本发明发明的介质材料中的这些可选元素的量依赖于在沉积期间使用的包括可选元素的前体的量。
本发明的SiCOH介质材料包括直径在约0.3到约10纳米并且更优选直径在约0.4到约5纳米的分子量级的空隙(即,纳米尺寸的孔),其减小了SiCOH介质材料的介电常数。纳米尺寸的孔占的体积是材料的体积的约0.5%和约50%之间。
图3示出了内聚强度对介电常数的通用曲线,包括如图1示出的现有技术介质以及发明的SiCOH介质材料。图3中的曲线显示,发明的SiCOH介质比具有相等K值的现有技术介质具有更高的内聚强度。在图1和3中,K是相对介电常数。
本发明发明的SiCOH介质材料与现有技术SiCOH和pSiCOH介质的Si-CH3键合特性相比具有更多键合在两个Si原子之间桥连的有机基团中的碳。
另外,本发明的SiCOH介质材料是疏水的,具有大于70°优选大于80°的水接触角并且表现出相对高的内聚强度。在图2A和2B的阴影部分中图示了此SiCOH介质材料的特性。
优选使用等离子体增强化学气相沉积(PECVD)沉积发明的SiCOH介质材料。除了PECVD以外,本发明还旨在利用化学气相沉积(CVD),高密度等离子体(HDP),脉冲PECVD,旋涂施加或其它相关方法形成SiCOH介质材料。
在沉积工艺中,发明的SiCOH介质材料这样形成,通过向反应室提供包括Si,C,O和H原子的至少环碳硅烷或氧碳硅烷前体(液体,气体或蒸汽)和可选地如He或Ar的惰性载气,优选反应室是PECVD反应室,然后,利用对形成本发明的SiCOH介质材料有效的条件,将源自所述环碳硅烷或氧碳硅烷前体的膜沉积到合适衬底上。
在本发明的选定实施例中,刚沉积膜包括两个相。刚沉积膜的一个相是包括C和H的牺牲烃相,而另一个相(即,稳定基架相)由Si,O,C和H构成。本发明还向混合气体提供可选地氧化剂如O2,O3,N2O,CO2或其组合,从而稳定反应室内的反应物并且提高沉积在衬底上的介质膜的特性和均匀性。
在本发明中,环碳硅烷前体或氧碳硅烷包括至少一种下面的化合物:1,1-二甲基-1-硅杂环戊烷,1,3-二甲硅烷基环丁烷,甲基-1-硅杂环戊烷,硅杂环戊烷,硅杂环丁烷,甲基硅杂环丁烷,硅杂环己烷,甲基硅杂环己烷,四甲基-二硅杂-呋喃,二硅杂-呋喃,包含1、2、3或4甲基或其它烷基的二硅杂-呋喃的衍生物,前述环前体的甲氧基衍生物,和相关的含Si-C分子。
可选地,环碳硅烷可以包括不饱和环以使此前体在沉积等离子体(例如,低能等离子)中更有活性,例如,1,1-二乙氧基-1-硅杂环戊烯,1,1-二甲基-3-硅杂环戊烯,1,1-二甲基-1-硅杂环戊-3-烯,1-硅杂-3-环戊烯,乙烯基甲基硅杂环戊烯,硅杂环戊烯的甲氧基衍生物,硅杂环戊烯的其它衍生物,和相关的其它环碳硅烷前体。
下面示出了一些优选环碳硅烷的结构以示出本发明期望的环化合物的类型(然而,示出的结构没有以任何方式限制本发明):
Figure A20071012691900131
四甲基-二硅杂-呋喃或四甲基-二硅杂-氧杂环戊烷
1,1-二甲基-1-硅杂环戊烷
2,2,5,5-四甲基-2,5-二硅杂-1-氮杂环戊烷
Figure A20071012691900134
1,1-二乙氧基-1-硅杂环戊-3-烯
1-硅杂环戊-3-烯
乙烯基甲基硅杂环戊烷
本发明优选上述环化合物,因为这些前体具有相对低的沸点,并且它们包括Si-[CH2]n-Si键合基团。
在本发明中使用的第二前体是烃(即,包括C和H原子和可选地N和/或F的化合物)分子,如在美国专利Nos.6,147,009,6,312,793,6,441,491,6,437,443,6,541,398,6,479,110 B2和6,497,963中描述的,在此引入其整个内容作为参考。在本发明中烃分子用作成孔剂。氢前体可以是液体或气体。
可选地,可以向反应室添加包括烷氧基硅烷或环硅氧烷前体的SiCOH基架前体(例如,第三前体)。这样的SiCOH基架前体的实例包括,例如,二乙氧基甲基硅杂,八甲基四硅氧烷,四甲基四硅氧烷,三甲基硅杂,或任意其它普通烷基硅烷或烷氧基硅烷(环或线)分子。
可选地,还可以使用包括Ge的前体(气体,液体或气体)。
如下面实例中描述的其它官能团可以用于形成在两Si原子之间的桥连基团。
上述具有氮的环碳硅烷前体还可以用于沉积SiCHN覆盖膜,通过添加含氮(例如,NH3,N2或N2H2)的气体。因为两个Si原子之间N桥连的存在,SiCHN膜将更加热稳定和对等离子体和其它类型的综合破坏更加稳定。
本发明的方法还包括提供平行板反应室的步骤,反应室具有在约85cm2和约750cm2之间的衬底卡盘的导电区域和在衬底和顶电极之间,在约1cm和约12cm之间的间隙。向一个电极提供频率在约0.45MHz和约200MHz之间的高频RF功率。可选地,向一个电极提供比第一RF功率频率低的另外的RF功率。
沉积步骤使用的条件可以根据本发明的SiCOH介质材料期望的最终介电常数改变。广义上,用于提供具有约3.2或更小的介电常数,小于45MPa拉伸应力,从约2到约15GPa的弹性模量,以及从约0.2到约2GPa的硬度的包括Si,C,O和H元素的稳定介质材料的条件包括:设置衬底的温度在约100℃和约425℃之间;设置高频RF功率密度在约0.1W/cm2和约2.0W/cm2之间;设置第一液体前体流速在约10mg/min和约5000mg/min之间;可选地设置第二液体前体流速在约10mg/min到约5000mg/min之间;可选地设置第三液体前体流速在约10mg/min到约5000mg/min之间;可选地设置如氦(或/和氩)的惰性载气流速在约10sccm到约5000sccm之间;设置反应室的压力在约1000mTorr和约10,000mTorr之间;并且设置高频RF功率在约50W和约1000W之间。可选地,可以向等离子体施加在约20W和约400W之间的超低频率功率。
当在本发明中使用氧化剂时,其以在约10sccm到约1000sccm之间的流速流入PECVD反应室。
虽然在上述实例中使用液体前体,但是技术人员应该知道还可以使用气相前体沉积。
由上述工艺导致的膜这里称为“刚沉积膜”。
根据本发明,制造本发明的稳定SiCOH介质材料要求结合几个步骤:
在1st步骤中,在衬底上沉积材料,使用的沉积工具参数类似于下面在工艺实施例中给出的参数,形成刚沉积膜;并且,然后
使用热,UV光,电子束照射,化学能量或其多于一种的组合固化或处理该材料,形成具有期望的机械或这里描述的其它特性的最终的膜。例如,沉积后,可以执行SiCOH膜的处理(使用热能和第二能源)以稳定膜并且获得提高的性能。第二能源可以是电磁辐射(UV,微波,等等),带电粒子(电子或离子束)或可以是化学的(使用氢原子或其它反应气体,在等离子体中形成)。本领域的技术人员很熟悉用于这些处理的条件。
在优选处理中,将衬底(包括根据上述工艺沉积的膜)置入具有可控环境(真空或具有低O2和H2O浓度的超纯惰性气体)的紫外(UV)处理工具中。可以使用脉冲或连续UV源。
在本发明中,UV处理工具可以与沉积工具连接(“串接”)或者可以是分离工具。
如技术上公知的,可以在本发明中,在可以串接到单处理工具上或者两个室可以是分离的处理工具(“非串接”)的两个分离的处理室中,进行两个工艺步骤。对于此多孔SiCOH膜的一些实施例,固化步骤可以包括牺牲烃部分的除去。可以由碳硅烷前体或向沉积室添加的附加成孔剂前体,沉积烃部分。可以在本发明中使用的合适的牺牲烃前体包括,但不仅限于在美国专利Nos.6,147,009,6,312,793,6,441,491,6,437,443,6,541,398,6,479,110 B2和6,497,963中提到的第二前体,在此引入其整个内容作为参考。优选烃前体包括二环庚二烯,己二烯和双官能二烯烃分子中的一种。
在本发明的多孔SiCOH膜的另一个实施例中,固化步骤可能会引起膜结构的重排以制造更多的开口体积,并且因此降低介电常数而没有除去牺牲部分或相。
在本发明的另一个实施例中,提供了常规成分SiCNH的介质膜。在本发明的此实施例中,提供了包括在共价键合的三维网络中的Si,C,N和H元素并且具有约6或更小的介电常数的致密或多孔介质材料。贯穿本申请的术语“三维网络”指包括在x,y和z方向上互相连接并且互相关联的硅,碳,氮和氢的SiCNH介质材料。
可以利用与上述条件基本相同的工艺条件形成本发明的SiCNH介质膜。在沉积步骤中,使用在环结构中包括Si,C和N的单环前体。例如包括但不仅限于:2,2,5,5-四甲基-2,5-二硅杂-1-氮杂环戊烷,或相关的氮杂环戊烷。
在典型的沉积工艺中,将衬底置入PECVD沉积室中,并且稳定在环结构中包括Si,C和N的环前体的流速。在沉积步骤中使用的条件可以包括,对所有的前体100-3000mg/m的前体流速,10-3000sccm的He气流速并且可选地使用具有从10-1000sccm的流速的N2,所述流速稳定以使反应室压力到达1-10Torr。晶片卡盘温度典型地设定在100°-400℃之间,优选具有300°-400℃的范围。根据膜的期望密度,向喷头施加典型地在从50-1,000W范围中的高频RF功率,并且可以使用在10-500W范围内的低频RF(LRF)功率。
如技术上公知的,在本发明中可以调整每个上述工艺参数。例如,晶片卡盘的温度可以在100°-450℃。如在技术上公知的,可以添加如CO2的气体,并且可以用例如Ar,O3或N2O或其它惰性气体的气体来替代He。C2H4还可以用来形成发明的SiCNH介质材料。再次,如下面的实例中描述的,可以用其它官能团形成在两个Si原子之间的桥连基团。
本发明的SiCOH介质材料包括在约5和约40之间,更优选从约10到约20原子百分比的Si;在约5和约50之间,更优选从约15到约40原子百分比的C;在约0和约50之间,更优选从约10到约30原子百分比的N;以及在约10和约55之间,更优选从约20到约45原子百分比的H。
在一些实施例中,本发明的SiCNH介质材料还可以包括F。在本发明的另一个实施例中,SiCNH介质材料可以可选地具有由Ge原子部分替代的Si原子。可以存在于本发明发明的介质材料中的这些可选元素的量依赖于在沉积期间使用的包括可选元素的前体的量。
本发明的SiCNH介质材料包括直径在约0.3到约10纳米并且更优选直径在约0.4到约5纳米的分子量级的空隙(即,纳米尺寸的孔),其减小了SiCNH介质材料的介电常数。纳米尺寸的孔占的体积是材料的体积的约0.5%和约50%之间。通过在沉积工艺中包括一种上述成孔剂制造空隙。
上述本发明的SiCNH介质材料可以用于,例如,形成图7,8和9中示出的层62。此层是在构图金属导体层间的扩散阻挡/蚀刻停止。
下面是示出本发明的材料和工艺实施例的实例。
实例1;第一方法实施例
在此实例中,在两步工艺中制造具有介电常数K=2.4的多孔SiCOH材料。在沉积步骤中,选定具有低沸点,低成本,并且提供形成Si-[CH2]n-Si的键合的一种环碳硅烷或氧碳硅烷前体。具体地,使用1,1-二甲基-1-硅杂环戊烷。在沉积步骤中使用的条件包括8sccm的碳硅烷1,1-二甲基-1-硅杂环戊烷前体流速和0.5sccm的氧(O2)。将衬底置入反应室中并且稳定前体流速以使反应室压力达到0.5Torr。设置晶片卡盘的温度为约180℃。以30W的功率施加13.6MHz频率的RF功率。沉积后,膜在430℃下退火4小时,并且在150℃下测到2.4的介电常数。通常,其它高能后处理(或固化)步骤可以引起膜结构的重排以制造更多的开口体积,并且因此降低介电常数而没有除去牺牲相。
如技术上公知的,在本发明中可以调整每个上述工艺参数。例如,晶片卡盘的温度可以在100°-400℃。如技术上公知的,可以添加如He或CO2的气体,并且其可以用例如Ar或N2O或其它惰性气体的气体替代。
例如在图4A和4B中示出了此SiCOH介质材料的FTIR谱。具体地,图4A-4B是含Si-CH2-Si键的SiCOH膜的FTIR谱并且示出了通过在1350-1370cm-1之间的FTIR峰检测所述键。图4A是全谱,而图4B是从0到1700cm-1的扩展谱。在图4A和4B的每个中,谱(a)来自刚沉积SiCOH介质膜,并且谱(b)来自退火后的相同的膜。
在图4A中,虚线1和2示出图4B中扩展谱的限制。特征标记3和4是CHx烃物种的C-H伸缩振动的吸收峰。峰4相比峰3的强度减小表明一些CHx物种(CHx部分)已通过热处理除去,以在膜内制造开口体积(小范围多孔性)。注意,在此实施例中没有使用第二成孔剂前体。在图4B中,特征标记11是Si-CH2-Si基团的吸收峰,此发明的SiCOH材料的一种特征结构。
通常可以使用多种环碳硅烷前体,例如包括,1,1-二甲基-1-硅杂环戊烷,甲基-1-硅杂环戊烷,硅杂环戊烷,硅杂环丁烷,甲基硅杂环丁烷,硅杂环己烷,甲基硅杂环己烷,四甲基-二硅杂-呋喃,二硅杂-呋喃,上述环前体的甲氧基衍生物,或包含1、2、3或4 R基团的二硅杂-呋喃的衍生物,其中R选自甲基,乙基,乙烯基,丙基,烯丙基,丁基。
实例2;第二方法实施例
在此实例中,在两步工艺中制造具有K=2.4的多孔SiCOH材料。在沉积步骤中,使用两种前体。选定具有低沸点,低成本,并且提供形成Si-[CH2]n-Si的键合的环前体。使用的环碳硅烷前体是1,1-二甲基-1-硅杂环戊烷。使用二环庚二烯(BCHD)作为第二前体并且在此方法中用作成孔剂。在沉积步骤中使用的条件包括5sccm的1,1-二甲基-1-硅杂环戊烷前体流速和2sccm的BCHD和0.5sccm的氧(O2)。将衬底置入反应室中并且稳定前体流速以使反应室压力达到0.5Torr。设置晶片卡盘的温度为约180℃。以50W的功率施加13.6MHz频率的RF功率。沉积后,膜在430℃下退火4小时,并且收集图5的FTIR数据,并且在150℃下测到2.4的介电常数。图5中示出的是在1351cm-1处的FTIR峰,其证明膜中存在Si-CH2-Si物种。
如技术上公知的,在本发明中可以调整每个上述工艺参数。例如,晶片卡盘的温度可以在100°-400℃。如技术上公知的,可以添加如He或CO2的气体,并且其可以用例如Ar或N2O或其它惰性气体的气体替代。通常,在沉积后使用高能后处理步骤,并且可以使用上面指定的第一实施例中的所有环碳硅烷或氧碳硅烷。
实例3;第三方法实施例
在此实例中,在两步工艺中使用三前体制造具有K大于或等于1.8,并且具有在两个Si原子之间的增强的Si-R-Si桥连碳或其它有机官能桥连的多孔SiCOH材料。这里,使用R表示如CH2,CH2-CH2,CH2-CH2-CH2或更多常规[CH2]n桥连有机基团。在沉积步骤中,使用三前体,其一为烃成孔剂(根据技术上公知的方法使用)。成孔剂可以是二环庚二烯(BCHD),己二烯(HXD)或其它分子,例如在美国专利Nos.6,147,009,6,312,793,6,441,491,6,437,443,6,441,491,6,541,398,6,479,110 B2和6,497,963中描述的。在此实例中使用的另一个前体是SiCOH基架前体DEMS(二乙氧基甲基硅烷)。选定的提供期望量的Si-[CH2]n-Si形式的键的第三前体为1,1-二甲基-1-硅杂环戊烷,虽然可以使用其它环碳硅烷,包括甲基-1-硅杂环戊烷,1,3-二甲硅烷基环丁烷,硅杂环戊烷,硅杂环丁烷,甲基硅杂环丁烷,硅杂环己烷,甲基硅杂环己烷,四甲基-二硅杂-呋喃,二硅杂-呋喃,上述环前体的甲氧基衍生物,或包含1、2、3或4 R基团的二硅杂-呋喃的衍生物,其中R选自甲基,乙基,乙烯基,丙基,烯丙基,丁基。
在发明的方法中,比率R1是反应室中碳硅烷前体与SiCOH基架前体的比率,比率R2是反应室中成孔剂前体与SiCOH基架前体的比率。R1决定在最终的多孔SiCOH膜中Si-R-Si桥连碳的浓度。R1可以在0.01到100的范围内,但是一般在0.05-1的范围内。R2决定体积%多孔性并且因此决定最终的多孔SiCOH膜的介电常数。R2可以在0.1到10的范围内,但是一般在0.5-2的范围内。
在沉积步骤中使用的条件包括,对所有的前体100-3000mg/m的前体流速,10-3000sccm的He气流速以及约50-3000mg/m的成孔剂流速并且可选地从10-1000sccm的O2流速,稳定所述流速以使反应室压力到达0.1-20Torr,优选1-10Torr。晶片卡盘温度设定在100°-400℃之间,优选具有200°-300℃的范围。向喷头施加在50-1,000W范围内的高频RF功率,并且低频RF(LRF)功率为0W这样以便不向衬底施加LRF。膜沉积速率在200到10,000/min。
如技术上公知的,可以在本发明中调整每个上述工艺参数。例如,晶片卡盘的温度可以在100°-350℃。如技术上公知的,可以添加如CO2的气体,并且可以用如Ar,O3或N2O或其它惰性气体的气体替代He。
沉积后,在高能后处理步骤中处理膜,其包括热,紫外光,电子束或其它能源的至少一种。此步骤制造多孔膜。
实例4;第四方法实施例
在第四实施例中,使用类似于第一实施例的工艺(碳硅烷1,1-二甲基-1-硅杂环戊烷和氧气O2工艺),但是环碳硅烷前体选自1,1-二甲基-1-硅杂环戊烷,甲基-1-硅杂环戊烷,硅杂环戊烷,硅杂环丁烷和甲基硅杂环丁烷,硅杂环己烷和甲基硅杂环己烷,四甲基-二硅杂-呋喃,二硅杂-呋喃,包含1、2、3或4甲基基团的二硅杂-呋喃的衍生物,上述环碳硅烷的甲氧基衍生物,以及相关的含Si-C的分子。可选地,碳硅烷可以包括不饱和环以使此前体在沉积等离子体(例如低能等离子体)中更有活性,例如1,1-二乙氧基-1-硅杂环戊烯,1,1-二甲基-3-硅杂环戊烯,1-硅杂-3-环戊烯,乙烯基甲基硅杂环戊烯,这些不饱和环碳硅烷的甲氧基衍生物,以及相关的其它环碳硅烷前体。
在沉积步骤中使用的条件包括,对所有的前体100-3000mg/m的前体流速,10-3000sccm的He气流速以及约50-3000mg/m的成孔剂流速并且可选地从10-1000sccm的氧流速,稳定所述流速以使反应室压力到达1-10Torr。晶片卡盘温度设定在100°-350℃之间,优选具有250°-300℃的范围。向喷头施加在从50-1,000W范围内的高频RF功率,并且低频RF(LRF)功率为0W这样以便不向衬底施加LRF。膜沉积速率在200到10,000/min。沉积后,使用高能后处理步骤制造最终的多孔介质膜。
如技术上公知的,可以在本发明中调整每个上述工艺参数。例如,晶片卡盘的温度可以在100°-400℃。如技术上公知的,可以添加如CO2的气体,并且可以用如Ar,O3或N2O或其它惰性气体的气体替代He。此实施例的膜的成分通常为SiCH,具有可选的少量O成分。
实例5:第五方法实施例
在第五实施例中,使用一种工艺,该工艺使用如2,2,5,5-四甲基-2,5-二硅杂-1-氮杂环戊烷或相关的氮杂环戊烷的包括氮的环前体沉积SiCNH成分膜。
在沉积步骤中使用的条件包括,对所有的前体100-3000mg/m的前体流速,10-3000sccm的He气流速以及约50-3000mg/m的成孔剂流速。对SiCNH成分的此膜,以从10-1000sccm的流速可选地添加NH3(氨)。稳定所述流速以使反应室压力到达1-10Torr。晶片卡盘温度设定在100°-400℃之间,优选350℃。向喷头施加在从50-1,000W范围内的高频RF功率,并且低频RF(LRF)功率为0W这样以便不向衬底施加低频RF(LRF)功率。膜沉积速率在200到10,000/min范围内。沉积后,使用高能后处理步骤制造最终的介质膜,但不必须。
如技术上公知的,可以在本发明中调整每个上述工艺参数。例如,晶片卡盘的温度可以在100°-400℃。如技术上公知的,可以添加如N2的气体,并且可以用如Ar或其它惰性气体的气体替代He。此实施例的膜的成分通常为SiCNH。
电子器件
图6-9示出了包括发明的SiCOH或SiCNH介质的电子器件。注意,在图6-9中示出的器件仅是本发明的示意性实例,通过本发明的新方法还可以形成大量其它器件。注意,在这些图中,本发明的SiCNH膜仅用于层62,不用于层38或44。
在图6中,示出了在硅衬底32上建立的电子器件30。在硅衬底32顶上,首先形成绝缘材料层34,第一金属区域36嵌入其中。在第一金属区域36上进行CMP工艺后,在第一绝缘材料层34和第一金属区域36的顶上沉积本发明的SiCOH介质膜38。第一绝缘材料层34适合由硅氧化物,氮化硅,这些材料的掺杂种类,或任何其它合适的绝缘材料形成。然后在接着蚀刻的光刻工艺中构图SiCOH介质膜38并且其上沉积导体层40。在进行第一导体层40上的CMP工艺后,在第一SiCOH介质膜38和第一导体层40上,通过等离子体增强化学气相沉积工艺沉积第二层发明的SiCOH膜44。沉积的导体层40可以是金属材料或非金属导电材料。例如,铝或铜的金属材料,或者氮化物或多晶硅的非金属材料。第一导体层40与第一金属区域36电连接。
然后,在SiCOH介质膜44上进行光刻工艺接着蚀刻以及随后用于第二导体材料的沉积工艺后,形成第二导体区域50。第二导体区域50还可以沉积金属材料或非金属材料,类似于在沉积第一导体层40中使用的。第二导体区域50与第一导体区域40电连接,并且嵌入第二SiCOH介质膜层44中。第二SiCOH介质膜层与第一SiCOH介质材料层38紧密接触。在此实例中,第一SiCOH介质膜层38是级内介质材料,而第二SiCOH介质膜层44是级内和级间介质。基于发明的SiCOH介质膜的低介电常数,可以通过第一绝缘层38和第二绝缘层44获得好的绝缘性能。
图7示出了本发明的电子器件60,其类似于图6示出的电子器件30,但是具有在第一绝缘材料层38和第二绝缘材料层44之间沉积的附加介质覆盖层62。适合通过此发明的第五实施例形成包括SiCNH的介质覆盖层62。附加介质覆盖层62用作扩散阻挡层用于防止第一导体层40扩散进第二绝缘材料层44或更下面的层中,特别是进入层34和32。
图8中示出了本发明的另一可选实施例的电子器件70。在电子器件70中,使用了用作RIE掩模和CMP(化学机械抛光)抛光停止层的两个附加介质覆盖层72和74。在第一超低K绝缘材料层38顶上沉积第一介质覆盖层72并且用作RIE掩模和CMP停止,因此在CMP后第一导体层40和层72基本共面。第二介质层74的功能与层72类似,然而在平整化第二导体层50中利用了层74。抛光停止层74可以沉积如硅氧化物,硅氮化物,硅氧氮化物,硅碳化物,硅碳氧化物(SiCO)以及它们的氢化物的合适的介质材料。对层72或74的优选抛光停止层成分是SiCH或SiCOH或SiCNH。可以在第二SiCOH介质膜44顶上添加第二介质层,用于相同的目的。
图9中示出了本发明的另一个可选实施例的电子器件80。在此可选实施例中,沉积介质材料附加层82并且因此将第二绝缘材料层44分为两个分开层84和86。因此,由发明的超低K材料形成的级内和级间介质层44在过孔92和互连94之间的界面处分为级间介质层84和级内介质层86。还在上介质层74顶上沉积附加扩散阻挡层96。由此可选实施例电子结构80提供的另外的益处是,介质层82用作RIE蚀刻停止,提供好的互连深度控制。因此,选择层82的成分以提供关于层86的蚀刻选择性。
其它可选实施例还可以包括一种电子结构,该电子结构具有在布线结构中作为级内或级间介质的绝缘材料层,布线结构包括预处理半导体衬底,该电子结构具有嵌入第一绝缘材料层中的第一金属区域,嵌入第二绝缘材料层中的第一导体区域,其中第二绝缘材料层与第一绝缘材料层紧密接触,并且第一导体区域与第一金属区域电连接,第二导体区域与第一导体区域电连接并且嵌入第三绝缘材料层中,其中第三绝缘材料层与第二绝缘材料层紧密接触,第一介质覆盖层在第二绝缘材料层和第三绝缘材料层之间并且第二介质覆盖层在第三绝缘材料层顶上,其中第一和第二介质覆盖层由本发明的SiCOH介质膜形成。
本发明的其它可选实施例包括一种电子结构,该电子结构具有在布线结构中作为级内或级间介质的绝缘材料层,布线结构包括预处理半导体衬底,该电子结构具有嵌入第一绝缘材料层中的第一金属区域,嵌入第二绝缘材料层中的第一导体区域,其中第二绝缘材料层与第一绝缘材料层紧密接触,并且第一导体区域与第一金属区域电连接,第二导体区域与第一导体区域电连接并且嵌入第三绝缘材料层中,第三绝缘材料层与第二绝缘材料层紧密接触,在绝缘材料的第二和第三层的至少一个上沉积由本发明的介质膜形成的扩散阻挡层。
还是其它可选实施例包括一种电子结构,该电子结构具有在布线结构中作为级内或级间介质的绝缘材料层,布线结构包括预处理半导体衬底,该电子结构具有嵌入第一绝缘材料层中的第一金属区域,嵌入第二绝缘材料层中的第一导体区域,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区域与第一金属区域电连接,第二导体区域与第一导体区域电连接并且嵌入第三绝缘材料层中,第三绝缘材料层与第二绝缘材料层紧密接触,在第二绝缘材料层顶上的反应离子蚀刻(RIE)硬掩模/抛光停止层,和在RIE硬掩模/抛光停止层顶上的扩散阻挡层,其中RIE硬掩模/抛光停止层和扩散阻挡层由本发明的SiCOH或SiCNH介质膜形成。
还是其它可选实施例包括一种电子结构,该电子结构具有在布线结构中作为级内或级间介质的绝缘材料层,布线结构包括预处理半导体衬底,该电子结构具有嵌入第一绝缘材料层中的第一金属区域,嵌入第二绝缘材料层中的第一导体区域,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区域与第一金属区域电连接,第二导体区域与第一导体区域电连接并且嵌入第三绝缘材料层中,第三绝缘材料层与第二绝缘材料层紧密接触,在第二绝缘材料层顶上的第一RIE硬掩模/抛光停止层,在RIE硬掩模/抛光停止层顶上的第一扩散阻挡层,在第三绝缘材料层顶上的第二RIE硬掩模/抛光停止层,和在第二RIE硬掩模/抛光停止层顶上的第二扩散阻挡层,其中RIE硬掩模/抛光停止层和扩散阻挡层由本发明的SiCOH或SiCNH介质膜形成。
还是本发明的其它可选实施例包括一种电子结构,该结构具有在布线结构中作为级内或级间介质的绝缘材料层,该电子结构类似于上面刚描述的电子结构,但是还包括由本发明的SiCOH或SiCNH介质材料形成的位于级间介质层和级内介质层之间的介质覆盖层。
在一些实施例中,例如图10所示,电子结构包括至少两个金属导体元件(如标号97和101标记的)和SiCOH或SiCNH介质材料(如标号98标记的)。可选地,使用金属接触95和102与导体97和101电接触。发明的SiCOH或SiCNH介质98提供电隔离和两个导体之间的低电容。使用本领域的技术人员公知的常规技术制造电子结构,例如在美国专利No.6,737,727中描述的,在此引入其整个内容作为参考。
至少两个金属导体元件构图为包括,如感应器,电阻器,电容器或谐振器的无源或有源电路元件的功能要求的形状。
另外,可以在电子传感结构中使用发明的SiCOH或SiCNH,其中由发明的SiCOH或SiCNH介质材料层包围图11A或11B中示出的光电传感元件(探测器)。使用本领域的技术人员公知的常规技术制造电子结构。参考图11A,示出了p-i-n二极管结构,其可以是用于IR信号的高速Si基光电探测器。n+衬底是110,并且此上是本征半导体区域112,并且在区域112中形成p+区域114,顺序完成p-i-n层。层116是用于将金属接触118与衬底隔离的介质(例如SiO2)。接触118提供到p+区域的电连接。整个结构由发明的SiCOH或SiCNH介质材料120覆盖。此材料在IR区域中透明,并且用作钝化层。
在图11B中示出了第二光传感结构,这是一个简单的p-n结光电二极管,其可以是高速IR光探测器。参考图11B,到衬底的金属接触是122,并且在其顶上是n型半导体区域124,并且在此区域中形成p+区域126,完成p-n结结构。层128是介质(例如SiO2)用于将金属接触130与衬底隔离。接触130提供到p+区域的电连接。整个结构由发明的SiCOH或SiCNH介质材料132覆盖。此材料在IR区域透明,并且用作钝化层。
虽然以示意性方式描述了本发明,应该明白,使用术语旨在以自然的语言描述而不是限制。另外,虽然根据优选和几个可选实施例描述了本发明,应该认识到本领域的技术人员可以容易地将这些教导应用到本发明的其它可能的变化中。

Claims (20)

1.一种形成包括Si,C,H和O原子的介质膜的方法,包括:
在反应室中提供衬底;
在所述反应室中流入至少一种前体,其中所述至少一种前体是环碳硅烷或氧碳硅烷;以及
在所述衬底上沉积介质膜。
2.根据权利要求1的方法,还包括向所述至少一种前体添加气体流,所述气体包括O2,NH3,CO,CO2,N2O,O3,N2和惰性气体中的至少一种。
3.根据权利要求1的方法,其中所述衬底包括由金属导体区域和介质区域构成的顶表面。
4.根据权利要求1的方法,其中所述环碳硅烷或氧碳硅烷包括:1,1-二甲基-1-硅杂环戊烷,1,3-二甲硅烷基环丁烷,甲基-1-硅杂环戊烷,硅杂环戊烷,硅杂环丁烷,甲基硅杂环丁烷,硅杂环己烷,甲基硅杂环己烷,四甲基-二硅杂-呋喃,二硅杂-呋喃,上述环前体的甲氧基衍生物,或包含1、2、3或4R基团的二硅杂-呋喃的衍生物,其中R选自甲基,乙基,乙烯基,丙基,烯丙基和丁基。
5.根据权利要求1的方法,其中所述环碳硅烷包括不饱和环并且包括1,1-二乙氧基-1-硅杂环戊烯,1,1-二甲基-3-硅杂环戊烯,1,1-二甲基-1-硅杂环戊-3-烯,1-硅杂-3-环戊烯或乙烯基甲基硅杂环戊烯,或上述环前体的甲氧基衍生物。
6.根据权利要求1的方法,还包括添加烃前体流。
7.根据权利要求6的方法,其中所述烃前体包括二环庚二烯,己二烯和双官能二烯烃分子中的一种。
8.根据权利要求1的方法,还包括选自烷氧基硅烷和环硅氧烷的SiCOH基架前体。
9.根据权利要求8的方法,其中在反应室中的碳硅烷或氧碳硅烷前体与SiCOH基架前体的比率R1决定SiCOH膜中Si-R-Si桥连碳的浓度,并且R1在从0.01到100的范围内。
10.根据权利要求1的方法,还包括在所述沉积步骤后执行高能处理步骤,所述高能处理包括热能,UV光,电子束辐射,化学能或其组合。
11.一种形成包括Si,C,H和O原子的介质膜的方法,包括:
在反应室中提供至少第一前体和第二前体,其中所述前体的至少一种是烃成孔剂并且所述前体的另一种是环碳硅烷或氧碳硅烷;
沉积包括第一相和第二相的膜;以及
从所述膜除去所述成孔剂以提供多孔介质膜。
12.一种形成包括Si,C,N和H原子的介质膜的方法,包括:
在反应室中提供衬底;
在所述反应室中流入至少一种前体,所述至少一种前体是在具有Si和C原子的环结构中包含至少一个N原子的环化合物;以及
从所述至少一种前体沉积包括Si,C,N和H原子的介质膜。
13.根据权利要求12的方法,还包括向所述至少一种前体添加气体流,所述气体包括NH3,CO,CO2,O2,N2O,O3,N2和惰性气体中的至少一种。
14.根据权利要求12的方法,其中所述环前体是2,2,5,5-四甲基-2,5-二硅杂-1-氮杂环戊烷或相关的氮杂环戊烷。
15.根据权利要求12的方法,还包括添加液体或气体烃前体流。
16.根据权利要求15的方法,其中所述烃前体包括二环庚二烯,己二烯和双官能二烯烃分子中的一种。
17.根据权利要求12的方法,还包括利用热能,UV光,电子束辐射,化学能或其组合执行高能处理步骤。
18.根据权利要求12的方法,其中所述SiCNH膜包括在约5和约40原子百分比之间的Si;在约5和约50原子百分比之间的C;在0和约50原子百分比之间的N;以及在约10和约55原子百分比之间的H。
19.一种电子结构,包括位于介质材料上的介质覆层,所述介质覆层包括Si,C,N和H原子并且具有位于两个Si原子之间的N桥连。
20.根据权利要求19的电子结构,其中所述介质材料包括具有共价键合的三维网络的Si,C,O和H原子,其包括键合为Si-CH3的C以及键合为Si-R-Si的C,其中R是-[CH2]n-,n大于或等于1。
CNB2007101269195A 2006-07-05 2007-07-03 电子结构及形成介质膜的方法 Expired - Fee Related CN100552890C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/481,019 US20080009141A1 (en) 2006-07-05 2006-07-05 Methods to form SiCOH or SiCNH dielectrics and structures including the same
US11/481,019 2006-07-05

Publications (2)

Publication Number Publication Date
CN101101875A true CN101101875A (zh) 2008-01-09
CN100552890C CN100552890C (zh) 2009-10-21

Family

ID=38919589

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2007101269195A Expired - Fee Related CN100552890C (zh) 2006-07-05 2007-07-03 电子结构及形成介质膜的方法

Country Status (3)

Country Link
US (1) US20080009141A1 (zh)
CN (1) CN100552890C (zh)
TW (1) TW200809971A (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105177524A (zh) * 2014-06-16 2015-12-23 气体产品与化学公司 烷基-烷氧基硅杂环化合物和利用该化合物沉积薄膜的方法
CN105720005A (zh) * 2014-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 超低k介质层的形成方法
CN105762109A (zh) * 2014-12-19 2016-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107587119A (zh) * 2017-08-23 2018-01-16 无锡荣坚五金工具有限公司 一种复合结构高绝缘硬质纳米防护涂层的制备方法
CN115648054A (zh) * 2022-11-09 2023-01-31 大连理工大学 一种多工位宽禁带半导体晶片光电化学机械抛光装置及方法

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US7253125B1 (en) 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7790633B1 (en) 2004-10-26 2010-09-07 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
US7510982B1 (en) 2005-01-31 2009-03-31 Novellus Systems, Inc. Creation of porosity in low-k films by photo-disassociation of imbedded nanoparticles
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8282768B1 (en) 2005-04-26 2012-10-09 Novellus Systems, Inc. Purging of porogen from UV cure chamber
US8137465B1 (en) 2005-04-26 2012-03-20 Novellus Systems, Inc. Single-chamber sequential curing of semiconductor wafers
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7892985B1 (en) 2005-11-15 2011-02-22 Novellus Systems, Inc. Method for porogen removal and mechanical strength enhancement of low-k carbon doped silicon oxide using low thermal budget microwave curing
US20070173070A1 (en) * 2006-01-26 2007-07-26 Mei-Ling Chen Porous low-k dielectric film and fabrication method thereof
US10037905B2 (en) 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US7851232B2 (en) * 2006-10-30 2010-12-14 Novellus Systems, Inc. UV treatment for carbon-containing low-k dielectric repair in semiconductor processing
US8465991B2 (en) * 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
US8242028B1 (en) 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US7858503B2 (en) * 2009-02-06 2010-12-28 Applied Materials, Inc. Ion implanted substrate having capping layer and method
JP5470969B2 (ja) * 2009-03-30 2014-04-16 株式会社マテリアルデザインファクトリ− ガスバリアフィルム、それを含む電子デバイス、ガスバリア袋、およびガスバリアフィルムの製造方法
US8492239B2 (en) 2010-01-27 2013-07-23 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US8314005B2 (en) * 2010-01-27 2012-11-20 International Business Machines Corporation Homogeneous porous low dielectric constant materials
US20110206857A1 (en) * 2010-02-25 2011-08-25 Applied Materials, Inc. Ultra low dielectric materials using hybrid precursors containing silicon with organic functional groups by plasma-enhanced chemical vapor deposition
US8441006B2 (en) 2010-12-23 2013-05-14 Intel Corporation Cyclic carbosilane dielectric films
US8927430B2 (en) 2011-07-12 2015-01-06 International Business Machines Corporation Overburden removal for pore fill integration approach
US8541301B2 (en) 2011-07-12 2013-09-24 International Business Machines Corporation Reduction of pore fill material dewetting
US8637412B2 (en) * 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
US8828489B2 (en) 2011-08-19 2014-09-09 International Business Machines Corporation Homogeneous modification of porous films
US8575033B2 (en) * 2011-09-13 2013-11-05 Applied Materials, Inc. Carbosilane precursors for low temperature film deposition
US8779600B2 (en) 2012-01-05 2014-07-15 International Business Machines Corporation Interlevel dielectric stack for interconnect structures
US9058983B2 (en) 2013-06-17 2015-06-16 International Business Machines Corporation In-situ hardmask generation
JP6869195B2 (ja) 2015-07-02 2021-05-12 ダウ グローバル テクノロジーズ エルエルシー 積層用接着剤−ポリエステル−ポリカーボネート−ポリオールシステム
US9735005B1 (en) * 2016-03-11 2017-08-15 International Business Machines Corporation Robust high performance low hydrogen silicon carbon nitride (SiCNH) dielectrics for nano electronic devices
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
CN107587120B (zh) * 2017-08-23 2018-12-18 江苏菲沃泰纳米科技有限公司 一种具有调制结构的高绝缘纳米防护涂层的制备方法
SG11202001492TA (en) * 2017-08-30 2020-03-30 Versum Materials Us Llc Alkoxysilacyclic or acyloxysilacyclic compounds and methods for depositing films using same
CN112313777A (zh) * 2018-10-15 2021-02-02 玛特森技术公司 用于选择性亲水表面处理的臭氧
CN109742445B (zh) * 2018-11-30 2021-09-10 东莞东阳光科研发有限公司 双功能添加剂、包含其的电解液及锂离子电池
US11756786B2 (en) * 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
US20220267642A1 (en) * 2019-07-25 2022-08-25 Versum Materials Us, Llc Compositions comprising silacycloalkanes and methods using same for deposition of silicon-containing film
WO2021097214A1 (en) * 2019-11-15 2021-05-20 The Board Of Trustees Of The Leland Stanford Junior University Remote parametric detection and localization of tags
TW202402766A (zh) * 2022-03-23 2024-01-16 法商液態空氣喬治斯克勞帝方法研究開發股份有限公司 含有Ge及Sn之薄膜用新穎前驅物,以及奈米材料

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5171792A (en) * 1991-09-10 1992-12-15 University Of Southern California Crosslinkable saturated and unsaturated carbosilane polymers and formulations
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
SG137694A1 (en) * 2000-10-25 2007-12-28 Ibm Ultralow dielectric constant material as an intralevel or interlevel dieletric in a semiconductor device and electronic device containing the same
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7892648B2 (en) * 2005-01-21 2011-02-22 International Business Machines Corporation SiCOH dielectric material with improved toughness and improved Si-C bonding

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105177524A (zh) * 2014-06-16 2015-12-23 气体产品与化学公司 烷基-烷氧基硅杂环化合物和利用该化合物沉积薄膜的方法
CN105177524B (zh) * 2014-06-16 2019-08-02 弗萨姆材料美国有限责任公司 烷基-烷氧基硅杂环化合物和利用该化合物沉积薄膜的方法
CN105720005A (zh) * 2014-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 超低k介质层的形成方法
CN105762109A (zh) * 2014-12-19 2016-07-13 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN105762109B (zh) * 2014-12-19 2019-01-25 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法
CN107587119A (zh) * 2017-08-23 2018-01-16 无锡荣坚五金工具有限公司 一种复合结构高绝缘硬质纳米防护涂层的制备方法
CN107587119B (zh) * 2017-08-23 2018-11-13 江苏菲沃泰纳米科技有限公司 一种复合结构高绝缘硬质纳米防护涂层的制备方法
CN115648054A (zh) * 2022-11-09 2023-01-31 大连理工大学 一种多工位宽禁带半导体晶片光电化学机械抛光装置及方法

Also Published As

Publication number Publication date
CN100552890C (zh) 2009-10-21
TW200809971A (en) 2008-02-16
US20080009141A1 (en) 2008-01-10

Similar Documents

Publication Publication Date Title
CN100552890C (zh) 电子结构及形成介质膜的方法
CN100539118C (zh) 介质材料及其制造方法
JP5324734B2 (ja) 誘電体材料とその製造方法
CN101138085B (zh) 电介质叠层及其形成方法
CN101226922B (zh) SiCOH电介质材料和成形方法
KR100960755B1 (ko) 다마신 분야에서 유전체 재료를 증착하는 방법
CN100378990C (zh) 低k和超低k SiCOH介质膜及其制作方法
CN100431110C (zh) 低介电氮化硅膜的形成方法和半导体器件及其制造工艺
CN101548362B (zh) 具有受控的双轴应力的超低介电常数层
CN101006559B (zh) SiCOH膜的DUV激光退火及稳定性
KR101046467B1 (ko) 낮은 k 유전체들의 접착력을 증가시키기 위한 플라즈마처리 방법
KR101406154B1 (ko) 산소 함유 전구체를 사용한 유전체 장벽 증착
US9219037B2 (en) Low k porous SiCOH dielectric and integration with post film formation treatment
US20080107573A1 (en) Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US20080099920A1 (en) Multi-stage curing of low k nano-porous films
WO2005098925A1 (en) Techniques promoting adhesion of porous low k film to underlying barrier layer
KR20100122871A (ko) 전구체 함유 질소를 사용한 유전 장벽 증착
KR100837100B1 (ko) 금속 이온 확산 차단층을 포함하는 집적회로 및 금속 이온 이동 억제방법
KR20040012661A (ko) 저 유전 상수 다상 물질 및 그 증착 방법
WO2009032488A1 (en) Improved low k porous sicoh dielectric and integration with post film formation treatment
Han et al. Deposition of Fluorinated Amorphous Carbon Thin Films as a Low‐Dielectric‐Constant Material
Jielin Study of TMCTS based PECVD carbon-doped low dielectric constant material

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C17 Cessation of patent right
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20091021

Termination date: 20100703