CN101106074A - 等离子腐蚀反应器 - Google Patents

等离子腐蚀反应器 Download PDF

Info

Publication number
CN101106074A
CN101106074A CNA2007101411646A CN200710141164A CN101106074A CN 101106074 A CN101106074 A CN 101106074A CN A2007101411646 A CNA2007101411646 A CN A2007101411646A CN 200710141164 A CN200710141164 A CN 200710141164A CN 101106074 A CN101106074 A CN 101106074A
Authority
CN
China
Prior art keywords
electrode
plasma etch
power supply
etch reactor
solid state
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2007101411646A
Other languages
English (en)
Inventor
斯蒂芬·P·德奥尼拉斯
艾尔弗德·科弗
罗伯特·C·韦尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
CollabRx Inc
Original Assignee
CollabRx Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by CollabRx Inc filed Critical CollabRx Inc
Publication of CN101106074A publication Critical patent/CN101106074A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/02Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma
    • H05H1/16Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields
    • H05H1/18Arrangements for confining plasma by electric or magnetic fields; Arrangements for heating plasma using externally-applied electric and magnetic fields wherein the fields oscillate at very high frequency, e.g. in the microwave range, e.g. using cyclotron resonance
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/02068Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers
    • H01L21/02071Cleaning during device manufacture during, before or after processing of conductive layers, e.g. polysilicon or amorphous silicon layers the processing being a delineation, e.g. RIE, of conductive layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32137Radio frequency generated discharge controlling of the discharge by modulation of energy
    • H01J37/32155Frequency modulation
    • H01J37/32165Plural frequencies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32532Electrodes
    • H01J37/32587Triode systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3266Magnetic control means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32697Electrostatic control
    • H01J37/32706Polarising the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/60Electrodes

Abstract

一种等离子腐蚀反应器(20)包括反应室(22),反应室具有接地上电极(24)、固定到高频电源(30)和低频电源(32)的下电极(28)、位于上下电极之间且可以具有浮置电位的外围电极(26)。稀土磁体(46,47)用于建立磁场,用于限制反应室(22)内产生的等离子体。等离子腐蚀反应器(20)能够腐蚀用于高密度半导体器件的新出现的膜。

Description

等离子腐蚀反应器
本申请是申请日为1997年1月23日、申请号为200410003858.X、发明名称为“等离子腐蚀反应器”的专利申请的分案申请。
技术领域
本发明涉及改进的等离子腐蚀反应设备及方法,具体涉及等离子腐蚀反应器。
背景技术
一组新的新出现的膜(emerging film)正有益地用于如高密度DRAM等高密度半导体芯片的开发中。这些材料通过使存储器件的各结构的尺寸减小提供较高容量的器件。因此,需要提高选择性和外形控制。
过去,人们使用作为慢物理处理的离子铣在半导体晶片上建立所要求外形。这种离子铣的缺点是在半导体晶片上形成的外形对离子铣束的角度敏感,所以不得不精确地定位离子铣束,以获得所要求的外形。然而,在实现这些外形时,出现了从所要求的外形的边缘立起的大遮盖物或肋条。因此,离子铣不适用于新出现的膜。
用于新出现的膜的等离子腐蚀工艺较快,但这些工艺在某种程度上导致了不能接受的结构外形。因此,需要提供能够快速精确地处理用于最新半导体产品的新出现的膜的工艺。
发明内容
本发明针对一种等离子腐蚀反应器,它能够成功地处理用于高密度半导体器件的新出现的膜。
本发明提供的等离子反应器具有反应室和接地的上电极,与高频电源和低频电源连接的下电极,定位于上下电极之间的外围或环形电极。所说的外围或环形电极的电位能够浮置。另外,环形电极可以接地。这种反应器可成功地处理用于高密度半导体产品的最新出现的膜。
本发明提供了一种增强的等离子体腐蚀反应器,包括:反应室;上电极;下电极;与所述下电极相连的第一AC电源,其产生第一频率的功率;与所述下电极相连的第二AC电源,其产生第二频率的功率;  第三DC电源,与所述下电极相连;侧壁外围电极;及至少一气体物质的固态源,关联于所述侧壁外围电极。
本发明提供了一种增强的等离子体腐蚀反应器,包括:反应室;上电极;与所述上电极隔开的下电极;与所述下电极相连的第一电源,其产生第一频率的功率;与所述下电极相连的第二电源,其产生第二频率的功率;所述下电极关联于适于固定要处理的晶片的夹盘;侧壁外围电极;及至少一气体物质的固态源,关联于所述侧壁外围电极。
本发明再一目的是提供带有磁体的反应室,所说磁体用于产生高磁场,并由此产生足够密度的等离子体,用于成功地腐蚀最新出现的膜。
本发明再一目的是具有受一种或更多的电源控制的等离子体的密度和腐蚀特性。
从以下的说明书、权利要求书及附图中可以得到本发明的其它目的和优点。
附图说明
图1是本发明等离子腐蚀反应器的剖面图。
图2是带有附加增强处理气引入喷嘴与图1类似的示图。
图3a和3b分别是本发明喷嘴的优选实施例的端部和侧面剖面图。
图4a-4d分别是本发明喷嘴的另一优选实施例的示意图、侧面剖面图、部分放大侧剖图和端部示图。
图5a-5c分别是本发明喷嘴的再一优选实施例的侧剖图、部分放大的剖面图、端部示图。
图6a-6c分别是本发明喷嘴的又一优选实施例的侧剖图、部分放大的剖面图、端部示图。
图7是本发明实施例的与外围电极有关的磁体设置的透视图。
图8所示是与图7的磁体有关联的本发明实施例的与上电极有关的磁体的设置的透视图。
具体实施方式
参考各附图,具体参考图1,该图是本发明等离子腐蚀反应器20的实施例的侧剖图。该反应器20是对美国专利4464223中所描绘和记载的反应器的改进和提高,在此引入该专利作为参考。
反应器20包括由接地的上电极24、侧壁外围电极26和下电极28界定的反应室22。侧壁外围电极26接地或具有浮置电位,工作时可以利用等离子体充电。在优选实施例中,下电极28与电源30连接,电源30给下电极28提供频率最好是13.56MHz(或其数倍)和功率最好是900W且电压最好是1200V的功率。在优选实施例中,高频电源可以工作于10W至高达2000W。应理解,这是一种高频电源(最好在射频范围),且频率最好可以在2MHz-40MHz范围且高达约900MHz。最好还可以在100W-3000W的范围和电压为200-5000V的条件下供电。
另外第二电源32与下电极28连接。第二电源32最好工作在450KHz,最好在100W和300V的电压下供电。这是一种低频电源。应理解,这种电源(最好在射频范围)可以工作在约100KHz至约950KHZ(最好为1MHz以下),功率为10W-2000W,电压为10V-5000V。与下电极28连接的还有DC电源34。高频电源控制离子流,而低频电源独立地控制离子能量。
控制电源且主要是控制高频电源,可有利地控制腐蚀等离子体的密度,从而提供优秀的腐蚀特性。另外,反应器20设计成可以提供增强的等离子体密度范围,并且可以通过控制电源从该范围内选择最佳等离子体密度。
有关接地的上电极24是一种中心喷嘴36,其作用是向反应室22中喷入指向半导体晶片48的处理气体射流。如以下将更具体讨论的,来自喷嘴36的处理气射流能够有效地到达半导体晶片48的表面,在半导体晶片48的整个表面上提供新鲜且均匀分布的处理气。
在接地的上电极24和喷嘴36正上方的是排气管38,用于从反应室22排放所消耗的气体。应理解,为了从反应室22抽空气体物质,给排气管38安装一泵(未示出)。
如图1所示,在上电极24和喷嘴36正下方的是伸出的外围挡板40。挡板40由绝缘材料构成,如以下所述,其伸到喷嘴36和等离子体腐蚀反应器20的外壳44之间的排气通道42中。伸出的挡板40确保了来自喷嘴36和反应室22中的固态源50的各种气体能很好地混合。
位于伸出的挡板40正下方且在该实施例中引入到侧壁外围电极26中的是一个磁体或多个磁体46。另外最好在上电极24中引入一个磁体或多个磁体47。如以下将讨论的,这些磁体46和47中的一种或两种限定了绕反应室22且与其相符的磁限制室。该磁限制室确保了反应室中带电的离子不会从中泄漏,并且带电的离子集中在半导体晶片48周围。这种磁限制室禁止带电离子聚集在反应室22的壁上。
侧壁外围固态源50覆盖侧壁外围电极26和磁体46。在不给环形电极26供电时,该优选实施例中不需要这种固态源50。然而,如果除上述电源外,给固态源50提供高频电源,则该固态源50将提供气体形式的新型源,这种源可以通过例如射频激发的离子的轰击进行溅射,所说离子将来自固态源50的气体物质的原子撞击或冲刷(erode)到反应室22中。来自固态源表面的气体物质的冲刷会受电源脉冲的影响。关于另一优点,在固态源的表面部分冲刷时,不会因气体物质的结合而在冲刷表面上形成颗粒。所以避免了在固态源的冲刷部分上形成这种颗粒污染。以下讨论各种固态源50。
晶片夹盘52位于固态源50正下方,用于相对于反应室22定位半导体晶片48。晶片夹53固定晶片夹盘52上的晶片48。该实施例中,晶片夹盘52及下电极28可以垂直向下移动,以便插入和取出晶片48。
该实施例中,如果需要,可以利用冷却水歧管54冷却侧壁外围电极26和磁体46。还应理解,如果需要,还可以利用热水歧管56加热固态源50。加热固态源50特别是其暴露的前表面的其它方法有电阻加热、感应加热、及由灯和其它光子源提供的辐射热能。
伸出的挡板40及磁体的结构,以及来自喷嘴的处理气体射流和从固态源冲刷出的气体物质(如果电源与外围环形电极26连接的话)在半导体晶片的表面附近提供了高密度等离子体。这种结构极大地提高了可以在反应室22内获得的密度范围。
现有装置不可能有上述工作范围。应理解,一个以上的上述特征可用于扩大等离子体密度范围,并由此改进腐蚀工艺,它们属于本发明的精神范畴和本发明的范围。
反应器20的另一实施例如图2所示,其中与上述类似的元件用类似的数字表示。图2中,改变了喷嘴36,以便提高反应室22中的气体物质的混合均匀性。如图2所示,喷嘴36包括可以在数个方向引导处理气射流的歧管70。歧管70具有水平且平行于上电极24引导处理气射流的水平开口72和74。开口76引导垂直向下到晶片48上的气体射流。开口78和80以偏离水平方向的方向主要是向着晶片48的外围引导处理气射流,以确保处理气的均匀分布和/或气体物质的很好混合。该实施例中,歧管70的各开口与伸出的挡板40结合可以确保(1)从固态源50溅射或冲刷的气体物质(如果电源与外围环形电极26连接)与(2)来自喷嘴的各开口的处理气能在半导体晶片48的表面上很好地混合。
现有技术的装置一般在300-500毫乇下进行腐蚀,该范围比由本发明反应器实现的低压高一到两个数量级。关于现有技术的半导体器件需要的亚微米结构的腐蚀,要求低压操作。然而,低压下,更难保持高密度等离子体。
关于图1和2的实施例,本发明实现了含有低压(3-5毫乇)、高等离子体密度(晶片处为1011cm3)且低离子能量(低于15-30电子伏特)等离子体的磁场。一般情况下,低压操作在约150毫乇或约100毫乇以下,最好在约20毫乇或约10毫乇以下。关于亚微米(亚微米指0.5微米)器件,等离子体源必须以晶片处的低压、及高密度的激发气体及低离子能量进行操作,以便得到优良的腐蚀结果。通过减少对整体成品率有相反影响的钻蚀晶片结构及微负载效应(比低密度结构更快地腐蚀高密度结构),低压等离子体改善了腐蚀的整体质量。然而,低压要求在晶片处有高密度等离子体,以增加与正在腐蚀的半导体晶片上的膜反应的的等离子体的数量,以保持很快的腐蚀速率。很快的腐蚀速率是实现高平均产量的一个因素。而且,低离子能量导致了腐蚀选择性的提高,并减少了晶片损伤,两者都提高了整体成品率。可以预计本实施例可以在约150毫乇以下操作。
本发明的反应器20可用于腐蚀要求不同腐蚀化学试剂或方法的不同衬底或薄膜。本发明的实施例主要用于腐蚀新出现的膜。一般情况下,这种化学试剂包括两种以上的如下气体:氦气、含氦气体、惰性气体、和二价气体。
下面更具体地介绍上述各结构。
固态源
另外,应记住,只有在电源与外围环形电极26连接的情况,固态源才进行工作。然而,在另一实施例中如果电源,高频电源最好如电源30与外围电极26连接,则如下进行。
已确定从固态源50冲刷或溅射的气体物质或没有从固态源50冲刷或溅射的气体物质对于在等离子腐蚀反应器20中进行的腐蚀工艺具都有显著的影响。例如,固态源50可以由如二氧化硅(SiO2)或石英等介质材料构成,射频激发离子的轰击将来自固态源的硅和氧气体离子提供到反应室。另一类型的介质源可以包括如氧化铝(Al2O3)等陶瓷。在被激发气体离子撞击时,这种陶瓷具有低溅射或冲刷率,适用于不需要或要求有来自固态源的附加分布的情况。具体说,关于氧化铝,对于约600V峰到峰的电源,观察到很少或观察不到溅射。在该阈值以上,从氧化铝固态源发出溅射。
一般情况下,固态源可以由半导体材料、介质材料或导体构成。实际上,可以用包括电极的材料作为固态源,这些材料可以被冲刷提供对于反应室中的等离子体合适的气体物质。除氧化铝外的其它金属氧化除外,合适的介质材料还包括氮化硅(Si3N4)。半导体材料可以包括碳化硅(SiC)。
固态源50的表面温度最好在80℃以上,以便提供合适的溅射。此温度下,合适的激发离子冲刷这些固态源的表面,固态源不会变成由如这里所述的气体物质形成颗粒的冷沉(cooling sink),这些颗粒能分裂并能污染反应室22。如上所述,从固态源50的气体物质的冲刷或溅射率可以由高频电源(未示出,但与电源30类似)控制。通过提高电源(未示出,但与电源30类似),可以用较高能量的离子轰击固态源50,以便为了腐蚀工艺的目的增大从固态源的气体物质的冲刷率。例如,用二氧化硅固态源时,由于从二氧化硅溅射的气体物质可以钝化半导体晶片的垂直表面,从而使这种表面不会被气体腐蚀剂钻蚀,所以增强的轰击可以增强各向异性腐蚀。
气体源
除相对从固态源冲刷出的气体物质介绍的上述益处之外,还可以通过向处理气中引入具有源于从固态源的表面冲刷出的气体物质的效果的气体实现这种益处。例如,可以利用处理气引入气体形式的四乙氧基原硅烷(TEOS)。TEOS是腐蚀过程中硅和氧的来源。处理室中的TEOS提供了与具有这里所述对腐蚀工艺有利的优点的二氧化硅(SiO2)固态源相同的气体物质。另外,应注意,固态源和这种物质的气态源的组合也属于本发明的精神范畴和范围。
喷嘴
图3a-3b、4a-4d、5a-5c、6a-6c展示了可用于上述发明的喷嘴装置的另外的优选实施例。常规喷嘴装置一般构成为具有200个开口的“喷头”结构,处理气从这些开口中喷出。这种装置可以确保处理室内处理气,特别是正在处理的半导体晶片表面气体的均匀分布。已发现现有技术的装置会产生已与晶片表面反应的所用气体的滞流层,所以降低了流向该表面的新处理气体的均匀性。本发明改进了现有技术的这种喷嘴。本发明包括产生单独且准直的处理气射流的喷嘴,这些处理气在晶片表面附近聚合在一起,在晶片表面处形成均匀分布。气体的速度和喷射量应确保新鲜处理气到达晶片的表面。于是,新鲜处理气均匀分布于半导体晶片表面。这些处理气的射流激起了晶片表面的气体,产生了处理气和来自固态源的冲刷气物质的均匀分布。
图3a和3b展示了具有标记为92的开口的单开口喷嘴90。该喷嘴最好由氧化铝构成。由于该装置,一个气体射流射向半导体晶片。
图4a-4d展示了本发明另一优选实施例的喷嘴94,该喷嘴也由氧化铝构成。该实施例中,喷嘴94包括限定指向半导体晶片的数个处理气射流的十二个开口。各射流最好以偏移垂直方向的角度取向,每个射流的中心线指向晶片的外围边缘。这种装置对于确保新的处理气在晶片表面的均匀分布也是有益的。如4d所示,各开口分布于喷嘴表面的外围。
图5a-5c展示了本发明又一实施例的喷嘴98。该实施例中,示出开口99呈星形,某些开口设置于喷嘴98表面的外围(图5c),而另一些开口中心设置,喷嘴中心线上有一个开口。与源于图4a的喷嘴的气体相同,图5a的喷嘴的射流相对于垂直方向呈一角度,所以既指向半导体晶片的主体,也指向半导体晶片的边缘,从而提供均匀分布的处理气体。
图6a-6c展示了本发明又再一优选实施例的喷嘴100。该实施例中,开口102基本上指向垂直于嘴喷与半导体晶片间的垂直线的方向。该实施例中,喷嘴指向侧壁上的固态源,用以确保来自固态源的气体物质与处理气很好地混合。
新出现的膜
应注意,上述反应器特别适于腐蚀用于新芯片设计的一类新出现的膜。例如,这些反应器结构适于腐蚀一般用于高密度DRAM器件开发的铂(Pt)。另外,这些反应器还适于一般用于非易失性铁电随机存取存储(FRAM)器件的开发的锆钛酸铅(PZT)。此外,该反应器还适于腐蚀铱(Ir)。另外,可利用该装置和方法成功地腐蚀的另一新出现的膜由钛酸锶铋(BST)构成,尽管这些新膜对于改进电路性能有利,但它们独特的特性使得它们很难腐蚀,因此,需要本发明的更先进的腐蚀工艺技术。可用本优选实施例处理其它的新出现的膜包括钛酸锶钡(Y-1)、氧化铱(IrO2)、钌(Ru)和氧化钌(RuO4).
应理解,这些新出现的膜对于最新的半导体器件具有显著的优点。例如,用于老半导体器件的介质具有2-4的介电常数。而PZT的介电常数为1400。所以利用这种膜的新存储器件可以相当小(具有较小的结构)和更大的存储容量。另外,这种膜可用于形成可代替如EPROM、SRAM等器件的DRAM和非易失性存储器的电容。
已观察到,下电极28的双频有利于成功地腐蚀最新半导体产品的新出现的膜。这种配置可用于腐蚀适于各向异性侧壁外形的器件结构,从而与为约0.25微米以下的亚微米范围的减小临界尺相适应。
磁限制
上述相同的磁体46、47绕反应室22提供磁限制,以确保可以低压形成高密度等离子体。应记住,等离子体是通过气体原子与电子的碰撞产生的,产生离子以在低压下形成高密度的等离子体。本发明通过增大电子通过等离子体的总路径长度并将离子到反应器壁的损失减至最小,实现在低压下产生高密度的等离子体。向等离子体行进的电子被磁场反射回进入等离子体,由此加长了电子路径的长度。
关于本发明,磁体可以是电磁体或永久磁体,两者都属于本发明的精神和范围。这些围绕腐蚀室的磁体产生一个静磁场罩。磁场的作用仅存在于反应壁附近,实际上晶片上没有磁场,所以产生了固有的均匀等离子体。由于利用了较强的磁限制,磁体具有保护电极的作用,几乎不发生对电极的侵蚀。较弱的限制对电极和固态源有较大的侵蚀。
如此设计由磁体46、47形成的磁限制以聚集等离子体,并可以具有保护处理室部件的作用,包括各电极不被等离子体侵蚀。所以,由于减少了替换电极的花费,极大地节约了成本。
图7和8展示了分别与侧壁电极26和上电极24有关的磁体46、47的设置。如图7所示,具有多个相对于电极26建立的狭缝60。在优选实施例中,每个狭缝60中都填有磁体46。这些位于固态源50后的磁体影响了来自固态源50的气体物质的冲刷率。如上所述,在没有磁体的情况下,可能会有太多的气体物质从固态源冲刷出来,并因而影响了腐蚀速率。
应注意,这些磁体是极面磁体,其北极和南极在磁体的表面62和相对表面64上。另外磁体可设置成首先使一个磁体46的北极面指向处理室中心,然后使第二磁体46的南极面指向处理室的中心。这样绕电极26的外围重复设置。
图8展示了与上电极24有关的磁体47的设置。另外,这些磁体是极面磁体。其北极和南极从磁体的侧面延伸。对于图8的结构,磁体交替设置,首先使北极然后使南极面向处理室。
关于该实施例,本发明的磁限制室最好用强稀土磁体,以便在反应室内提供对等离子体的最佳限制。稀土磁体将电子和气体离子从反应室22中的泄漏效应减到最小。这有助于增大等离子体的密度。在一个优选实施例中,稀土磁体由钐钴构成。这些磁体的表面最好具有2000高斯至2200高斯之间的磁场强度。然而,一般情况下,这些稀土磁体的表面可以具有1500-2500高斯的磁场强度。
在一个特定实施例中,外围电极26具有在反应器20的外围边到边设置的十八个这种稀土磁体(图7,8)。一个优选实施例中,接地的上电极24具有有关的二十四个这种稀土磁体(图8)。这些磁体设置成在反应室22中提供对称的磁场,关于与接地的上电极24有关的稀土磁体47,这些磁体绕中心点按所说的方式设置。该设置包括从中心点向外围延伸的磁体和从外围向短距离的中心点延伸的较短磁体。如上所述,这种稀土磁体对反应室22壁处的带电颗粒和电子具有最大的排斥力。利用这种结构,没有过多的气体物质的溅射或冲刷(特别是在外围电极26接地或浮置时),所以可以用二氧化硅(SiO2)作固态源,而不用更昂贵的氧化铝(Al2O3)。
反应室
如上和下所述,为提高等离子体的均匀性,特地设计了本发明的反应室,关于反应室22的物理特性,如上所述,挡板40和喷嘴36、70的设置对反应室22内处理气体的均匀性有作用。挡板40确保了从固态源50的表面冲刷出来的气体物质(特别是在电源与外围电极26连接时)不会通过排气管38立即被泵抽出,但可以与反应室中半导体晶片48附近的气体混合。另外,具有垂直、水平和以偏斜角度引导气体射流的开口的喷嘴38,确保了来自固态源的任何气体物质与来自喷嘴的处理气体充分混合,给半导体晶片48提供这种均匀的混合物。
可以使从喷嘴到半导体晶片表面的反应室的高度最佳化。现有技术装置的高度为51/4″。已发现,具有上述高度,也可以使喷嘴设置最佳化,以便来自喷嘴的气体射流在半导体晶片的表面产生均匀的处理气分布。所以,也考虑改变反应器的高度,可以相对腐蚀工艺包括利用固态源的腐蚀工艺最佳化与室压力相比的喷嘴型式。尽管在优选实施例中,反应室的直径约为141/2″,但该高度与反应室的直径无关。例如,关于在反应室22中,在二到三毫乇的压力下的优选操作,反应室的高度最好约为4″。在高度小于4″时,射流仍将准直,所以导致了晶片表面上不均匀的散布。在高度大于4″时,射流在半导体晶片的表面上结合在一起,所以不能在晶片形成处理气体的均匀分布。
关于给定的喷嘴结构,已发现,在处理室内有压力的反应室22的高度应恒定,以便提供最佳性能。所以,如上所述,高度为4″和压力为二到三毫乇时,可以实现最佳性能。为了实现最佳性能,压力和高度值的范围包括对应于100毫乇高度为1/10英寸至对应于一毫乇压力高度为10英寸。也就是说,随着反应室内压力增大,反应室的高度可以减小,随着压力减小,高度将增大,以提供(1)从固态源的冲刷出的气体、(2)入射的处理气体、与(3)来自晶片表面的反应产物最好地混合。
上述本发明的效果在于(1)提高了选择率(即例如保护氧化衬底),(2)加强了腐蚀工艺的外形控制,及(3)加强了线宽控制(即,保护了光刻胶不被腐蚀,以便从光刻将正确的线宽传递到晶片上)。
综上所述,可以得知,本发明提供了一种能够成功地腐蚀用于制造如高密度半导体存储器等高密度半导体器件的新出现的膜的装置和方法。
通过阅读附图和权利要求书可以得到本发明的其它特征、方案和目的。
应理解,可以开发出本发明的其它实施例,但它们都将属于本发明的精神范畴和本发明的范围。

Claims (15)

1.一种增强的等离子体腐蚀反应器,包括:
反应室;
上电极;
下电极;
与所述下电极相连的第一AC电源,其产生第一频率的功率;
与所述下电极相连的第二AC电源,其产生第二频率的功率;
第三DC电源,与所述下电极相连;
侧壁外围电极;及
至少一气体物质的固态源,关联于所述侧壁外围电极。
2.如权利要求1所述的等离子体腐蚀反应器,其中:
所述第二电源产生1MHz或以下的功率;及
所述第一电源产生2MHz或以上的功率。
3.如权利要求1所述的等离子体腐蚀反应器,其中:
所述第一电源产生13.56MHz的功率;及
所述第二电源产生450KHz的功率。
4.如权利要求1所述的等离子体腐蚀反应器,包括:
与所述反应室关联的磁限制。
5.如权利要求1所述的等离子体腐蚀反应器,包括:
至少一气体物质的固态源。
6.一种增强的等离子体腐蚀反应器,包括:
反应室;
上电极;
与所述上电极隔开的下电极;
与所述下电极相连的第一电源,其产生第一频率的功率;
与所述下电极相连的第二电源,其产生第二频率的功率;
所述下电极关联于适于固定要处理的晶片的夹盘;
侧壁外围电极;及
至少一气体物质的固态源,关联于所述侧壁外围电极。
7.如权利要求6所述的等离子体腐蚀反应器,包括:
磁限制装置,适于在所述反应器中包含等离子体。
8.如权利要求6所述的等离子体腐蚀反应器,其中固态源覆盖所述侧壁外围电极。
9.如权利要求8所述的等离子体腐蚀反应器,包括:
磁限制装置,适于在所述反应器中包含等离子体。
10.如权利要求8所述的等离子体腐蚀反应器,包括:
与所述侧壁外围电极相连的第三电源。
11.如权利要求7所述的等离子体腐蚀反应器,包括:
与所述侧壁外围电极相连的第三电源。
12.如权利要求11所述的等离子体腐蚀反应器,其中:
所述第一、第二和第三电源是AC电源;及DC电源与所述下电极相连。
13.如权利要求7所述的等离子体腐蚀反应器,其中固态源覆盖所述侧壁外围电极。
14.如权利要求13所述的等离子体腐蚀反应器,包括:
与所述侧壁外围电极相连的第三电源。
15.如权利要求14所述的等离子体腐蚀反应器,其中:
所述第一、第二和第三电源是AC电源;及DC电源与所述下电极相连。
CNA2007101411646A 1996-07-03 1997-01-23 等离子腐蚀反应器 Pending CN101106074A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US08/675,093 US6048435A (en) 1996-07-03 1996-07-03 Plasma etch reactor and method for emerging films
US08/675,093 1996-07-03

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB200410003858XA Division CN100378924C (zh) 1996-07-03 1997-01-23 等离子腐蚀反应器

Publications (1)

Publication Number Publication Date
CN101106074A true CN101106074A (zh) 2008-01-16

Family

ID=24709027

Family Applications (4)

Application Number Title Priority Date Filing Date
CNA2004100038594A Pending CN1549309A (zh) 1996-07-03 1997-01-23 腐蚀晶片的方法
CNB200410003858XA Expired - Fee Related CN100378924C (zh) 1996-07-03 1997-01-23 等离子腐蚀反应器
CNB971971080A Expired - Fee Related CN1210999C (zh) 1996-07-03 1997-01-23 等离子腐蚀反应器
CNA2007101411646A Pending CN101106074A (zh) 1996-07-03 1997-01-23 等离子腐蚀反应器

Family Applications Before (3)

Application Number Title Priority Date Filing Date
CNA2004100038594A Pending CN1549309A (zh) 1996-07-03 1997-01-23 腐蚀晶片的方法
CNB200410003858XA Expired - Fee Related CN100378924C (zh) 1996-07-03 1997-01-23 等离子腐蚀反应器
CNB971971080A Expired - Fee Related CN1210999C (zh) 1996-07-03 1997-01-23 等离子腐蚀反应器

Country Status (9)

Country Link
US (3) US6048435A (zh)
EP (1) EP0913074B1 (zh)
JP (1) JP2000516033A (zh)
KR (1) KR100528733B1 (zh)
CN (4) CN1549309A (zh)
AT (1) ATE499825T1 (zh)
CA (1) CA2259973A1 (zh)
DE (1) DE69740130D1 (zh)
WO (1) WO1998001012A1 (zh)

Families Citing this family (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6642149B2 (en) * 1998-09-16 2003-11-04 Tokyo Electron Limited Plasma processing method
JP2001107272A (ja) * 1999-10-08 2001-04-17 Hitachi Ltd 試料の処理方法および処理装置並びに磁気ヘッドの製作方法
US6322661B1 (en) * 1999-11-15 2001-11-27 Lam Research Corporation Method and apparatus for controlling the volume of a plasma
US20070107841A1 (en) * 2000-12-13 2007-05-17 Semequip, Inc. Ion implantation ion source, system and method
US7838850B2 (en) 1999-12-13 2010-11-23 Semequip, Inc. External cathode ion source
AU2430601A (en) * 1999-12-13 2001-06-18 Semequip, Inc. Ion implantation ion source, system and method
US6592709B1 (en) 2000-04-05 2003-07-15 Applied Materials Inc. Method and apparatus for plasma processing
US6872281B1 (en) * 2000-09-28 2005-03-29 Lam Research Corporation Chamber configuration for confining a plasma
US7270724B2 (en) 2000-12-13 2007-09-18 Uvtech Systems, Inc. Scanning plasma reactor
US6773683B2 (en) * 2001-01-08 2004-08-10 Uvtech Systems, Inc. Photocatalytic reactor system for treating flue effluents
US6911779B2 (en) 2001-04-20 2005-06-28 John Madocks Magnetic mirror plasma source
US6770166B1 (en) * 2001-06-29 2004-08-03 Lam Research Corp. Apparatus and method for radio frequency de-coupling and bias voltage control in a plasma reactor
KR100422594B1 (ko) * 2001-09-12 2004-03-16 주식회사 하이닉스반도체 반도체 소자의 커패시터 및 제조방법
US20030101935A1 (en) * 2001-12-04 2003-06-05 Walther Steven R. Dose uniformity control for plasma doping systems
JP2003234331A (ja) * 2001-12-05 2003-08-22 Tokyo Electron Ltd プラズマエッチング方法およびプラズマエッチング装置
US6841943B2 (en) * 2002-06-27 2005-01-11 Lam Research Corp. Plasma processor with electrode simultaneously responsive to plural frequencies
US20040025791A1 (en) * 2002-08-09 2004-02-12 Applied Materials, Inc. Etch chamber with dual frequency biasing sources and a single frequency plasma generating source
GB0219872D0 (en) * 2002-08-27 2002-10-02 Univ Belfast Charged particle manipulation
US6946511B2 (en) * 2002-10-29 2005-09-20 Dupont Dow Elastomers, Llc Plasma resistant elastomer parts
JP3846881B2 (ja) * 2003-04-04 2006-11-15 日本エー・エス・エム株式会社 プラズマ処理装置及びシリコン酸化膜を形成する方法
US7022611B1 (en) * 2003-04-28 2006-04-04 Lam Research Corporation Plasma in-situ treatment of chemically amplified resist
US7431857B2 (en) * 2003-08-15 2008-10-07 Applied Materials, Inc. Plasma generation and control using a dual frequency RF source
US20050106873A1 (en) * 2003-08-15 2005-05-19 Hoffman Daniel J. Plasma chamber having multiple RF source frequencies
US7510665B2 (en) * 2003-08-15 2009-03-31 Applied Materials, Inc. Plasma generation and control using dual frequency RF signals
WO2005028697A1 (en) 2003-09-12 2005-03-31 Applied Process Technologies, Inc. Magnetic mirror plasma source and method using same
US7838430B2 (en) * 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP4584572B2 (ja) * 2003-12-22 2010-11-24 株式会社日立ハイテクノロジーズ プラズマ処理装置および処理方法
US7988816B2 (en) 2004-06-21 2011-08-02 Tokyo Electron Limited Plasma processing apparatus and method
US7951262B2 (en) 2004-06-21 2011-05-31 Tokyo Electron Limited Plasma processing apparatus and method
US20060000802A1 (en) * 2004-06-30 2006-01-05 Ajay Kumar Method and apparatus for photomask plasma etching
US8349128B2 (en) * 2004-06-30 2013-01-08 Applied Materials, Inc. Method and apparatus for stable plasma processing
US20060027329A1 (en) * 2004-08-09 2006-02-09 Sinha Ashok K Multi-frequency plasma enhanced process chamber having a torroidal plasma source
US7305935B1 (en) 2004-08-25 2007-12-11 The United States Of America As Represented By The Administration Of Nasa Slotted antenna waveguide plasma source
JP2006073790A (ja) * 2004-09-02 2006-03-16 Tokyo Institute Of Technology プラズマエッチング装置
JP4628874B2 (ja) * 2005-06-03 2011-02-09 東京エレクトロン株式会社 プラズマ処理装置及び電位制御装置
CN100452945C (zh) * 2007-06-20 2009-01-14 中微半导体设备(上海)有限公司 包含多个处理平台的去耦合反应离子刻蚀室
US7695633B2 (en) * 2005-10-18 2010-04-13 Applied Materials, Inc. Independent control of ion density, ion energy distribution and ion dissociation in a plasma reactor
US7685965B1 (en) * 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US7264688B1 (en) 2006-04-24 2007-09-04 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and toroidal plasma sources
US20070246162A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with an inductive plasma source and a VHF capacitively coupled plasma source with variable frequency
US20070245960A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion density
US20070246163A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with independent capacitive and inductive plasma sources
US20070246443A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma process for controlling plasma ion dissociation
US20070246161A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Plasma reactor apparatus with a toroidal plasma source and a VHF capacitively coupled plasma source with variable frequency
US7645357B2 (en) * 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
US20070245961A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling plasma ion dissociation
US20070245958A1 (en) * 2006-04-24 2007-10-25 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source for controlling ion radial distribution
US7780864B2 (en) * 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7727413B2 (en) * 2006-04-24 2010-06-01 Applied Materials, Inc. Dual plasma source process using a variable frequency capacitively coupled source to control plasma ion density
KR100776616B1 (ko) * 2006-05-04 2007-11-15 한국기계연구원 평판형 저온 플라즈마 반응기
US7943005B2 (en) 2006-10-30 2011-05-17 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US7909961B2 (en) * 2006-10-30 2011-03-22 Applied Materials, Inc. Method and apparatus for photomask plasma etching
US20080119055A1 (en) * 2006-11-21 2008-05-22 Lam Research Corporation Reducing twisting in ultra-high aspect ratio dielectric etch
US8435379B2 (en) * 2007-05-08 2013-05-07 Applied Materials, Inc. Substrate cleaning chamber and cleaning and conditioning methods
JP2009038209A (ja) * 2007-08-01 2009-02-19 Mitsubishi Materials Corp 均一なエッチングを行うことができるシリコン電極板
EP2202785A4 (en) * 2007-09-04 2010-11-10 Sharp Kk PLASMA PROCESSING APPARATUS, PLASMA PROCESSING METHOD, AND SEMICONDUCTOR ELEMENT
US7736914B2 (en) * 2007-11-29 2010-06-15 Applied Materials, Inc. Plasma control using dual cathode frequency mixing and controlling the level of polymer formation
US20100085129A1 (en) * 2008-10-06 2010-04-08 Asm Japan K.K. Impedance matching apparatus for plasma-enhanced reaction reactor
KR100943013B1 (ko) * 2009-06-01 2010-02-18 (주)펨토사이언스 멀티 제너레이터 플라즈마 시스템
CN101989525A (zh) * 2009-08-05 2011-03-23 中微半导体设备(上海)有限公司 具备可切换偏置频率的等离子体处理腔及可切换匹配网络
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
KR101632321B1 (ko) * 2009-12-24 2016-06-22 재단법인 포항산업과학연구원 Sm2Co17 나노 분말의 제조 장치 및 제조 방법
US8920564B2 (en) * 2010-07-02 2014-12-30 Applied Materials, Inc. Methods and apparatus for thermal based substrate processing with variable temperature capability
JP5697389B2 (ja) * 2010-09-27 2015-04-08 東京エレクトロン株式会社 プラズマエッチング用の電極板及びプラズマエッチング処理装置
US9082593B2 (en) * 2011-03-31 2015-07-14 Tokyo Electron Limited Electrode having gas discharge function and plasma processing apparatus
JP5848140B2 (ja) * 2012-01-20 2016-01-27 東京エレクトロン株式会社 プラズマ処理装置
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9295148B2 (en) 2012-12-14 2016-03-22 Lam Research Corporation Computation of statistics for statistical data decimation
US9171699B2 (en) 2012-02-22 2015-10-27 Lam Research Corporation Impedance-based adjustment of power and frequency
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9390893B2 (en) 2012-02-22 2016-07-12 Lam Research Corporation Sub-pulsing during a state
US9530620B2 (en) 2013-03-15 2016-12-27 Lam Research Corporation Dual control modes
US9368329B2 (en) 2012-02-22 2016-06-14 Lam Research Corporation Methods and apparatus for synchronizing RF pulses in a plasma processing system
US10325759B2 (en) 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9320126B2 (en) 2012-12-17 2016-04-19 Lam Research Corporation Determining a value of a variable on an RF transmission model
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9502216B2 (en) 2013-01-31 2016-11-22 Lam Research Corporation Using modeling to determine wafer bias associated with a plasma system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
US9043525B2 (en) 2012-12-14 2015-05-26 Lam Research Corporation Optimizing a rate of transfer of data between an RF generator and a host system within a plasma tool
US9105583B2 (en) 2013-01-07 2015-08-11 International Business Machines Corporation Catalytic etch with magnetic direction control
US9155182B2 (en) 2013-01-11 2015-10-06 Lam Research Corporation Tuning a parameter associated with plasma impedance
US9620337B2 (en) 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9779196B2 (en) 2013-01-31 2017-10-03 Lam Research Corporation Segmenting a model within a plasma system
US9377285B2 (en) 2013-02-13 2016-06-28 Allegro Microsystems, Llc Magnetic field sensor and related techniques that provide varying current spinning phase sequences of a magnetic field sensing element
US9107284B2 (en) 2013-03-13 2015-08-11 Lam Research Corporation Chamber matching using voltage control mode
US9119283B2 (en) 2013-03-14 2015-08-25 Lam Research Corporation Chamber matching for power control mode
US9502221B2 (en) 2013-07-26 2016-11-22 Lam Research Corporation Etch rate modeling and use thereof with multiple parameters for in-chamber and chamber-to-chamber matching
US9594105B2 (en) 2014-01-10 2017-03-14 Lam Research Corporation Cable power loss determination for virtual metrology
US10950421B2 (en) 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9536749B2 (en) 2014-12-15 2017-01-03 Lam Research Corporation Ion energy control by RF pulse shape
JP2023043720A (ja) * 2021-09-16 2023-03-29 キオクシア株式会社 基板処理装置、及び半導体装置の製造方法
US11664195B1 (en) 2021-11-11 2023-05-30 Velvetch Llc DC plasma control for electron enhanced material processing
US11688588B1 (en) 2022-02-09 2023-06-27 Velvetch Llc Electron bias control signals for electron enhanced material processing
US11869747B1 (en) 2023-01-04 2024-01-09 Velvetch Llc Atomic layer etching by electron wavefront

Family Cites Families (57)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2468174A (en) * 1943-05-06 1949-04-26 Koppers Co Inc Apparatus for electriclaly transforming materials
DE1089112B (de) 1958-02-13 1960-09-15 Thomson Houston Comp Francaise Vakuumpumpe
US3458817A (en) * 1967-02-13 1969-07-29 Westinghouse Electric Corp Microwave high power short pulse shaper
US4233109A (en) * 1976-01-16 1980-11-11 Zaidan Hojin Handotai Kenkyu Shinkokai Dry etching method
JPS52127168A (en) * 1976-04-19 1977-10-25 Fujitsu Ltd Etching unit
US4167370A (en) 1976-11-01 1979-09-11 Massachusetts Institute Of Technology Method of an apparatus for self-sustaining high vacuum in a high voltage environment
US4230515A (en) 1978-07-27 1980-10-28 Davis & Wilder, Inc. Plasma etching apparatus
US4263088A (en) * 1979-06-25 1981-04-21 Motorola, Inc. Method for process control of a plasma reaction
US4243476A (en) * 1979-06-29 1981-01-06 International Business Machines Corporation Modification of etch rates by solid masking materials
JPS5930130B2 (ja) * 1979-09-20 1984-07-25 富士通株式会社 気相成長方法
JPS5687672A (en) * 1979-12-15 1981-07-16 Anelva Corp Dry etching apparatus
US4349409A (en) * 1980-05-12 1982-09-14 Fujitsu Limited Method and apparatus for plasma etching
US4340461A (en) * 1980-09-10 1982-07-20 International Business Machines Corp. Modified RIE chamber for uniform silicon etching
JPS57149734A (en) * 1981-03-12 1982-09-16 Anelva Corp Plasma applying working device
JPS58122731A (ja) * 1982-01-14 1983-07-21 Toshiba Corp ドライエツチング方法
JPS5916334A (ja) 1982-07-19 1984-01-27 Matsushita Electronics Corp ドライエツチング方法
US4483737A (en) * 1983-01-31 1984-11-20 University Of Cincinnati Method and apparatus for plasma etching a substrate
US4464223A (en) * 1983-10-03 1984-08-07 Tegal Corp. Plasma reactor apparatus and method
US4579618A (en) * 1984-01-06 1986-04-01 Tegal Corporation Plasma reactor apparatus
JPS61116841A (ja) * 1984-11-12 1986-06-04 Fujitsu Ltd ドライエツチング装置
US4585516A (en) * 1985-03-04 1986-04-29 Tegal Corporation Variable duty cycle, multiple frequency, plasma reactor
US4617079A (en) * 1985-04-12 1986-10-14 The Perkin Elmer Corporation Plasma etching system
US4612077A (en) * 1985-07-29 1986-09-16 The Perkin-Elmer Corporation Electrode for plasma etching system
US4774437A (en) * 1986-02-28 1988-09-27 Varian Associates, Inc. Inverted re-entrant magnetron ion source
US5158644A (en) * 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
DE3882404T2 (de) * 1987-03-27 1993-12-23 Canon Kk Gerät zur Bearbeitung von Substraten.
JP2618951B2 (ja) 1988-02-16 1997-06-11 株式会社東芝 三次元図形処理装置
EP0343500B1 (en) * 1988-05-23 1994-01-19 Nippon Telegraph And Telephone Corporation Plasma etching apparatus
JP2947818B2 (ja) 1988-07-27 1999-09-13 株式会社日立製作所 微細孔への金属穴埋め方法
US4996077A (en) 1988-10-07 1991-02-26 Texas Instruments Incorporated Distributed ECR remote plasma processing and apparatus
JPH02119124A (ja) * 1988-10-28 1990-05-07 Seiko Epson Corp プラズマ処理装置
US4889588A (en) 1989-05-01 1989-12-26 Tegal Corporation Plasma etch isotropy control
US5032205A (en) * 1989-05-05 1991-07-16 Wisconsin Alumni Research Foundation Plasma etching apparatus with surface magnetic fields
JPH02298024A (ja) * 1989-05-12 1990-12-10 Tadahiro Omi リアクティブイオンエッチング装置
US4902377A (en) 1989-05-23 1990-02-20 Motorola, Inc. Sloped contact etch process
JP2981749B2 (ja) * 1989-05-30 1999-11-22 日本真空技術株式会社 プラズマ処理装置
JPH0796665B2 (ja) 1989-06-20 1995-10-18 日本ペイント株式会社 水性樹脂分散体
JPH0329101A (ja) * 1989-06-26 1991-02-07 Sanyo Electric Co Ltd 回転ヘッド式テープレコーダのアフターレコーディング方法
JPH03241740A (ja) * 1990-02-19 1991-10-28 Fujitsu Ltd 半導体装置の製造方法
JPH03254047A (ja) 1990-03-02 1991-11-13 Nippon Telegr & Teleph Corp <Ntt> マイクロ波イオン銃
US5079481A (en) * 1990-08-02 1992-01-07 Texas Instruments Incorporated Plasma-assisted processing magneton with magnetic field adjustment
US5192849A (en) * 1990-08-10 1993-03-09 Texas Instruments Incorporated Multipurpose low-thermal-mass chuck for semiconductor processing equipment
US5308417A (en) * 1991-09-12 1994-05-03 Applied Materials, Inc. Uniformity for magnetically enhanced plasma chambers
DE69226253T2 (de) * 1992-01-24 1998-12-17 Applied Materials Inc Plasmaätzverfahren und Reaktor zur Plasmabearbeitung
US5252178A (en) * 1992-06-24 1993-10-12 Texas Instruments Incorporated Multi-zone plasma processing method and apparatus
JP2972477B2 (ja) * 1993-01-27 1999-11-08 日本電気株式会社 Rf・ecrプラズマエッチング装置
KR100302167B1 (ko) * 1993-11-05 2001-11-22 히가시 데쓰로 플라즈마처리장치및플라즈마처리방법
KR0171060B1 (ko) 1993-12-28 1999-03-30 스기야마 카즈히코 반도체장치의 제조방법
JP3152829B2 (ja) * 1994-01-18 2001-04-03 株式会社東芝 半導体装置の製造方法
US5565036A (en) * 1994-01-19 1996-10-15 Tel America, Inc. Apparatus and method for igniting plasma in a process module
JPH07245296A (ja) * 1994-03-07 1995-09-19 Tokyo Electron Ltd プラズマ処理装置
US5512130A (en) * 1994-03-09 1996-04-30 Texas Instruments Incorporated Method and apparatus of etching a clean trench in a semiconductor material
JPH07320671A (ja) * 1994-05-25 1995-12-08 Nec Kansai Ltd イオン打込み装置のイオン源および固体ソースの加熱方法
JP3309581B2 (ja) * 1994-08-31 2002-07-29 ソニー株式会社 ペロブスカイト型酸化膜のドライエッチング方法
KR100416733B1 (ko) * 1995-03-20 2004-07-05 삼성전자주식회사 강유전성캐패시터
KR100322695B1 (ko) * 1995-03-20 2002-05-13 윤종용 강유전성캐패시터의제조방법
US5633781A (en) * 1995-12-22 1997-05-27 International Business Machines Corporation Isolated sidewall capacitor having a compound plate electrode

Also Published As

Publication number Publication date
CA2259973A1 (en) 1998-01-08
CN1549309A (zh) 2004-11-24
US6190496B1 (en) 2001-02-20
EP0913074B1 (en) 2011-02-23
CN1210999C (zh) 2005-07-13
US6048435A (en) 2000-04-11
DE69740130D1 (de) 2011-04-07
CN1549308A (zh) 2004-11-24
CN1232601A (zh) 1999-10-20
EP0913074A4 (en) 2003-12-03
US6410448B1 (en) 2002-06-25
ATE499825T1 (de) 2011-03-15
KR20000023603A (ko) 2000-04-25
CN100378924C (zh) 2008-04-02
JP2000516033A (ja) 2000-11-28
EP0913074A1 (en) 1999-05-06
KR100528733B1 (ko) 2005-11-16
WO1998001012A1 (en) 1998-01-08

Similar Documents

Publication Publication Date Title
CN100378924C (zh) 等离子腐蚀反应器
KR100635693B1 (ko) 플라즈마 처리 챔버 포커스 링
US6354240B1 (en) Plasma etch reactor having a plurality of magnets
US6344105B1 (en) Techniques for improving etch rate uniformity
EP1446825B1 (en) Apparatus and method for improving etch rate uniformity
EP0954877B1 (en) Method for reducing plasma-induced charging damage
KR20080094794A (ko) 다수의 용량 및 유도 전원을 갖는 플라즈마 처리 반응기
US6391148B2 (en) Cobalt silicide etch process and apparatus
US20010049196A1 (en) Apparatus for improving etch uniformity and methods therefor
Pu Plasma Etch Equipment
KR20000022429A (ko) 플라즈마 에칭 리액터 장치 및 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication