CN101124664A - 先进的低介电常数有机硅等离子体化学汽相沉积膜 - Google Patents

先进的低介电常数有机硅等离子体化学汽相沉积膜 Download PDF

Info

Publication number
CN101124664A
CN101124664A CNA2006800045684A CN200680004568A CN101124664A CN 101124664 A CN101124664 A CN 101124664A CN A2006800045684 A CNA2006800045684 A CN A2006800045684A CN 200680004568 A CN200680004568 A CN 200680004568A CN 101124664 A CN101124664 A CN 101124664A
Authority
CN
China
Prior art keywords
peak area
dielectric
bonding
dielectric substance
sicoh
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2006800045684A
Other languages
English (en)
Other versions
CN100533681C (zh
Inventor
山·V.·阮
莎拉·L.·莱恩
李加
井田健作
达里尔·D.·拉斯坦诺
野上武史
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Sony Corp
International Business Machines Corp
Original Assignee
Sony Corp
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Sony Corp, International Business Machines Corp filed Critical Sony Corp
Publication of CN101124664A publication Critical patent/CN101124664A/zh
Application granted granted Critical
Publication of CN100533681C publication Critical patent/CN100533681C/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02351Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to corpuscular radiation, e.g. exposure to electrons, alpha-particles, protons or ions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02354Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light using a coherent radiation, e.g. a laser
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • H01L21/3122Layers comprising organo-silicon compounds layers comprising polysiloxane compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31633Deposition of carbon doped silicon oxide, e.g. SiOC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric

Abstract

提供了一种多孔的低k或超低k电介质膜,包括以共价键三维网络结构存在的Si、C、O和H(下面称为“SiCOH”),具有低于大约3.0的介电常数,并具有比现有技术的SiCOH电介质更高程度的晶体键相互作用、更多作为甲基端基的碳和更少的亚甲基(-CH2-)交联基。该SiCOH电介质的特征在于,其FTIR谱包括小于大约1.40的CH3+CH2伸展的峰面积、小于大约0.20的SiH伸展的峰面积、大于大约2.0的SiCH3键合的峰面积以及大于大约60%的Si-O-Si键合的峰面积,并且该SiCOH电介质的孔隙率大于大约20%。

Description

先进的低介电常数有机硅等离子体化学汽相沉积膜
技术领域
本发明涉及包括Si、C、O和H原子的电介质材料(下面称为“SiCOH”电介质材料),该材料多孔而且具有大致小于约3.0的介电常数(k),并且涉及用于制造这些材料的膜和含有这种膜的电子器件的方法。本发明的SiCOH电介质材料与现有技术的SiCOH电介质材料相比具有更高的孔隙率,以及导致机械特性改善的更高程度的结晶键相互作用。本发明还涉及一种用于制造本发明材料的非平衡方法以及所述电介质材料在超大规模集成(ULSI)电路和相关电子结构上的线后端(BEOL)互连结构中作为层内或层间电介质膜、电介质帽或硬掩模/抛光停止的使用。
背景技术
近年来,在ULSI电路中采用的电子器件尺寸的持续缩小导致了BEOL金属化的电阻增加以及层内和层间电介质的电容增加。这种组合效应增大了ULSI电子器件中的信号延迟。为了改善未来ULSI电路的开关性能,需要低介电常数(k)绝缘体、特别是k明显低于氧化硅的绝缘体来减小电容。
极大规模集成(VLSI)和ULSI芯片的大多数制造步骤都是通过等离子体增强化学或物理汽相沉积技术来进行的。采用事先安装且可得到的处理设备通过等离子体增强化学汽相沉积(PECVD)技术制造低k材料的能力将由此简化其与制造过程的集成,降低制造成本,并产生很少的有害废物。美国专利6147009和6497963描述了一种包括元素Si、C、O和H原子的低介电常数材料,其介电常数不超过3.6而且展现出非常低的裂纹扩展速度,在此通过引用将其全部合并于此。
美国专利6312793、6441491和6479110B2描述了一种包括由元素Si、C、O和H原子组成的矩阵和主要由C和H组成的相的多相低k电介质材料,该电介质材料具有不超过3.2的介电常数,在此通过引用将其全部合并于此。
具有低于2.7(优选低于2.3)的介电常数的超低k电介质材料在本领域也是公知的。低k和超低k SiCOH膜可以是非多孔的或多孔的。多孔膜典型地通过在膜沉积过程中引入致孔剂并在沉积之后使用传统固化工艺去除致孔剂而形成。通常,多孔SiCOH膜的介电常数低于对应的非多孔SiCOH膜的介电常数。
现有技术中低和超低k SiCOH膜中存在的关键问题是它们具有差的机械特性(例如高裂纹速度和应力,以及低模量和硬度)。典型地,现有技术的SiCOH电介质的机械特性随着材料的介电常数减小而恶化。因此,多孔SiCOH电介质趋向于具有比对应的非多孔SiCOH电介质差的机械特性。
在现有技术中,可以通过处理SiCOH膜后沉积来改善低k或超低k SiCOH电介质的机械特性。例如,使用热、UV光、电子束照射、化学能或这些能源的组合进行的固化或处理已经用于使低或超低K电介质材料稳定并改善它们的机械特性。虽然这种后沉积处理是可能的,但是它们增加了附加的处理步骤,由此增加了制造低k或超低k电介质膜的成本。
考虑到以上几点,需要提供展现出良好电子特性和机械特性的多孔的低k和超低k电介质膜,而无需进行后膜处理或在沉积过程中引入致孔剂。
发明内容
本发明提供了一种多孔的低k或超低k电介质膜,包括共价键三维网络结构中的Si、C、O和H原子(下面称为“SiCOH”),具有低于大约3.0的介电常数,并具有比现有技术的SiCOH电介质更高程度的晶体键相互作用、更多作为甲基端基的碳和更少的亚甲基(-CH2-)交联基。术语“三维网络”在本发明中始终用于表示包含在x、y和z方向上互连且互相关联的Si、C、O和H原子的SiCOH电介质材料。术语“更高程度的晶体键相互作用”在此用于表示在Si、C、O和H之间具有更局部键合的SiCOH膜。这可以在FTIR和NMR键合谱中看到,其中对应的键合峰与具有较低程度的键合结晶度的标准膜相比变得更尖锐,并具有更窄的键合峰宽度。
相信更高程度的结晶键相互作用为本发明的SiCOH电介质材料提供了与现有技术SiCOH电介质材料相比改善了的机械强度。在本发明膜中存在数量减少了的亚甲基交联基为该膜提供了与现有技术的对应物相比更高程度的孔隙率并增加了极化基。
宽泛地说,本发明提供了一种包括Si、C、O和H原子且具有三维网络结构的电介质材料,其中该材料的FTIR谱具有小于大约1.40的CH3+CH2伸展(stretching)的峰面积(peak area)、小于大约0.20的SiH伸展的峰面积、大于大约2.0的SiCH3键合的峰面积以及大于大约60的Si-O-Si键合的峰面积,并具有从用分数表示的间隙孔体积分布(fraction meso-pore volume distribution)方面来说大于大约20%的孔隙率。所提到的每个峰面积都标准化为电介质材料的给定厚度。
上面提到的值是针对所沉积的SiCOH电介质;而没有进行任何后处理步骤。已经发现诸如UV处理的后处理会减少Si-H和Si-CH2-Si的交联键合并增加Si-O-Si键合。该后处理过程可以进一步改善本发明的SiCOH电介质膜的机械特性和电子特性。
本发明还涉及一种电子结构,其中可以用本发明的SiCOH电介质材料作为电子结构中的层内或层间电介质、帽层和/或硬掩模/抛光停止层。
具体地说,本发明的电子结构包括经过预处理的半导体衬底,其具有:嵌在第一绝缘材料层中的第一金属区;嵌在第二绝缘材料层中的第一导体区,第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电连通;以及与第一导体区电连通并且嵌在第三绝缘材料层中的第二导体区,该第三绝缘材料层与第二绝缘材料层紧密接触。
在上述结构中,每个绝缘层可以包括本发明的低或超低k SiCOH电介质材料。
该电子结构还可以包括位于第一绝缘材料层和第二绝缘材料层之间的电介质帽层,还可以包括位于第二绝缘材料层和第三绝缘材料层之间的电介质帽层。该电子结构还可以包括第二绝缘材料层和第三绝缘材料层之间的第一电介质帽层以及在第三绝缘材料层顶上的第二电介质帽层。
在一些实施例中,所述电介质帽层本身可以包括本发明的低或超低k SiCOH电介质材料。
该电子结构还可以包括设置在第二和第三绝缘材料层中至少一个上面的电介质材料的扩散阻挡层。该电子结构还可以包括:在第二绝缘材料层顶上的电介质层,用作RIE硬掩模/抛光停止层;以及在该电介质RIE硬掩模/抛光停止层顶上的电介质扩散阻挡层。该电子结构还可以包括:在第二绝缘材料层顶上的第一电介质RIE硬掩模/抛光停止层;在第一电介质抛光停止层顶上的第一电介质RIE扩散阻挡层;在第三绝缘材料层顶上的第二电介质RIE硬掩模/抛光停止层;以及在第二电介质抛光停止层顶上的第二电介质扩散阻挡层。该电介质RIE硬掩模/抛光停止层也可以包括本发明的SiCOH电介质材料。
本发明还涉及一种制造本发明的SiCOH材料的方法。具体地,并且以宽泛的术语来说,本发明的方法包括:
在反应器中放置衬底;
在所述反应器中引入包括Si、C、O和H原子的第一前体、氧化剂和惰性载流子;以及
在所述衬底上沉积包括Si、C、O和H原子并且具有三维网络结构的SiCOH电介质膜,其中该材料的FTIR谱包括小于大约1.40的CH3+CH2伸展的峰面积、小于大约0.20的SiH伸展的峰面积、大于大约2.0的SiCH3键合的峰面积以及大于大约60的Si-O-Si键合的峰面积,以及大于大约20%的孔隙率。
在沉积步骤期间,用低的高频(HF)/低频(LF)功率(数量级为大约150W或更低)来减小离子轰击。利用低的HF/LF功率,在等离子体中存在第一前体的更多二聚、三聚和四聚核素。此外,本发明的沉积步骤利用更大的氧化剂/第一前体之比来执行。典型地,该比例大约是1∶2或更大。更高的氧化剂/第一前体之比提供了更多的大型反应核素,但是减少了交联键合。具体地说,本发明的沉积步骤在非平衡等离子体CVD区域中执行,在放电时在该区域中形成更高的多聚分子量反应核素(例如原始有机硅前体的二聚片段、三聚片段、四聚片段),并沉积为多孔膜的一部分。
附图说明
图1是示出在衬底上形成本发明的SiCOH电介质材料的示意表示(通过截面视图)。
图2是本发明的电子器件的放大的截面视图,该电子器件包括本发明的SiCOH电介质膜作为层内电介质层和层间电介质层。
图3是图2的电子结构的放大的截面视图,具有附加的、沉积在本发明的SiCOH电介质膜顶上的扩散阻挡电介质帽层。
图4是图3的电子结构的放大的截面视图,具有附加的RIE硬掩模/抛光停止电介质帽层和沉积在该抛光停止层顶上的电介质帽扩散阻挡层。
图5是图4的电子结构的放大的截面视图,具有附加的、沉积在本发明的SiCOH电介质膜顶上的RIE硬掩模/抛光停止电介质层。
图6是不同SiCOH电介质的FTIR谱,这些SiCOH电介质包括本发明的沉积的SiCOH电介质A、本发明UV处理的SiCOH电介质B、现有技术的SiCOH电介质C和现有技术的SiCOH电介质D。
图7是图6所示的FTIR谱,其中强调了1300cm-1到700cm-1之间的波长范围。
图8是示出与现有技术的SiCOH电介质C相比本发明的SiCOH电介质A的孔隙率的图。
具体实施方式
下面详细描述提供具有良好电子特性和机械特性的低或超低kSiCOH电介质膜的本发明。用于示出形成本发明的SiCOH膜以及一些可以包括该SiCOH膜的电子结构的过程的附图不是按比例绘制的。
首先参照图1,其示出在衬底10表面上形成本发明的SiCOH电介质膜12之后提供的结构。与衬底10结合使用的术语“衬底”包括半导体材料、绝缘材料、导体材料或它们的任意组合,包括多层结构。由此例如衬底10可以是半导体材料如Si、SiGe、SiGeC、SiC、GaAs、InAs、InP和其它III/V或II/VI化合物半导体。半导体衬底10还可以包括层状结构,如Si/SiGe、Si/SiC、绝缘体上硅(SOI)或绝缘体上硅锗(SGOI)。
如果衬底10是绝缘材料,则该绝缘材料可以是有机绝缘体、无机绝缘体或它们的组合,包括多层。如果衬底10是导体材料,则衬底10可以例如包括多晶Si、单质金属、单质金属的合金、金属硅化物、金属氮化物及其组合,包括多层。
在一些实施例中,衬底10包括:半导体材料和绝缘材料的组合;半导体材料和导体材料的组合;或半导体材料、绝缘体材料和导体材料的组合。
如果衬底10包括半导体材料,则可以在该衬底上制造一个或多个半导体器件如互补金属氧化物半导体(CMOS)器件。为清楚起见,该一个或多个半导体器件未在本申请的附图中示出。
本发明的电介质膜12包括多孔的电介质材料,该电介质材料包括Si、C、O和H原子(SiCOH),也称为掺杂C的氧化物(CDO)或有机硅酸盐玻璃(OSG)。所沉积的SiCOH电介质膜12的厚度可以不同;沉积的SiCOH电介质膜12的典型范围从大约50nm到大约1μm,更为典型的是从100到大约500nm的厚度。本发明的电介质膜的介电常数小于大约3.0,更为典型的是从大约2.2到大约2.8的介电常数。这里,具有小于大约2.7的介电常数的电介质被认为是超低k电介质。
本发明的SiCOH电介质膜12具有三维网络结构,其中Si、C、O和H在x、y和z方向上互连和互相关联。本发明的SiCOH电介质的特征在于其FTIR谱具有小于大约1.40的CH3+CH2伸展的峰面积、小于大约0.20的SiH伸展的峰面积、大于大约2.0的SiCH3键合的峰面积以及大于大约60的Si-O-Si键合的峰面积,并具有从分数表示的间隙孔体积分布方面来说大于大约20%的孔隙率。上述每个峰面积都标准化为电介质材料的给定厚度。
典型地,本发明的SiCOH电介质12的FTIR谱具有在大约2830到大约3050cm-1处的CH3+CH2伸展的峰面积、在大约2170到大约2240cm-1处的SiH伸展的峰面积、在大约1250到大约1290cm-1和大约750到大约860cm-1处的SiCH3键合的峰面积以及在大约960到大约1220cm-1处的Si-O-Si键合的峰面积。在一些实施例中,本发明的SiCOH电介质膜12的特征在于其FTIR谱具有大约1.35的CH3+CH2伸展的峰面积、大约0.13的SiH伸展的峰面积、大约2.18的SiCH3键合的峰面积以及大约62.9的Si-O-Si键合的峰面积。
SiCOH电介质膜12典型地包括在大约5到大约40原子百分比之间的Si;在大约5到大约45原子百分比之间的C;在0到大约50原子百分比之间的O;以及在大约10到大约55原子百分比之间的H。
本发明的SiCOH电介质膜12是利用以下工艺来制成的,在该工艺中用低的HF/LF功率比例来降低所沉积的膜上的离子轰击。这在等离子体中产生第一前体的更多二聚、三聚和/或四聚反应核素。这为本发明的SiCOH电介质膜提供了高的结晶度和改善了的机械特性。此外,选择氧化剂相对于第一前体的比例以提供有助于减少膜内交联的更大的反应核素。
本发明的SiCOH电介质膜12具有比现有技术的SiCOH电介质膜高的孔隙率。具体地说,本发明的SiCOH电介质膜12具有大致为约20%或更大的测定孔隙率,其中更为典型的是大约22%到25%的测定孔隙率。本发明膜12的孔隙率高于现有技术的SiCOH电介质的孔隙率,后者典型地大致为约15%或更低。
所沉积的SiCOH膜12的机械特性包括从大约6到大约12Gpa的模量值、从大约0.8到大约1.6Gpa的硬度、从大约35到大约70Mpa的应力、从大约2.5到大约6J/m2的内聚强度、在1.2μm下从大约1E-12到大约4E-10m/sec的裂纹速度、以及通过RBS测量的从大约4E22到大约9E22原子/cm3的密度。更为典型地,所沉积的SiCOH膜12的机械特性包括从大约7到大约10Gpa的模量值、从大约0.9到大约1.2Gpa的硬度、从大约40到大约60Mpa的应力、从大约3.5到4.5J/m2的内聚强度、在1.2μm下从大约5E-11到大约1.5E-10m/sec的裂纹速度、以及通过RBS测量的从大约5E22到大约7E22原子/cm3的密度。
本发明的SiCOH电介质膜12的电子特性包括大于大约7MV/cm的击穿电压和在施加2MV/cm或更大的电压时大约1E-9Amp/cm2的泄漏密度。更为典型的,本发明的SiCOH电介质膜12具有大于大约8MV/cm的击穿电压和在施加2MV/cm或更大的电压时大约1E-9Amp/cm2的泄漏密度。
SiCOH电介质膜12通过将衬底10放置到反应室中来沉积,如等离子体增强化学汽相沉积(PECVD)。具体地说,SiCOH电介质膜12是这样形成的:向反应器至少提供和引入包括Si、C、O和H原子的第一前体(液体、气体或蒸汽)氧化剂、和惰性载流子如He或Ar,该反应器优选是PECVD反应器,然后利用在形成本发明的SiCOH电介质材料时有效的条件将从所述第一前体衍生的膜沉积到合适的衬底上.
第一前体是从具有包括SiCOH成分的环形结构的有机分子中选择的,如1,3,5,7-四甲基环四硅氧烷(1,3,5,7-tetramethylcyclotetrasiloxane)(“TMCTS”或“C4H16O4Si4”),八甲基环四硅氧烷(OMCTS,octamethylcyclotetrasiloxane)、甲基二乙氧基硅烷(DEMS,diethoxymethylsilane)、二甲基二甲氧基硅烷(DMDMOS,dimethyldimethoxysilane)、二乙基甲氧基硅烷(DEDMOS,diethylmethoxysilane)和相关的环状和非环状硅烷、硅氧烷等。
可用于本发明的氧化剂的例子包括O2、CO2、N2O或其组合。该氧化剂用于稳定反应器中的反应物,并有助于提高沉积在衬底10上的SiCOH电介质膜12的均匀性。惰性载流子包括Ar、He、Ne、Xe或其混合物,其中He是最为典型的。
在本发明的优选实施例中,可以利用包括提供平行板反应器的步骤的方法来沉积SiCOH电介质膜12,该平行板反应器包括大约85cm2到大约750cm2之间的衬底卡盘的导电面积,以及在衬底和顶电极之间大约1cm到大约12cm之间的间隙,即间距。该反应器还可以包括其它电极。向其中一个电极施加高频RF功率,并且通常向该反应器中的另一个电极施加另外的低频功率。
用于沉积步骤的条件可以根据SiCOH电介质膜的期望最终介电常数而改变。宽泛地说,用于提供包括元素Si、C、O和H而且介电常数为大约3.0或更低的稳定电介质材料的条件包括:衬底温度设置在大约250℃到大约450℃之间;高频RF功率密度设置在大约0.2W/cm2到大约0.85W/cm2之间;第一前体流速设置在大约1800mg/min到大约3600mg/min之间,氧化剂流速设置在大约120到大约320sccm之间;诸如氦(和/或氩)的惰性载流子气体流速设置在大约500sccm到大约2000sccm之间;反应器压力设置在大约4托到大约8托之间的压力;高频RF功率设置在大约150W到大约800W之间;低频功率设置在大约0W到大约200W之间。
更为优选的,用于提供包括元素Si、C、O和H并且介电常数为大约3.0或更低的稳定电介质材料的条件包括:衬底温度设置在大约300℃到大约400℃之间;高频RF功率密度设置在大约0.4W/cm2到大约0.6W/cm2之间;第一前体流速设置在大约2500mg/min到大约3000mg/min之间,氧化剂流速设置在大约200到大约250sccm之间;诸如氦(和/或氩)的惰性载流子气体流速设置在大约800sccm到大约1200sccm之间;反应器压力设置在大约5托到大约6托之间的压力;高频RF功率设置在大约300W到大约500W之间;低频功率设置在大约40W到大约80W之间。当衬底卡盘的导电面积变化了X倍时,施加在该衬底卡盘上的RF功率也变化X倍。
沉积后,可选地,可以利用诸如热、电子束、等离子体、微波或光辐射如UV或激光的能量源来处理SiCOH电介质材料12。上述能量源的组合也可以用于本发明。
热能源包括可以将沉积的SiCOH电介质12加热到直到450℃的温度的任何能源,如加热元件或灯。更为优选的,该热能源能够将SiCOH电介质材料12加热到从大约200℃到大约450℃的温度,更为优选的,加热到从大约350℃到大约425℃的温度。该热处理过程可以持续不同的时间段,其中从大约0.5分钟到大约300分钟的时间段是典型的。该热处理步骤典型地在存在惰性气体如He、Ar、Ne、Xe、N2或其混合物的情况下进行。该热处理步骤可以称为“退火步骤”,其中采用快速热退火、炉退火、激光退火或尖峰退火条件。
在一些实施例中,所述热处理步骤可以在存在包含氢源气体如H2或碳氢化合物的气体混合物的情况下进行。在其它实施例中,该热处理步骤可以在存在包含极低分压的O2和H2O(在低于百万分之1000的范围内)的气体混合物的情况下执行。
UV光处理步骤是利用可以产生波长为从大约500到大约150nm的光的光源照射衬底、同时晶片温度保持在直到450℃来执行的,其中200℃-450℃的温度是优选的,而350℃-425℃的温度更为优选。>370nm的射线的能量不足以离解或激活重要的键,因此波长范围150-370nm是优选的范围。利用对沉积膜测定的文献数据和吸收谱,已经发现<170nm的射线由于SiCOH膜的劣化而可能是不优选的。此外,310-370nm的能量范围不如150-310nm的能量范围有用,这是因为来自310-370nm的每个光子的能量相对较低。在150-310nm的范围内,与所沉积膜的吸收谱的最佳重叠和膜特性的最小劣化(例如憎水性)可以任选地用来选择用于更改SiCOH特性的最有效的UV谱区域。
UV光处理步骤可以在惰性气体、氢源气体或O2和H2O的气体混合物中利用上述分压范围来进行。
电子束处理步骤利用能够在晶片上产生均匀电子通量的源来进行,其中能量为0.5到25keV,电流密度为0.1到100微安/cm2(优选1到5微安/cm2),同时晶片温度保持在直到450℃的温度,其中200℃-450℃的温度是优选的,而350℃-425℃的温度更为优选。在电子束处理步骤中使用的优选电子剂量为从50到500微库仑/cm2,其中100到300微库仑/cm2的范围是优选的。
该电子束处理步骤可以在惰性气体、氢源气体或O2和H2O的气体混合物中利用上述分压范围来进行。
等离子体处理步骤是利用能够产生原子氢(H)且可选地能够产生CH3或其它烃基的源来执行的。下游的等离子体源比直接的等离子体暴露更为优选。在等离子体处理期间,晶片温度保持在直到450℃的温度,其中200℃-450℃的温度是优选的,而350℃-425℃的温度更为优选。
通过向反应器引入可以产生等离子体并且此后其转换为等离子体的气体来进行等离子体处理步骤。可用于等离子体处理的气体包括:惰性气体如Ar、N、He、Xe或Kr,其中He是优选的;氢或相关的原子氢源、甲烷、甲基硅烷、相关的CH3基源以及它们的混合物。等离子体处理气体的流速可以根据所使用的反应器系统而改变。室压可以为从0.05到20托的范围内任何值,但是压力操作的优选范围是1到10托。等离子体处理步骤进行一段时间,尽管在本发明中还可以采用更长的时间,但是该时间段典型地为从大约1/2到大约10分钟。
通常用RF或微波功率源来产生上述等离子体。RF功率源可以在高频范围(数量级为大约100W或更大)中运行;低频范围(低于250W)或其组合也可以采用。高频功率密度的范围可以为从1.0到2.0W/cm2,但是优选运行范围是0.2到1.0W/cm2。低频功率密度的范围可以为从0.1到1.0W/cm2,优选运行范围是0.2到0.5W/cm2。所选择的功率电平必须低到足以避免对被暴露的电介质表面的明显溅射蚀刻(<5纳米去除)。
除上述之外,还可以采用深紫外线(DUV)激光源。用于处理所沉积SiCOH电介质12的激光源典型地是根据激光气体混合物在若干DUV波长中的一个波长下工作的准分子激光器。例如,可以采用产生308nm射线的XeF激光器。而且在本发明中还可以采用产生248nm射线的KrF激光器或产生193nm射线的ArF激光器。准分子激光器可以以每秒几百个脉冲工作,其中脉冲能量直到一焦耳(J),从而得到几百瓦特(W)的输出。
处理所沉积SiCOH电介质12时采用的激光器优选在脉冲模式下工作。可以扩展激光束以照射整个样本。可替换地,并且对于更大的样本,可以横跨样本对激光照射区进行光栅扫描以提供均匀的剂量。利用准分子激光器,能流(fluence)可以限制为低于每个脉冲5mJ/cm2,以保证不会发生消融。准分子激光器大约10ns的短脉冲持续时间可以在大于20mJ/cm2能流水平下产生材料消融。典型地,采用每个脉冲0.1-5mJ/cm2的激光能流水平。总剂量可以从1变化到10000J/cm2,优选500-2000J/cm2。这通过多个激光脉冲照射来实现。例如,1000J/cm2的剂量可以利用1mJ/cm2的能流持续106个脉冲来获得。准分子激光器正常情况下以每秒几百个脉冲工作。根据所需要的总剂量,用于DUV激光处理的总照射时间段是几秒到几个小时。典型的500J/cm2的剂量是在少于15分钟内利用以每个脉冲3mJ/cm2的能流水平工作的200Hz激光器来实现的。
上述处理步骤是可选的,要实现具有良好电子特性和机械特性的SiCOH电介质膜也不需要执行这些处理步骤。但是上述处理可以针对本发明的SiCOH电介质膜使用,而不会明显影响该电介质膜的电特性和机械特性。
上述处理提供了一种SiCOH电介质,其特征在于其FTIR谱具有在大约2830到大约3050cm-1处的CH3+CH2伸展的峰面积、在大约2170到大约2240cm-1处的SiH伸展的峰面积、在大约1250到大约1290cm-1和大约750到大约860cm-1处的SiCH3键合的峰面积以及在大约960到大约1220cm-1处的Si-O-Si键合的峰面积。此外,本发明的经过后处理的SiCOH电介质的FTIR谱具有大约1.06的CH3+CH2伸展的峰面积、大约0的SiH伸展的峰面积、大约2.23的SiCH3键合的峰面积以及大约66.3的Si-O-Si键合的峰面积。本发明的经过后处理的SiCOH膜典型地具有大约9到大约11Gpa的模量。
可以包括本发明的SiCOH电介质的电子器件在图2-5中示出。应当注意图2-5所示的器件只是本发明的说明性示例,本发明还可以形成无数的其它器件。
在图2中,示出了建立在硅衬底32上的电子器件30。在硅衬底32的顶上,首先形成绝缘材料层34,而第一金属区36嵌在其中。在对第一金属区36进行了CMP处理之后,将本发明的SiCOH电介质膜38沉积在第一绝缘材料层34和第一金属区36的顶上。第一绝缘材料层34可以适当地由氧化硅、氮化硅、这些材料的掺杂变体、或其它任何合适的绝缘材料来形成。然后在蚀刻之前光刻处理中对SiCOH电介质膜38进行构图,并将导体层40沉积在SiCOH电介质膜38上。在对第一导体层40进行了CMP处理之后,通过等离子体增强化学汽相沉积过程沉积第二层本发明的SiCOH膜44以覆盖第一SiCOH电介质膜38和第一导体层40。导体层40可以由金属材料或非金属导电材料沉积而成。例如,金属材料铝或铜、或非金属材料氮或多晶硅。第一导体层40与第一金属区36电连通。
然后,在对本发明的SiCOH电介质膜44进行了光刻过程并随后进行了蚀刻,且进行第二导体材料的沉积过程之后,形成第二导体区50。第二导体区50也可以由金属材料或非金属导体材料沉积而成,与沉积第一导体层40时所使用的类似。第二导体区50与第一导体区40电连通,并且嵌在第二层本发明的SiCOH电介质膜44中。第二层本发明的SiCOH电介质膜与第一层本发明的SiCOH电介质材料38紧密接触。在该示例中,第一层本发明的SiCOH电介质膜38是层内电介质材料,而第二层本发明的SiCOH电介质膜44既是层内电介质又是层间电介质。
图3示出了本发明的电子器件60,其类似于图2所示的电子器件30但是在第一绝缘材料层38和第二绝缘材料层44之间沉积了附加的电介质帽层62。该电介质帽层62可以适当地由诸如氧化硅、氮化硅、氮氧化硅、难熔金属氮化硅(其中难熔金属是Ta、Zr、Hf或W)、碳化硅、碳氮化硅(SiCN)、碳氧化硅(SiCO)以及它们的氢化化合物的材料形成。该附加的电介质帽层62用作扩散阻挡层,以防止第一导体层40扩散到第二绝缘材料层44或更下方的层中,尤其是防止扩散到层34和32中。
在图4中示出了本发明另一个可替换实施例的电子器件70。在电子器件70中,使用两个用作RIE掩模和CMP(化学机械抛光)抛光停止层的附加的电介质帽层72和74。第一电介质帽层72沉积在第一超低k绝缘材料层38的顶上并用作RIE掩模和CMP停止层,因此在CMP之后第一导体层40和层72近似共面。第二电介质层74的功能类似于层72,但是层74用于使第二导体层50平面化。抛光停止层74可以由合适的电介质材料沉积而成,例如氧化硅、氮化硅、氮氧化硅、难熔金属氮化硅(其中难熔金属是Ta、Zr、Hf或W)、碳化硅、碳氧化硅(SiCO)以及它们的氢化化合物。对于层72或74,优选的抛光停止层组成是SiCH或SiCOH。第二电介质层74可以为相同目的添加在第二SiCOH电介质膜44的顶上。
在图5中示出了本发明另一个可替换实施例的电子器件80。在该替换实施例中,沉积附加的电介质材料层82,并因此将第二绝缘材料层44划分为两个分离的层84和86。因此,由本发明低k材料形成的层内和层间电介质层44在通路92和互连94之间的边界上分为层间电介质层84和层内电介质层86。还在上面的电介质层74顶上沉积附加的扩散阻挡层96。由该替换实施例的电子结构80提供的附加的优点是电介质层82用作RIE蚀刻停止层,以提供更好的互连深度控制。因此,选择层82的成分以提供针对层86的蚀刻选择性。
其它替换实施例可以包括在布线结构中具有绝缘材料层作为层内或层间电介质的电子结构,该布线结构包括经过预处理的半导体衬底,其具有:嵌在第一绝缘材料层中的第一金属区;嵌在第二绝缘材料层中的第一导体区,其中第二绝缘材料层与第一绝缘材料层紧密接触,且第一导体区与第一金属区电连通;与第一导体区电连通并且嵌在第三绝缘材料层中的第二导体区,其中该第三绝缘材料层与第二绝缘材料层紧密接触;第二绝缘材料层和第三绝缘材料层之间的第一电介质帽层;以及在第三绝缘材料层顶上的第二电介质帽层,其中第一和第二电介质帽层由包括Si、C、O和H原子的材料或优选本发明的SiCOH电介质膜形成。
其它替换实施例包括在布线结构中具有绝缘材料层作为层内或层间电介质的电子结构,该布线结构包括经过预处理的半导体衬底,其具有:嵌在第一绝缘材料层中的第一金属区;嵌在第二绝缘材料层中的第一导体区,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电连通;与第一导体区电连通并且嵌在第三绝缘材料层中的第二导体区,其中该第三绝缘材料层与第二绝缘材料层紧密接触;以及沉积在第二和第三绝缘材料层中至少一个上面的由本发明的低k膜形成的扩散阻挡层。
其它替换实施例包括在布线结构中具有绝缘材料层作为层内或层间电介质的电子结构,该布线结构包括经过预处理的半导体衬底,其具有:嵌在第一绝缘材料层中的第一金属区;嵌在第二绝缘材料层中的第一导体区,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电连通;与第一导体区电连通并且嵌在第三绝缘材料层中的第二导体区,其中该第三绝缘材料层与第二绝缘材料层紧密接触;在第二绝缘材料层顶上的反应离子蚀刻(RIE)硬掩模/抛光停止层;以及在该RIE硬掩模/抛光停止层顶上的扩散阻挡层,其中RIE硬掩模/抛光停止层和扩散阻挡层由本发明的SiCOH电介质膜形成。
其它替换实施例包括在布线结构中具有绝缘材料层作为层内或层间电介质的电子结构,该布线结构包括经过预处理的半导体衬底,其具有:嵌在第一绝缘材料层中的第一金属区;嵌在第二绝缘材料层中的第一导体区,其中第二绝缘材料层与第一绝缘材料层紧密接触,第一导体区与第一金属区电连通;与第一导体区电连通并且嵌在第三绝缘材料层中的第二导体区,其中该第三绝缘材料层与第二绝缘材料层紧密接触;在第二绝缘材料层顶上的第一RIE硬掩模/抛光停止层;在第一RIE硬掩模/抛光停止层顶上的第一扩散阻挡层;在第三绝缘材料层顶上的第二RIE硬掩模/抛光停止层;以及在第二RIE硬掩模/抛光停止层顶上的第二扩散阻挡层,其中RIE硬掩模/抛光停止层和扩散阻挡层由本发明的SiCOH电介质膜形成。
本发明的其它替换实施例包括在布线结构中具有绝缘材料层作为层内或层间电介质的电子结构,该布线结构与刚在上面描述的类似,但是还包括由位于在层间电介质层和层内电介质层之间的本发明的SiCOH电介质材料形成的电介质帽层。
提供了下面的示例以说明本发明的SiCOH电介质材料并展示与现有技术SiCOH电介质材料的区别。
示例
在该示例中,制备本发明的SiCOH电介质材料并与现有技术的SiCOH电介质进行比较。本发明的SiCOH电介质(样本A)是利用上述方法制备的。第一前体是OMCTS,氧化剂是O2。沉积条件包括:2800mgm的OMCTS流、220sccm的O2流、1000sccm的He载流子流、350℃的衬底沉积温度、5托的压力、450密耳(mil)的间距、400W的HF功率、以及60W的LF功率。
另一个样本(样本B)也是利用上述工艺制成的,只是在沉积之后对其进行了UV处理。
现有技术的SiCOH电介质(样本C)是利用OMCTS作为前体来制备的,并且使用以下条件:2500mgm的OMCTS流、160sccm的O2流、1000sccm的He载流子流、350℃的衬底沉积温度、5托的压力、450密耳的间距、500W的HF功率、以及150W的LF功率。
现有技术的SiCOH电介质(样本D)如下制备:2500mgm的OMCTS流、160sccm的O2流、1000sccm的He载流子流、350℃的衬底沉积温度、5托的压力、450密耳的间距、500W的HF功率、以及140W的LF功率。
在图6和图7中分别示出了样本A-D的FTIR谱。该FTIR结果表明OMCATS样本A和B具有更高程度的短程键合交互作用,其由Si-CH3键合(1270cm-1和大约800cm-1附近)以及Si-O-Si网络键合(在大约1030cm-1处)的更尖的柄峰宽度来表示。更尖的键合峰表示在Si-CH3和Si-O-Si网络中更短程的键级。
除了FTIR数据之外,利用电子偶素湮灭寿命谱(PALS)测量样本A和现有技术样本C的孔隙率。孔隙率测量的结果在图8中示出。具体地说,图8示出了本发明的SiCOH电介质材料的孔隙率(在22-25%之间)比现有技术的SiCOH电介质(孔隙率为大约10-12%)高。
电测量表明本发明100-500nm厚的膜在施加2MV/cm的电场时具有大于8.5MV/cm的优异的击穿电压,和小于5E-8安/cm2的低泄漏。
虽然以图解的方式描述了本发明,但是应当理解所使用的术语的本质是为了描述性的词汇而不是用于限制。此外,虽然根据优选和若干替换实施例描述了本发明,但是应当理解本领域的技术人员很容易将这些所讲述内容用于本发明其它可能的变型。
工业实用性
本发明在制造集成电路时是有用的,而且特别是在具有低介电常数膜的超大规模集成电路和相关电子结构及其制造中特别有用。

Claims (30)

1.一种电介质材料,其包括Si、C、O和H原子并具有三维网络结构,其中该材料的FTIR谱包括小于大约1.40的CH3+CH2伸展的峰面积、小于大约0.20的SiH伸展的峰面积、大于大约2.0的SiCH3键合的峰面积以及大于大约60的Si-O-Si键合的峰面积,并且该材料的孔隙率大于大约20%。
2.根据权利要求1的电介质材料,其中所述材料的介电常数小于大约3.0。
3.根据权利要求1的电介质材料,其中所述介电常数在从大约2.2到大约2.8的范围内。
4.根据权利要求1的电介质材料,其中所述CH3+CH2伸展的峰面积位于大约2830到大约3050cm-1,所述SiH伸展的峰面积位于大约2170到大约2240cm-1,所述SiCH3键合的峰面积位于大约1250到大约1290cm-1和大约750到大约860cm-1,而所述Si-O-Si键合的峰面积位于大约960到大约1220cm-1
5.根据权利要求1的电介质材料,其中所述CH3+CH2伸展的峰面积为大约1.35,所述SiH伸展的峰面积为大约0.13,所述SiCH3键合的峰面积为大约2.18,而所述Si-O-Si键合的峰面积为大约62.9。
6.根据权利要求1的电介质材料,其中所述孔隙率为从大约22%到大约25%。
7.根据权利要求1的电介质材料,其中所述电介质材料具有从大约6到大约12Gpa的模量值、从大约0.8到大约1.6Gpa的硬度、从大约35到大约70Mpa的应力、从大约2.5到大约6J/m2的内聚强度、在1.2μm时从大约1E-12到大约4E-10m/sec的裂纹速度、以及通过RBS测量的从大约4E22到大约9E22原子/cm3的密度。
8.根据权利要求1的电介质材料,其中所述材料在施加2MV/cm或更大的电压的情况下具有大于大约7MV/cm的击穿电压和大约1E-9Amp/cm2的泄漏密度。
9.根据权利要求1的电介质材料,其中所述材料被处理以提供模量为大约9到大约11Gpa的材料。
10.一种互连结构,其位于衬底上,并且包括至少一个电介质材料,该电介质材料包括Si、C、O和H原子并具有三维网络结构,其中该材料的FTIR谱包括小于大约1.40的CH3+CH2伸展的峰面积、小于大约0.20的SiH伸展的峰面积、大于大约2.0的SiCH3键合的峰面积以及大于大约60%的Si-O-Si键合的峰面积,并且该材料的孔隙率大于大约20%。
11.根据权利要求10的互连结构,其中所述材料的介电常数小于大约3.0。
12.根据权利要求11的互连结构,其中所述介电常数在从大约2.2到大约2.8的范围内.
13.根据权利要求10的互连结构,其中所述CH3+CH2伸展的峰面积位于大约2830到大约3050cm-1,所述SiH伸展的峰面积位于大约2170到大约2240cm-1,所述SiCH3键合的峰面积位于大约1250到大约1290cm-1和大约750到大约860cm-1,而所述Si-O-Si键合的峰面积位于大约960到大约1220cm-1
14.根据权利要求10的互连结构,其中所述CH3+CH2伸展的峰面积为大约1.35,所述SiH伸展的峰面积为大约0.13,所述SiCH3键合的峰面积为大约2.18,而所述Si-O-Si键合的峰面积为大约62.9。
15.根据权利要求10的互连结构,其中所述孔隙率为从大约22%到大约25%。
16.根据权利要求10的互连结构,其中所述电介质材料具有从大约6到大约12Gpa的模量值、从大约0.8到大约1.6Gpa的硬度、从大约35到大约70Mpa的应力、从大约2.5到6J/m2的内聚强度、在1.2μm时从大约1E-12到大约4E-10m/sec的裂纹速度、以及通过RBS测量的从大约4E22到大约9E22原子/cm3的密度。
17.根据权利要求10的互连结构,其中所述材料在施加2MV/cm或更大的电压的情况下具有大于大约7MV/cm的击穿电压和大约1E-9Amp/cm2的泄漏密度。
18.根据权利要求10的互连结构,其中在所述电介质材料中嵌入了至少一个导电区。
19.根据权利要求10的互连结构,其中所述电介质材料是层间电介质、层内电介质、帽层或硬掩模之一.
20.一种在衬底上形成SiCOH电介质的方法,包括:
在反应器中放置衬底;
在所述反应器中引入包括Si、C、O和H原子的第一前体、氧化剂和惰性载流子;以及
在所述衬底上沉积包括Si、C、O和H原子并且具有三维网络结构的SiCOH电介质膜,其中该材料的FTIR谱包括小于大约1.40的CH3+CH2伸展的峰面积、小于大约0.20的SiH伸展的峰面积、大于大约2.0的SiCH3键合的峰面积以及大于大约60%的Si-O-Si键合的峰面积,并且该材料的孔隙率大于大约20%。
21.根据权利要求20的方法,其中所述第一前体包括具有环形结构的有机分子,该有机分子至少包括Si、C、O和H原子。
22.根据权利要求21的方法,其中所述第一前体包括1,3,5,7-四甲基环四硅氧烷(“TMCTS”或“C4H16O4Si4”)、八甲基环四硅氧烷(OMCTS)、甲基二乙氧基硅烷(DEMS)、二甲基二甲氧基硅烷(DMDMOS)、二乙基甲氧基硅烷(DEDMOS)或其它的环状和非环状硅烷或硅氧烷之一。
23.根据权利要求20的方法,其中所述氧化剂包括O2、CO2或N2O中的至少一种。
24.根据权利要求20的方法,其中所述惰性载流子包括Ar、He、Ne、Xe或其混合物。
25.根据权利要求20的方法,其中所述第一前体是OMCTS,所述氧化剂是O2,所述惰性载流子是He。
26.根据权利要求20的方法,其中所述沉积是利用大约150W或更低的数量级的低的高频(HF)/低频(LF)功率来执行的。
27.根据权利要求20的方法,其中所述沉积是利用大约1∶2或更大的氧化剂/第一前体摩尔比例来执行的。
28.根据权利要求20的方法,其中所述沉积包括:
将衬底温度设置在大约250℃到大约450℃之间;
将高频RF功率密度设置在大约0.2W/cm2到大约0.85W/cm2之间;
将第一前体流速设置在大约1800mg/min到大约3600mg/min之间,将氧化剂流速设置在大约120到大约320sccm之间;
将惰性载流子气体流速设置在大约500sccm到大约2000sccm之间;
将反应器压力设置在大约4托到大约8托之间的压力;
将高频RF功率设置在大约150W到大约800W之间;
将低频功率设置在大约0W到大约200W之间。
29.根据权利要求20的方法,还包括对所述沉积的电介质材料进行后处理步骤。
30.根据权利要求29的方法,其中所述后处理步骤包括利用从热、电子束、等离子体、微波和UV光辐射中选择的能量源。
CNB2006800045684A 2005-02-16 2006-02-14 先进的低介电常数有机硅等离子体化学汽相沉积膜 Active CN100533681C (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/906,370 US7202564B2 (en) 2005-02-16 2005-02-16 Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US10/906,370 2005-02-16

Publications (2)

Publication Number Publication Date
CN101124664A true CN101124664A (zh) 2008-02-13
CN100533681C CN100533681C (zh) 2009-08-26

Family

ID=36816220

Family Applications (1)

Application Number Title Priority Date Filing Date
CNB2006800045684A Active CN100533681C (zh) 2005-02-16 2006-02-14 先进的低介电常数有机硅等离子体化学汽相沉积膜

Country Status (7)

Country Link
US (2) US7202564B2 (zh)
EP (1) EP1849183A4 (zh)
JP (1) JP5466365B2 (zh)
KR (1) KR100998809B1 (zh)
CN (1) CN100533681C (zh)
TW (1) TWI346982B (zh)
WO (1) WO2006088881A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109119339A (zh) * 2018-08-26 2019-01-01 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用
CN111484618A (zh) * 2019-01-28 2020-08-04 海加控股有限公司 低温等离子电场辅助合成有机硅化合物的方法和装置

Families Citing this family (55)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US7615482B2 (en) * 2007-03-23 2009-11-10 International Business Machines Corporation Structure and method for porous SiCOH dielectric layers and adhesion promoting or etch stop layers having increased interfacial and mechanical strength
JP5165914B2 (ja) * 2007-03-30 2013-03-21 三井化学株式会社 多孔質シリカフィルム及びその製造方法
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US7977256B2 (en) 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US8557712B1 (en) * 2008-12-15 2013-10-15 Novellus Systems, Inc. PECVD flowable dielectric gap fill
DK2251453T3 (da) 2009-05-13 2014-07-07 Sio2 Medical Products Inc Beholderholder
US9458536B2 (en) 2009-07-02 2016-10-04 Sio2 Medical Products, Inc. PECVD coating methods for capped syringes, cartridges and other articles
JP5164079B2 (ja) * 2009-10-21 2013-03-13 国立大学法人東北大学 低誘電率絶縁膜の形成方法
TW201131651A (en) 2009-10-05 2011-09-16 Univ Tohoku Low dielectric constant insulating film
JP5164078B2 (ja) * 2009-10-05 2013-03-13 国立大学法人東北大学 低誘電率絶縁膜
JP5692085B2 (ja) 2009-11-11 2015-04-01 日本電気株式会社 抵抗変化素子、半導体装置、および抵抗変化素子の形成方法
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
JP2013520030A (ja) 2010-02-17 2013-05-30 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード SiCOHLOW−K膜の蒸着方法
US8349746B2 (en) * 2010-02-23 2013-01-08 Applied Materials, Inc. Microelectronic structure including a low k dielectric and a method of controlling carbon distribution in the structure
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US11624115B2 (en) 2010-05-12 2023-04-11 Sio2 Medical Products, Inc. Syringe with PECVD lubrication
US9878101B2 (en) 2010-11-12 2018-01-30 Sio2 Medical Products, Inc. Cyclic olefin polymer vessels and vessel coating methods
US9719169B2 (en) 2010-12-20 2017-08-01 Novellus Systems, Inc. System and apparatus for flowable deposition in semiconductor fabrication
US9272095B2 (en) 2011-04-01 2016-03-01 Sio2 Medical Products, Inc. Vessels, contact surfaces, and coating and inspection apparatus and methods
US8637412B2 (en) 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
AU2012318242A1 (en) 2011-11-11 2013-05-30 Sio2 Medical Products, Inc. Passivation, pH protective or lubricity coating for pharmaceutical package, coating process and apparatus
US11116695B2 (en) 2011-11-11 2021-09-14 Sio2 Medical Products, Inc. Blood sample collection tube
JP5788350B2 (ja) 2012-03-23 2015-09-30 ルネサスエレクトロニクス株式会社 半導体装置および半導体装置の製造方法
EP2846755A1 (en) 2012-05-09 2015-03-18 SiO2 Medical Products, Inc. Saccharide protective coating for pharmaceutical package
JP6509734B2 (ja) 2012-11-01 2019-05-08 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 皮膜検査方法
EP2920567B1 (en) 2012-11-16 2020-08-19 SiO2 Medical Products, Inc. Method and apparatus for detecting rapid barrier coating integrity characteristics
US9764093B2 (en) 2012-11-30 2017-09-19 Sio2 Medical Products, Inc. Controlling the uniformity of PECVD deposition
WO2014085346A1 (en) 2012-11-30 2014-06-05 Sio2 Medical Products, Inc. Hollow body with inside coating
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US20160015898A1 (en) 2013-03-01 2016-01-21 Sio2 Medical Products, Inc. Plasma or cvd pre-treatment for lubricated pharmaceutical package, coating process and apparatus
CN110074968B (zh) 2013-03-11 2021-12-21 Sio2医药产品公司 涂布包装材料
US9937099B2 (en) 2013-03-11 2018-04-10 Sio2 Medical Products, Inc. Trilayer coated pharmaceutical packaging with low oxygen transmission rate
EP2971227B1 (en) 2013-03-15 2017-11-15 Si02 Medical Products, Inc. Coating method.
US9847222B2 (en) 2013-10-25 2017-12-19 Lam Research Corporation Treatment for flowable dielectric deposition on substrate surfaces
US20150228788A1 (en) * 2014-02-13 2015-08-13 United Microelectronics Corp. Stress memorization process and semiconductor structure including contact etch stop layer
US9209017B2 (en) 2014-03-26 2015-12-08 International Business Machines Corporation Advanced ultra low k SiCOH dielectrics prepared by built-in engineered pore size and bonding structured with cyclic organosilicon precursors
EP3693493A1 (en) 2014-03-28 2020-08-12 SiO2 Medical Products, Inc. Antistatic coatings for plastic vessels
US10049921B2 (en) 2014-08-20 2018-08-14 Lam Research Corporation Method for selectively sealing ultra low-k porous dielectric layer using flowable dielectric film formed from vapor phase dielectric precursor
KR102138676B1 (ko) * 2015-04-28 2020-07-28 미쓰이금속광업주식회사 표면 처리 구리박 및 그 제조 방법, 프린트 배선판용 동장 적층판, 및 프린트 배선판
JP2018523538A (ja) 2015-08-18 2018-08-23 エスアイオーツー・メディカル・プロダクツ・インコーポレイテッド 低酸素透過速度を有する薬剤包装及び他の包装
US10388546B2 (en) 2015-11-16 2019-08-20 Lam Research Corporation Apparatus for UV flowable dielectric
US9916977B2 (en) 2015-11-16 2018-03-13 Lam Research Corporation Low k dielectric deposition via UV driven photopolymerization
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR102392815B1 (ko) 2017-08-02 2022-05-02 삼성전자주식회사 초저유전막의 제조 방법 및 이에 의해 제조된 초저유전막

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09260369A (ja) * 1996-03-25 1997-10-03 Toshiba Corp 絶縁膜の形成方法
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
AU2814000A (en) * 1999-02-26 2000-09-14 Trikon Holdings Limited A method of processing a polymer layer
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6841256B2 (en) * 1999-06-07 2005-01-11 Honeywell International Inc. Low dielectric constant polyorganosilicon materials generated from polycarbosilanes
JP3615979B2 (ja) * 2000-01-18 2005-02-02 株式会社ルネサステクノロジ 半導体装置及びその製造方法
SG137695A1 (en) * 2000-10-25 2007-12-28 Ibm Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dieletric in a semiconductor device
US6768200B2 (en) * 2000-10-25 2004-07-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6790789B2 (en) * 2000-10-25 2004-09-14 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US6756323B2 (en) * 2001-01-25 2004-06-29 International Business Machines Corporation Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US20030087043A1 (en) 2001-11-08 2003-05-08 International Business Machines Corporation Low k dielectric film deposition process
EP1504138A2 (en) * 2002-05-08 2005-02-09 Applied Materials, Inc. Method for using low dielectric constant film by electron beam
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
US6770570B2 (en) * 2002-11-15 2004-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device with a substantially uniform density low-k dielectric layer
US7250370B2 (en) * 2003-09-19 2007-07-31 Taiwan Semiconductor Manufacturing Company, Ltd. Two step post-deposition treatment of ILD layer for a lower dielectric constant and improved mechanical properties
US20050140029A1 (en) * 2003-12-31 2005-06-30 Lih-Ping Li Heterogeneous low k dielectric
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7009280B2 (en) 2004-04-28 2006-03-07 International Business Machines Corporation Low-k interlevel dielectric layer (ILD)
US7223691B2 (en) * 2004-10-14 2007-05-29 International Business Machines Corporation Method of forming low resistance and reliable via in inter-level dielectric interconnect
US7357977B2 (en) * 2005-01-13 2008-04-15 International Business Machines Corporation Ultralow dielectric constant layer with controlled biaxial stress
US7202564B2 (en) * 2005-02-16 2007-04-10 International Business Machines Corporation Advanced low dielectric constant organosilicon plasma chemical vapor deposition films
US7253105B2 (en) * 2005-02-22 2007-08-07 International Business Machines Corporation Reliable BEOL integration process with direct CMP of porous SiCOH dielectric

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109119339A (zh) * 2018-08-26 2019-01-01 合肥安德科铭半导体科技有限公司 一种低介电常数的SiCO间隔层材料及其制备方法和应用
CN111484618A (zh) * 2019-01-28 2020-08-04 海加控股有限公司 低温等离子电场辅助合成有机硅化合物的方法和装置

Also Published As

Publication number Publication date
TWI346982B (en) 2011-08-11
US20070128882A1 (en) 2007-06-07
TW200633060A (en) 2006-09-16
KR100998809B1 (ko) 2010-12-06
JP2008530821A (ja) 2008-08-07
EP1849183A4 (en) 2010-09-01
WO2006088881A2 (en) 2006-08-24
WO2006088881A3 (en) 2007-01-18
US7202564B2 (en) 2007-04-10
KR20070104591A (ko) 2007-10-26
JP5466365B2 (ja) 2014-04-09
US20060183345A1 (en) 2006-08-17
US7494938B2 (en) 2009-02-24
CN100533681C (zh) 2009-08-26
EP1849183A2 (en) 2007-10-31

Similar Documents

Publication Publication Date Title
CN100533681C (zh) 先进的低介电常数有机硅等离子体化学汽相沉积膜
CN101138085B (zh) 电介质叠层及其形成方法
CN100378990C (zh) 低k和超低k SiCOH介质膜及其制作方法
CN100552084C (zh) 形成介电膜的方法和介电膜
EP1617957B1 (en) Method of forming an ultra low dielectric constant film
KR101006329B1 (ko) 원자외선 레이저 어닐링 및 SiCOH 박막의 안정화
US9219037B2 (en) Low k porous SiCOH dielectric and integration with post film formation treatment
US20050245096A1 (en) Method for fabricating an ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device made
US20090061237A1 (en) LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US8268411B2 (en) Materials containing voids with void size controlled on the nanometer scale
US9040411B2 (en) Advanced low k cap film formation process for nano electronic devices
WO2009032488A1 (en) Improved low k porous sicoh dielectric and integration with post film formation treatment

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant