CN101189730A - 具有增强迁移率的应变沟道的非平面体晶体管及制造方法 - Google Patents

具有增强迁移率的应变沟道的非平面体晶体管及制造方法 Download PDF

Info

Publication number
CN101189730A
CN101189730A CNA200580009823XA CN200580009823A CN101189730A CN 101189730 A CN101189730 A CN 101189730A CN A200580009823X A CNA200580009823X A CN A200580009823XA CN 200580009823 A CN200580009823 A CN 200580009823A CN 101189730 A CN101189730 A CN 101189730A
Authority
CN
China
Prior art keywords
semiconductor
semiconductor body
silicon
sidewall
face
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA200580009823XA
Other languages
English (en)
Other versions
CN101189730B (zh
Inventor
N·林德尔特
S·M·切亚
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
Intel Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Intel Corp filed Critical Intel Corp
Publication of CN101189730A publication Critical patent/CN101189730A/zh
Application granted granted Critical
Publication of CN101189730B publication Critical patent/CN101189730B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78684Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys
    • H01L29/78687Thin film transistors, i.e. transistors with a channel being at least partly a thin film having a semiconductor body comprising semiconductor materials of Group IV not being silicon, or alloys including an element of the group IV, e.g. Ge, SiN alloys, SiC alloys with a multilayer structure or superlattice structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions

Abstract

一种具有应变增强迁移率的三栅极体晶体管及其制造方法。本发明是一种具有应变增强迁移率的非平面晶体管及其制造方法。所述晶体管具有在半导体基片上形成的半导体主体,其中半导体主体具有侧向相对的侧壁上的顶面。在半导体主体的顶面和侧壁上形成半导体覆盖层。在半导体主体顶面上的半导体覆盖层上形成栅极绝缘层,并且在半导体主体的侧壁上的覆盖层上形成栅极绝缘层。在栅极绝缘层上及其周围形成具有一对侧向相对的侧壁的栅极电极。在栅极电极的相对的两侧,在半导体主体内形成一对源极/漏极区域。

Description

具有增强迁移率的应变沟道的非平面体晶体管及制造方法
发明背景
1.发明领域
本发明涉及集成电路制造领域,更具体地说,涉及应变增强迁移率的非平面体晶体管的构成及其制造方法。
2.先有技术讨论
现代集成电路,诸如微处理器,是由数亿个耦合在一起的晶体管构成的。为了改善集成电路的性能和能力,已经提出了一些新的晶体管结构。为了改善器件的性能,有人提出了非平面晶体管,诸如三栅极晶体管。在图1A和1B中,图解说明三栅极晶体管100。图1A是三栅极晶体管100的俯视/侧视图的例图,而图1B是穿过三栅极晶体管100的栅极截取的剖面图的例图。三栅极晶体管100包括硅体102,硅体102具有一对侧向相对的侧壁103和顶面104。硅体102形成在包括氧化层106的绝缘基片上,氧化层106本身形成在单晶硅基片108上。栅极绝缘层110形成在硅体102的顶面104上和侧壁103上。栅极120形成在栅极绝缘层110上并包围硅体102。一对源极/漏极区域130形成在硅体102内沿着栅极120的侧向相对的侧壁。晶体管130可以说是三栅极晶体管,因为它本质上具有3个栅极(G1,G2,G3),3个栅极(G1,G2,G3)本质上形成3个晶体管。三栅极晶体管100在硅体102的一侧103上具有第一栅极/晶体管,在硅体102的顶面104上具有第二栅极/晶体管和在硅体102的第二侧103上具有第三栅极/晶体管。每一个晶体管都提供与硅体102的侧面成正比的电流。三栅极晶体管具有吸引力,因为它们的单位面积的电流大,这提高了器件的性能。
附图的简短说明
图1A表示标准三栅极晶体管的俯视图;
图1B表示标准三栅极晶体管的剖面图;
图2是按照本发明的实施例具有应变诱生迁移率的三栅极体晶体管的例图;
图3A-3I图解说明按照本发明实施例形成具有应变增强迁移率的三栅极体晶体管的方法;
图4A-4C图解说明按照本发明实施例形成具有应变增强迁移率的三栅极体晶体管的方法;以及
图5图解说明硅块、应变硅锗半导体主体和应变硅覆盖层的晶格。
发明的详细说明
本发明的实施例是具有应变增强迁移率的非平面体晶体管及其制造方法。在以下描述中,提出了许多具体细节以便对本发明提供透彻的理解。为了避免不必要地使本发明模糊不清,在其他实例中,对众所周知的半导体处理和制造技术不再提出具体的细节。
本发明的实施例是具有应变增强迁移率的非平面体晶体管及其制造方法。本发明的实施例包括半导体主体,它使在半导体主体上形成或包围它的覆盖层处于应变之下。处于应变下的覆盖层增大器件中载流子的迁移率,这增大了器件的电流,从而可以用来改善电路速度。
图2中图解说明具有应变增强迁移率的非平面或三栅极体晶体管200的示例。晶体管200形成在体半导体基片202上。在本发明的实施例中,基片202是单晶硅基片。在半导体基片202中形成的是一对彼此隔开的隔离区204,诸如浅沟槽隔离(STI)区,所述隔离区限定它们之间的基片的有源区206。但是,基片202不必是硅单晶基片而可以是其它类型的基片,诸如(但不限于)锗(Ge)、硅锗(SixGey)、砷化镓(GaAs),InSb,GaP和GaSb。对于n型器件,有源区206一般掺杂到1×1016至1×1019原子/cm3之间的p型导电级,而对于p型器件,则掺杂至1×1016与1×1019原子/cm3之间的n型导电级。在本发明的其他实施例中,有源区206可以是未掺杂的半导体、诸如本征或未掺杂的硅单晶基片。
晶体管200具有在体基片202的有源基片区206上形成的半导体主体208。半导体主体208具有顶面209和一对侧向相对的侧壁211。顶面209和在半导体基片206上形成的底部表面相隔一个定义体高度的距离。半导体主体208的侧向相对的侧壁211彼此隔开定义所述体宽度的距离。半导体主体208是单晶半导体薄膜。在本发明的实施例中,半导体主体208是由不同于用于形成体基片202的半导体的半导体材料形成。在本发明的实施例中,半导体主体208是由具有不同于体半导体基片202的晶格常数或尺寸的单晶半导体形成的,使得半导体主体208被置于应变之下。在本发明的实施例中,体半导体基片是单晶硅基片,而半导体主体208是单晶的硅-锗合金。在本发明的实施例中,硅锗合金包括5-40%之间的锗,而理想的是,大约15-25%之间的锗。
在本发明的实施例中,体半导体基片202是单晶硅基片,而半导体主体208是硅碳合金。
在本发明的实施例中,这样形成体半导体208,使得其厚度小于半导体主体208的外表面将导致晶格弛豫的数量。在本发明的实施例中,半导体主体208形成得其厚度在100-2000
Figure A20058000982300101
之间,更具体地说,在200-1000
Figure A20058000982300102
之间。在本发明的实施例中,半导体主体208的厚度和高度大约相同。
在本发明的实施例中,半导体主体208的宽度是体208高度一半至体208高度两倍之间。在本发明的实施例中,对于n型半导体器件,半导体主体208掺杂为浓度在1×1016至1×1019原子/cm3之间的p型导电性,而对于p半导体器件型,掺杂为浓度在1×1016至1×1019原子/cm3之间的n型导电性。在本发明的实施例中,半导体主体208是本征半导体,诸如未掺杂的或本征硅薄膜。
晶体管200包括在半导体主体208的侧壁211上以及在半导体主体208的顶面209上形成的半导体覆盖层210。半导体覆盖层210是单晶半导体薄膜。在本发明的实施例中,半导体覆盖层210是由具有不同于半导体主体208的晶格常数的半导体材料形成的,使得在覆盖层中形成应变。在本发明的实施例中,覆盖层具有拉伸应变。拉伸应变被认为改善了电子的迁移率。在本发明的实施例中,覆盖层具有压缩应变。压缩应变被认为改善了空穴的迁移率。在本发明的实施例中,电流在覆盖层210中在垂直于应变的方向上流动。在本发明的实施例中,半导体主体208的侧壁211上的覆盖层210中的应变大于半导体主体208的顶面209上的覆盖层210中的应变。
在本发明的实施例中,半导体覆盖层210是单晶硅薄膜。在本发明的实施例中,覆盖层210是在硅-锗合金体208上形成的单晶硅薄膜。在硅-锗合金半导体主体208上形成的单晶硅薄膜将使单晶硅薄膜具有拉应力。在本发明的实施例中,覆盖层210是在硅碳合金半导体主体208上形成的单晶硅薄膜。在硅碳合金半导体主体208上形成的单晶硅覆盖层210将使单晶硅薄膜210具有压应力。
在本发明的实施例中,这样形成半导体覆盖层210,使得其厚度小于使单晶薄膜的晶格弛豫的数量。在本发明的实施例中,这样形成半导体覆盖层210,使得其厚度在50-300
Figure A20058000982300111
之间。在本发明的实施例中,半导体主体208侧壁211上的覆盖层的厚度与半导体主体208的顶面209上的覆盖层210的厚度相同,如在图2图解说明的。在本发明的实施例中,这样形成半导体覆盖层210,使得半导体主体208顶面上的厚度大于侧壁211上的厚度,例如,在图4C中所表示的。
晶体管200包括栅极绝缘层212。在形成于半导体主体208的侧壁211上的覆盖层210上形成栅极绝缘层212,并在形成于半导体主体208的顶面209上的半导体覆盖层210上形成栅极绝缘层212。栅极绝缘层210可以是任何众所周知的栅极绝缘层。在本发明的实施例中,栅极绝缘层是二氧化硅(SiO2)、氮氧化硅(SiOxNy)或氮化硅(Si3N4)绝缘层。在本发明的实施例中,栅极绝缘层212是氮氧化硅薄膜,其厚度在5-20
Figure A20058000982300121
之间。在本发明的实施例中,栅极绝缘层212是高K栅极绝缘层,诸如金属氧化物电介质,诸如(但不限于)五氧化钽(Ta2O5)、氧化钛(TiO2)、氧化铪(HfO)和氧化锆(ZrO)。但是,栅极绝缘层212可以是其它类型的高K电介质,诸如(但不限于)PZT和BST。
晶体管200包括栅极214。在栅极绝缘层212上并围绕它形成栅极214,如图2所示。在形成于半导体主体208的侧壁211上的覆盖层210上形成的栅极绝缘层212上及其附近形成栅极214,并且在形成于半导体主体208的顶面209上的覆盖层210上形成的栅极绝缘层212上形成栅极214,并且在形成于栅极电极208的侧壁211上的覆盖层210上形成的栅极绝缘层212上或其附近形成栅极214,如图2所示。栅极214具有一对侧向相对的侧壁216,所述一对侧向相对的侧壁216隔开定义晶体管200的栅极长度(Lg)的距离。在本发明的实施例中,栅极214的侧向相对的侧壁216在垂直于半导体主体208的侧向相对的侧壁211的方向延伸。栅极214可以由任何适当的栅极材料形成。在本发明的实施例中,栅极214包括掺杂至浓度在1×1019至1×1020原子/cm3之间的多晶硅薄膜。对于n型器件,栅极214可以掺杂为n型导电性,而对于p型器件,掺杂为p型导电性。在本发明的实施例中,栅极可以是金属栅极。在本发明的实施例中,栅极214由金属薄膜形成,所述金属薄膜具有适合于(tailored for)n型器件的逸出功,诸如3.9电子伏至4.2电子伏之间的逸出功。在本发明的实施例中,栅极214由金属薄膜形成,所述金属薄膜具有适合于(tailored for)p型器件的逸出功,诸如4.9电子伏至5.2电子伏之间的逸出功。在本发明的实施例中,栅极214由具有4.6至4.8电子伏之间的中间能阶(midgap)逸出功的材料形成。中间能阶逸出功对当半导体主体208和覆盖层210是本征半导体薄膜时的应用是理想的。下面将指出,栅极214不必是单一材料的,可以是薄膜组合堆叠,诸如(但不限于)多晶硅/金属电极或金属多晶硅电极。
晶体管200具有一对在半导体主体208上以及在栅极214的侧向相对的侧壁216的相对的两侧上的覆盖层上形成的源极/漏极区域,如图2所示。当形成n型器件时,源极/漏极区域218掺杂为n型导电性,而当形成p型器件时,掺杂为p型导电性。在本发明的实施例中,源极/漏极区域具有1×1019至1×1021原子/cm3之间的掺杂浓度。源极/漏极区域218可以由均匀的浓度形成,或者可以包括不同浓度的或不同掺杂分布的子区域,诸如触点(tip)区域(例如,源极/漏极扩展)。在本发明的实施例中,当晶体管200是对称的晶体管时,源极和漏极区域将具有相同的掺杂浓度分布。在本发明的实施例中,晶体管200是不对称晶体管,源极区域和漏极区域可以改变,以便获得特定的电气特性。
半导体主体208和覆盖层210的位于源极/漏极区域216之间并且在栅极214之下的所述部分形成晶体管的沟道区域。所述沟道区域也可以定义为半导体主体208和覆盖层210的被栅极214包围的区域。源极/漏极区域一般通过例如扩散略微扩展到栅极下面,以便形成略微小于栅极长度(Lg)的沟道区域。当晶体管300”导通”时,在器件的沟道区域中形成反型层,所述反型层形成导电沟道,使电流能够在源极/漏极区域340之间流动。在半导体主体208的侧壁211上的覆盖层表面上以及在半导体主体208的顶面209上的覆盖层的表面上形成反型层或导电沟道。
通过提供在3个侧面上包围半导体主体208和覆盖层210的栅极绝缘层212和栅极214,所述非平面晶体管具有以下特征:所述非平面晶体管具有3个沟道和3个栅极,其中一个栅极(G1)延伸在半导体主体208的一个侧面211上的源极/漏极区域之间,第二栅极(G2)延伸在半导体主体208的顶面209上的源极/漏极区域之间,而第三栅极(G3)延伸在半导体主体208的侧壁211上的源极/漏极区域之间。晶体管200的栅极的″宽度″(Gw)是3个沟道区域的和。就是说,晶体管200的栅极宽度等于半导体主体208的高度加上侧壁211的顶面上覆盖层的厚度、加上半导体主体208的宽度、加上半导体主体的两侧211中的每一侧上覆盖层的厚度、加上半导体主体208的顶面209上覆盖层210的厚度。可以利用由单个栅极包围的多个半导体主体208和覆盖层获得″宽度″较大的晶体管,诸如在图3I中图解说明的。
尽管在图2中图解说明了三栅极晶体管200,但是本发明同样地可以应用于其它非平面晶体管。例如,本发明可以应用于″finfet″或双栅极晶体管或仅仅在半导体主体的相对的两侧上形成两个栅极。另外,本发明可应用于″omega″栅极或环绕栅极器件,其中栅极环绕半导体主体以及在半导体主体的一部分的下面。可以通过包括在半导体主体208上形成的覆盖层210来改善″finfet″器件和″omega″器件的性能,并以此增强器件内载流子的迁移率。下面将指出,非平面器件是一种这样的器件,当”导通”时,在垂直于基片平面的方向上形式导电沟道或导电沟道的一部分。非平面晶体管也可以是一种这样的器件,其中既在水平方向又在垂直方向形成导电沟道区域。
图3A-3I图解说明按照本发明实施例的具有应变增强迁移率的非平面体晶体管的形成方法。首先,如图3A所示,提供半导体基片300。在本发明的实施例中,半导体基片300是单晶硅基片。基片300不必是硅基片,而可以是其它类型的基片,诸如硅锗基片、锗基片、硅锗合金、砷化镓、InSb和GaP。在本发明的实施例中,半导体基片300是本征(亦即,未掺杂的)硅基片。在本发明的其他实施例中,半导体基片300掺杂至浓度在1×1016至1×1019原子/cm3之间的p型导电性或n型导电性。接着,在基片300上形成具有掩模部分302的掩模,所述掩模部分302用于形成各隔离区,如图3A所示。在本发明的实施例中,所述掩模是抗氧化掩模。在本发明的实施例中,掩模部分302包括薄的衬垫氧化层304和较厚的氮化硅或抗氧化层306。掩模部分302在基片300限定要在其中形成晶体管体的有源区308。掩模部分302可以通过淀积衬垫氧化层,然后在基片300上面形成衬垫氮化硅层而形成。接着,使用众所周知的光刻法技术,对要在其中形成掩模部分302的位置上面的光刻胶掩模层进行遮蔽、曝光和显影。然后与所形成的光刻胶掩模对准,蚀刻氮化物薄膜306和衬垫氧化层304,以便形成掩模部分302,如图3A所示。
在本发明的实施例中,掩模部分302具有宽度(W1),宽度(W1)是最小宽度或最小特征尺寸(亦即,关键尺寸(CD)),可以在制造晶体管时利用光刻法来形成宽度(W1)。另外,在本发明的实施例中,各掩模部分302彼此隔开距离D1,距离D1是在制造过程中可以利用光刻法限定的最小距离。就是说,掩模部分302具有可以是可靠的并能利用制造晶体管用的光刻法处理达到的最小尺寸并彼此隔开最小尺寸(亦即,关键尺寸)。这样,将掩模部分302定义为具有能够利用制造晶体管用的光刻工艺过程实现的最小尺寸和最大密度。
在本发明的实施例中,掩模部分302具有厚度(T1),所述厚度(T1)等于或大于随后形成的半导体主体所要求的厚度或高度。
接着,如图3B所示,以与掩模部分302的外边缘对齐的方式蚀刻半导体300的各曝露部分,以便形成沟槽开口310。将所述沟槽开口蚀刻到足以将相邻的晶体管彼此隔离的深度。
接着,如图3C所示,用绝缘层312填充沟槽,以便在基片300中形成浅沟槽隔离(STI)区域312。在本发明的实施例中,所述绝缘层是通过首先在沟槽310的侧壁底部生长薄的衬垫氧化物而形成的。接着,例如,通过利用高密度等离子体(HDP)化学气相淀积处理,在衬垫氧化物上面覆盖淀积氧化物绝缘层,来填充沟槽312。还将在掩模部分302的顶部上形成填充绝缘层。然后可以通过例如化学机械抛光从掩模部分302的顶部除去填充绝缘层。继续所述化学机械抛光处理直到掩模部分302的顶面露出,使浅槽隔离区312的顶面基本上与掩模部分302的顶面同平面为止,如图3C所示。
尽管浅槽隔离区用于本发明是理想的,但是也可以利用其它众所周知的隔离区和技术,诸如硅的局部氧化(LOCOS)或凹槽LOCOS。
接着,如图3D所示,从基片300除去掩模部分302,以便形成半导体主体开口314。首先,利用蚀刻剂除去氮化硅部分306,所述蚀刻剂把抗氧化部分或氮化硅部分306蚀刻掉,而基本上不蚀刻隔离区312。除去氮化硅部分306之后,除去衬垫氧化物部分304。例如,可以利用包括氟氢酸(HF)的湿蚀刻剂除去衬垫氧化物部分304。除去掩模部分302形成具有基本上垂直的侧壁的半导体主体开口或沟槽314。所述垂直侧壁使半导体主体能够在沟槽内生长,并限于其中,以便能够形成带有几乎垂直的侧壁的半导体主体。
接着,如图3E所示,在开口314中形成半导体主体薄膜316,如图3E所示。在本发明的实施例中,半导体主体薄膜316是外延半导体薄膜。在本发明的实施例中,当需要应变增强半导体器件时,由单晶半导体薄膜形成半导体薄膜,所述单晶半导体薄膜具有与在其上生长该单晶半导体薄膜的底层半导体基片的不同的晶格常数或不同的晶格尺寸,使得所述半导体薄膜处于应变下。在本发明的实施例中,单晶硅薄膜316具有比底层半导体基片300大的晶格常数或晶格尺寸。在本发明的实施例中,单晶半导体薄膜316具有比底层半导体基片300小的晶格尺寸或常数。
在本发明的实施例中,半导体薄膜316是在硅单晶基片300上选择性地生长的外延硅锗合金薄膜。可以利用包括二氯硅烷(DCS)、H2、锗烷(GeH4)和HCI的淀积气体选择性地在外延反应器中生长硅锗合金。在本发明的实施例中,硅锗合金包括5-40%之间的锗,而理想的是15-25%之间的锗。在本发明的实施例中,外延半导体薄膜316是在硅基片300上形成的单晶硅碳合金。把单晶半导体薄膜316淀积至半导体主体的厚度所要求的厚度。在本发明的实施例中,把单晶半导体薄膜316生长或淀积至小于隔离区312顶面的高度的厚度。这样,隔离区312限定沟槽内的半导体薄膜316,使得形成带有几乎垂直侧壁的半导体薄膜。作为另一方案,可以在基片300上面覆盖淀积包括沟槽314内和隔离区312顶部上的半导体薄膜316,然后对其进行深抛光(polish back),以便从隔离区顶部除去半导体薄膜316,只剩下在沟槽314内的半导体薄膜316,如图3E所示。
在本发明的实施例中,半导体薄膜316是未掺杂的或本征半导体薄膜。在本发明的实施例中,制造p型器件时,把半导体薄膜316掺杂至浓度在1×1016至1×1019原子/cm3之间的n型导电性。在本发明的实施例中,制造n型器件时,把半导体薄膜316掺杂至浓度在1×1016至1×1019原子/cm3之间的p型导电性。可以在″原位″处理中的淀积过程中通过在淀积处理气体混合物中包括掺杂剂气体来对半导体薄膜316进行掺杂。作为另一方案,可以随后通过例如离子注入或热扩散来对半导体薄膜316进行掺杂,以便形成掺杂半导体薄膜316。
接着,深蚀刻隔离区312或使之凹进,以便暴露半导体薄膜316的侧壁320,并以此形成半导体主体318,如图3F所示。半导体主体318具有几乎垂直的侧壁320,因为在淀积过程中半导体薄膜316侧向被隔离区312限制。利用不明显蚀刻半导体薄膜316的蚀刻剂深蚀刻隔离区312。当半导体薄膜316是硅或硅合金时,可以利用包括HF的湿蚀刻剂使隔离区312凹进。在本发明的实施例中,深蚀刻隔离区至这样一个水平,使得它们基本上与在半导体基片300上形成的有源区308的顶面同平面,如图3F所示。
接着,如图3G所示,在半导体主体318的顶面319和侧壁320上形成半导体覆盖层322。半导体覆盖层322是单晶半导体薄膜。在本发明的实施例中,半导体覆盖层322是由具有不同于半导体主体318的晶格常数或尺寸的材料形成的。在本发明的实施例中,半导体覆盖层322是单晶硅薄膜。在本发明的实施例中,半导体覆盖层322是在硅锗合金体318上形成的单晶硅薄膜。在本发明的实施例中,半导体覆盖层322是在硅碳合金半导体主体318上形成的单晶硅薄膜。可以利用包括DCS、HCI和H2的处理气体选择性地在外延淀积反应器中淀积单晶硅覆盖层322。在本发明的实施例中,这样形成半导体覆盖层322,使得其厚度小于在半导体覆盖层322内导致显著弛豫的数量。在本发明的实施例中,这样形成半导体覆盖层322,使得其厚度在晶体管”导通”时足以在覆盖层内形成整个反型层。在本发明的实施例中,这样形成半导体覆盖层322,使得其厚度在50-300
Figure A20058000982300181
之间。在本发明的实施例中,半导体覆盖层322是未掺杂的或本征半导体薄膜。在本发明的实施例中,在形成p型器件时把半导体覆盖层322掺杂成1×1016至1×1019原子/cm3之间的n型导电性,而当形成n型器件时,掺杂至1×1016至1×1019原子/cm3之间的p型导电性。在本发明的实施例中,半导体覆盖层322在原位淀积处理中掺杂。作为另一方案,可以通过其它众所周知的技术,诸如通过离子注入或固态源扩散来对覆盖层322进行掺杂。
接着,如图3H所示,在形成于半导体主体318的侧壁320上的覆盖层322上形成栅极绝缘薄膜324,并且在形成于半导体主体318的顶面319上覆盖层322上形成栅极绝缘薄膜324,如图3H所示。在本发明的实施例中,栅极绝缘层324是生长栅极绝缘层,诸如(但不限于)二氧化硅层、氮氧化硅层或它们的组合。可以利用众所周知的干/湿氧化处理在半导体覆盖层上生长氧化硅或氮氧化硅层。当生长栅极绝缘层324时,将仅仅在包含诸如覆盖层322等区域的半导体上而不在隔离区312上形成栅极绝缘层324。作为另一方案,栅极绝缘层324可以是淀积绝缘层。在本发明的实施例中,栅极绝缘层324是高K栅极绝缘层,诸如金属氧化物绝缘层,诸如(但不限于)氧化铪、氧化锆、氧化钽和氧化钛。可以通过众所周知的技术(诸如化学气相淀积或溅射淀积)淀积高K氧化物绝缘层。当淀积栅极绝缘层324时,还将在隔离区312上形成栅极绝缘层324。
接着,如图3H所示,在基片300上面覆盖淀积栅极材料326,使得栅极材料326淀积在栅极绝缘层324上并围绕栅极绝缘层324。就是说,在形成于半导体主体318的顶面上的覆盖层322上形成的栅极绝缘层324上淀积所述栅极材料,并且在形成于半导体主体318的侧壁320上的覆盖层322上或其附近形成所述栅极材料。在本发明的实施例中,栅极材料326是多晶硅。在本发明的实施例中,栅极材料326是金属薄膜。在本发明的实施例中,栅极材料326是具有适合于n型器件的逸出功的金属薄膜,而在本发明的实施例中,栅极材料是具有适合于p型器件的逸出功的金属薄膜。这样形成栅极材料326,使得其厚度足以完全覆盖或包围半导体主体318、覆盖层322和栅极绝缘层324,如图3H所示。
接着,如图3I所示,通过众所周知的技术使栅极材料326和栅极绝缘层324具有图案,以便形成栅极电极330和栅极绝缘层328。可以利用众所周知光刻法和蚀刻技术使栅极材料326和栅极绝缘层324具有图案。栅极电极330具有一对侧向相对的侧壁332,它们定义所述器件的栅极长度。在本发明的实施例中,侧向相对的侧壁332在垂直于半导体主体318的方向上延伸。尽管图中示出用于形成栅极电极330的腐蚀法,但是其它众所周知的技术,诸如置换栅极处理都可以用来形成栅极电极330。
接着,还如图3I所示,在栅极电极330的相对的两侧,在覆盖层332和半导体主体318中形成一对源极/漏极区域340。形成n型器件时,可以把源极/漏极区域形成为具有1×1020至1×1021原子/cm3之间浓度的n型导电性。在本发明的实施例中,形成p型器件时,可以把源极/漏极区域形成为具有1×1020至1×1021原子/cm3之间浓度的p型导电性。任何众所周知的技术,诸如离子注入或热扩散,都可以用来形成源极/漏极区域。使用离子注入时,栅极电极可以用来把晶体管的沟道区域与离子注入处理隔开,从而使源极/漏极区域340与栅极电极330自对准。另外,必要时源极/漏极区域可以包括子区域,诸如源极/漏极扩展和源极/漏极触点区域。包括垫片形成的众所周知的工艺过程可以用来形成所述子区域。另外,必要时可以在源极/漏极区域340上和在栅极电极330的顶部上形成硅化物,以便进一步减小电接触电阻。这便完成了具有应变增强迁移率的非平面体晶体管的制造。
众所周知的″后端″技术可以用来形成金属触点、金属化层和夹层介质,以便使不同的晶体管互联在一起,变成功能集成电路,诸如微处理器。
本发明宝贵的方面是覆盖层增大了晶体管的栅极宽度。这样,可以用最小特征尺寸和间隔来形成半导体主体,然后可以在按最小尺寸限定的半导体主体上并围绕它形成覆盖层,以便增大器件的栅极宽度。这增大了器件的单位面积的电流,改善器件的性能。在按最小尺寸限定和隔开的特征上形成覆盖层把按最小尺寸彼此隔开的所述主体之间的距离减小到小于所述关键尺寸或者小于利用用来形成所述器件的光刻工艺过程可实现的尺寸。这样,覆盖层的形成使每一个半导体主体能够实现较大的栅极宽度,同时仍旧利用所述最小关键尺寸(CD)和间隔来限定所述主体。利用覆盖层来增大栅极宽度即使在不要求或要求应力增强迁移率的用途上也是宝贵的。本发明的实施例本身包括这样的用途,例如,其中在以最小尺寸彼此隔开的硅主体上形成硅覆盖层,以便增大所制造的晶体管的栅极宽度。另外,使用覆盖层来增大单位面积的栅极宽度在非体器件中,诸如在绝缘的基片(诸如在绝缘体基外延硅(SOI)基片)上形成的三栅极或非平面器件中也是有用的。
在本发明各实施例中,半导体薄膜的堆叠(亦即,体半导体300、半导体主体318和覆盖层322)是设计来在覆盖层322中产生高应变的,这使载流子迁移率激烈增大。图5图解说明块硅单晶硅基片、硅锗合金半导体主体320和硅覆盖层322如何可以在硅覆盖层322中产生高的拉应力。当在单晶基片300(图3E)上生长外延硅锗合金薄膜316时,硅锗薄膜318的平行于硅单晶基片300的表面的平面502的晶格常数与体硅基片300的硅晶格匹配。由于硅锗外延薄膜316的四边形畸变的缘故,硅锗合金316的垂直于硅基片表面的平面504的晶格常数大于与硅基片300平行的平面502。一旦使隔离区312凹进(图3F)以便形成硅锗体318,顶部319上的硅锗晶格将膨胀,而两侧上的晶格常数将由于自由表面的存在而收缩。一般,硅锗合金318的侧壁320上的晶格常数将大于硅锗合金的顶面319上的晶格常数,这将大于硅单晶基片上硅锗合金的晶格常数。当在应变硅锗合金(图3G)上生长硅覆盖层322时,硅锗合金318将把它的延长的垂直晶胞尺寸504强制加在硅覆盖层322已经较小的晶胞尺寸上,在SiGe主体318的侧壁上产生正交的应变硅覆盖层322。因而,在硅锗合金的侧壁322上形成的硅覆盖层将呈现相当大的拉伸应变和在硅锗合金的顶面319上较低的但是显著的拉伸应变。在硅覆盖层322产生的应变是在垂直于流入器件的电流的方向上的。
图4A-4C图解说明形成具有应变增强迁移率的非平面体晶体管的方法,其中这样形成覆盖层,使得在半导体主体的顶面上比在侧壁上厚。如在图4A图解说明的,在隔离区312之间生长半导体主体薄膜316,如参照图3E所描述的。但是,在所述实施例中,在使隔离区312凹陷之前在半导体主体316上生长覆盖层的第一部分410。在本发明的实施例中,形成比半导体主体318所需的厚的氮化硅层306,以便提供附加的空间,使得能够在沟槽310内生长半导体覆盖层的第一部分410。这样,可以把覆盖层410的第一部分限制在隔离区312内。形成覆盖层的第一部分410之后,使隔离区312凹进,如上面所描述的,以便形成具有在顶面上形成的覆盖层410的半导体主体318,如图4B所示。接着,如图4C所示,在半导体主体318的侧壁320上并且在形成于半导体主体320的顶面319上的覆盖层的第一部分410上生长所述覆盖层的第二部分412。在本发明的实施例中,这样形成半导体覆盖层410,使得其厚度基本上等于覆盖层412的第二部分的厚度。这样,当形成基本上正方形的半导体主体318时,半导体主体318加上覆盖层将仍旧提供一个基本上正方形的覆盖体。接着,如在图3H和31图解说明的,可以继续进行处理,以便完成具有应变增强迁移率的非平面体晶体管的制造。

Claims (42)

1.一种半导体器件包括:
半导体基片上的半导体主体,所述半导体主体具有顶面和侧向相对的侧壁;
半导体覆盖层,它形成在所述半导体主体的所述顶面上和所述侧壁上;
栅极绝缘层,它形成在所述半导体主体的所述顶面和所述侧壁上的所述半导体覆盖层上;
栅极电极,它具有在所述栅极绝缘层上并围绕所述栅极绝缘层而形成的一对侧向相对的侧壁;以及
在所述栅极电极的相对的两侧上,在所述半导体主体内形成的一对源极/漏极区域。
2.如权利要求1所述的半导体器件,其中所述半导体覆盖层具有拉应力。
3.如权利要求2所述的半导体器件,其中所述半导体覆盖层在所述半导体主体的所述侧壁上具有比在所述半导体主体的所述顶面上大的拉应力。
4.如权利要求2所述的半导体器件,其中所述源极/漏极区域具有n型导电性。
5.如权利要求1所述的半导体器件,其中所述半导体基片是硅基片,其中所述半导体主体是硅锗合金,并且其中所述半导体覆盖层是硅薄膜。
6.如权利要求1所述的半导体器件,其中所述半导体覆盖层具有压应力。
7.如权利要求6所述的半导体器件,其中所述半导体覆盖层在所述半导体主体的所述侧面上具有比在所述顶面上大的压应力。
8.如权利要求6所述的半导体器件,其中所述半导体基片是单晶硅基片,其中所述半导体主体包括硅碳合金,并且其中所述半导体覆盖层是硅薄膜。
9.如权利要求1所述的半导体器件,其中所述半导体基片是硅基片,其中所述半导体主体是硅体,并且其中所述半导体覆盖层是硅覆盖层。
10.一种半导体器件包括;
硅锗体,它形成在硅单晶基片上,所述硅锗体具有顶面和一对侧向相对的侧壁;
硅薄膜,它形成在所述硅锗体的所述顶面和所述侧壁上;
栅极绝缘层,它形成在所述半导体主体的所述顶面上的所述硅薄膜上和所述半导体主体的所述侧壁上的所述硅薄膜上;
栅极电极,它具有在所述栅极绝缘层上并围绕所述栅极绝缘层而形成的一对侧向相对的侧壁;以及
在所述栅极电极的相对的两侧上,在所述半导体主体内形成的一对源极/漏极区域。
11.如权利要求10所述的半导体器件,其中这样形成所述硅薄膜,使得所述硅薄膜在所述半导体主体的顶面上比在所述半导体主体侧壁上厚。
12.如权利要求10所述的半导体器件,其中所述硅薄膜具有
Figure A2005800098230003C1
之间的厚度。
13.如权利要求10所述的半导体器件,其中所述硅锗合金包括5-40%之间的锗。
14.如权利要求13所述的半导体器件,其中所述硅锗合金包括大约15-25%的锗。
15.如权利要求10所述的半导体器件,其中所述源极/漏极区域具有n型导电性。
16.一种半导体器件包括:
硅碳合金体,它形成在硅单晶基片上,所述硅碳合金体具有顶面和一对侧向相对的侧壁;
硅薄膜,它形成在所述硅碳合金体的所述顶面和所述侧壁上;
栅极绝缘层,它形成在所述硅碳合金体的所述顶面的所述硅薄膜上和所述硅碳合金体的所述侧壁上的所述硅薄膜上;
栅极电极,它具有在所述栅极绝缘层上并且围绕所述栅极绝缘层而形成的一对侧向相对的侧壁;以及
在所述栅极电极的相对的两侧,在所述半导体主体内形成的一对源极/漏极区域。
17.如权利要求16所述的半导体器件,其中将所述硅薄膜形成到之间的厚度。
18.如权利要求17所述的半导体器件,其中所述硅薄膜具有
Figure A2005800098230004C2
之间的厚度。
19.如权利要求16所述的半导体器件,其中所述源极/漏极区域具有p型导电性。
20.一种形成半导体器件的方法包括:
在半导体基片中形成一对隔离区,所述一对隔离区在所述半导体基片中在所述一对隔离区之间限定有源基片区,所述隔离区延伸在所述基片上面;
在所述半导体基片的所述有源区上,在所述一对隔离区之间形成半导体薄膜;
对所述隔离区进行深蚀刻,以便由所述半导体薄膜形成半导体主体,其中所述半导体主体具有顶面和一对侧向相对的侧壁;
在所述半导体主体的所述顶面和所述侧壁上形成半导体覆盖层;
在形成于所述半导体主体的所述顶面的所述侧壁上的所述覆盖层上面形成栅极绝缘层;
形成栅极电极,所述栅极电极具有在所述栅极绝缘层上并围绕所述栅极绝缘层的一对侧向相对的侧壁;以及
在所述栅极电极的相对的两侧,在所述半导体主体内形成一对源极/漏极区域。
21.如权利要求20所述的方法,其中所述半导体薄膜是选择性地从所述半导体基片的所述有源区生长的。
22.如权利要求20所述的方法,其中所述覆盖层是选择性地从所述半导体主体生长的。
23.如权利要求20所述的方法,其中利用湿蚀刻剂对所述隔离区进行深蚀刻。
24.如权利要求20所述的方法,其中所述半导体覆盖层具有拉应力。
25.如权利要求24所述的方法,其中所述半导体覆盖层在所述半导体主体的所述侧壁上比在所述半导体主体的所述顶面上具有较大的拉应力。
26.如权利要求24所述的方法,其中所述源极/漏极区域具有n型导电性。
27.如权利要求20所述的方法,其中所述半导体基片是硅基片,其中所述半导体主体是硅锗合金,并且其中所述半导体覆盖层是硅。
28.如权利要求20所述的方法,其中所述半导体覆盖层具有压应力。
29.如权利要求28所述的方法,其中所述半导体覆盖层在所述半导体主体的所述侧壁上比在所述半导体主体的顶面上具有较大的压应力。
30.如权利要求28所述的方法,其中所述半导体基片是单晶硅基片,其中所述半导体主体包括硅碳合金,并且其中所述半导体覆盖层是外延硅。
31.如权利要求28所述的方法,其中所述源极/漏极区域具有p型导电性。
32.一种形成半导体器件的方法包括:
在半导体基片中形成一对彼此隔开的隔离区,所述彼此隔开的隔离区在所述基片中限定有源基片区,其中所述隔离区在所述有源基片区上面延伸;
在所述隔离区之间在所述基片的所述有源区上形成半导体薄膜;
在所述隔离区之间在所述半导体薄膜的所述顶面上形成第一覆盖层;
对所述隔离区进行深蚀刻,以便形成具有带有所述第一覆盖层的顶面和一对侧向相对的侧壁的半导体主体;
在所述半导体主体的所述顶面上的所述第一覆盖层上以及在所述半导体主体的所述侧壁上形成第二覆盖层;
在所述半导体主体的所述第一覆盖层上的所述第二覆盖层上以及在所述半导体主体的所述侧壁上的所述第二覆盖层上形成栅极绝缘层;
在所述栅极绝缘层上并围绕所述栅极绝缘层形成具有一对侧向相对的侧壁的栅极电极;以及
在所述栅极电极的相对的两侧,在所述半导体主体内形成一对源极/漏极区域。
33.如权利要求32所述的方法,其中所述第一和第二覆盖层是外延硅,并且其中所述半导体主体是硅锗合金,并且其中所述半导体基片是硅单晶基片。
34.如权利要求32所述的方法,其中所述第一和第二覆盖层是外延硅,其中所述半导体主体是硅碳合金,并且其中所述半导体基片是硅单晶基片。
35.如权利要求32所述的方法,其中所述第一和第二半导体覆盖层具有拉应力。
36.如权利要求32所述的方法,其中所述第一和第二半导体覆盖层具有压应力。
37.如权利要求32所述的方法,其中所述半导体薄膜具有不同于所述半导体基片的晶格结构,使得所述半导体薄膜具有在其中形成的应力。
38.一种形成半导体器件的方法包括:
在基片上形成第一半导体主体和第二半导体主体,所述第一和所述第二半导体主体各自具有顶面和一对侧向相对的侧壁,所述第一半导体主体和所述第二半导体主体相隔一段距离;
在所述第一和所述第二半导体主体的所述侧壁和所述顶面上形成半导体覆盖层;
在所述第一和所述第二半导体主体的所述顶面和所述侧壁上形成栅极绝缘层;以及
在所述第一和第二半导体主体的所述顶面上的所述栅极绝缘层上以及在所述第一和第二半导体主体的所述侧壁上的所述栅极绝缘层旁边形成栅极电极。
39.如权利要求38所述的方法,其中利用光刻工艺过程限定所述半导体主体,并且其中隔开所述第一和第二主体的所述距离是所述光刻工艺过程可以达到的最小尺寸。
40.如权利要求39所述的方法,其中所述第一和第二半导体主体具有等于所述光刻工艺过程可以限定的最小尺寸的宽度。
41.如权利要求38所述的方法,其中所述半导体主体是外延硅薄膜并且其中所述半导体覆盖层是外延硅薄膜。
42.如权利要求38所述的方法,其中所述半导体主体是外延硅锗合金薄膜并且其中所述半导体覆盖层是外延硅薄膜。
CN200580009823XA 2004-03-31 2005-03-28 具有增强迁移率的应变沟道的非平面体晶体管及制造方法 Expired - Fee Related CN101189730B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/816,311 2004-03-31
US10/816,311 US7154118B2 (en) 2004-03-31 2004-03-31 Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
PCT/US2005/010505 WO2005098963A1 (en) 2004-03-31 2005-03-28 A bulk non-planar transistor having a strained channel with enhanced mobility and methods of fabrication

Publications (2)

Publication Number Publication Date
CN101189730A true CN101189730A (zh) 2008-05-28
CN101189730B CN101189730B (zh) 2011-04-20

Family

ID=34964024

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200580009823XA Expired - Fee Related CN101189730B (zh) 2004-03-31 2005-03-28 具有增强迁移率的应变沟道的非平面体晶体管及制造方法

Country Status (6)

Country Link
US (3) US7154118B2 (zh)
KR (1) KR100845175B1 (zh)
CN (1) CN101189730B (zh)
DE (1) DE112005000704B4 (zh)
TW (1) TWI269358B (zh)
WO (1) WO2005098963A1 (zh)

Cited By (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102832236A (zh) * 2011-06-16 2012-12-19 台湾积体电路制造股份有限公司 应变沟道的场效应晶体管
CN103117305A (zh) * 2011-11-16 2013-05-22 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应管及其基体
CN103311296A (zh) * 2012-03-08 2013-09-18 台湾积体电路制造股份有限公司 具有高迁移率和高能带隙材料的半导体结构及方法
CN103325833A (zh) * 2012-03-21 2013-09-25 三星电子株式会社 场效应晶体管以及包括其的半导体器件和集成电路器件
CN103426765A (zh) * 2012-05-24 2013-12-04 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
CN103515430A (zh) * 2012-06-19 2014-01-15 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN103531477A (zh) * 2012-07-05 2014-01-22 台湾积体电路制造股份有限公司 具有位于下方的嵌入式抗穿通层的FinFET方法和结构
CN103715198A (zh) * 2012-10-04 2014-04-09 闪矽公司 三维单浮栅非易失性存储器装置
CN103715087A (zh) * 2012-09-29 2014-04-09 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN103839810A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管芯片及其制造方法
CN103855020A (zh) * 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN104009080A (zh) * 2013-02-27 2014-08-27 台湾积体电路制造股份有限公司 具有应变阱区的FinFET
CN104124273A (zh) * 2013-04-26 2014-10-29 台湾积体电路制造股份有限公司 具有应变缓冲层的mos器件及其形成方法
CN104217948A (zh) * 2013-05-31 2014-12-17 中国科学院微电子研究所 半导体制造方法
CN104347709A (zh) * 2013-08-09 2015-02-11 联华电子股份有限公司 半导体装置
CN105355658A (zh) * 2014-08-18 2016-02-24 联华电子股份有限公司 鳍状场效晶体管元件及其制造方法
CN105493251A (zh) * 2013-09-27 2016-04-13 英特尔公司 具有多层柔性衬底的非平面半导体器件
CN105990240A (zh) * 2015-03-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
US9601342B2 (en) 2013-02-27 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9653551B2 (en) 2012-03-21 2017-05-16 Samsung Electronics Co., Ltd. Field effect transistors including fin structures with different doped regions and semiconductor devices including the same
CN106887459A (zh) * 2011-12-30 2017-06-23 英特尔公司 环绕式沟槽接触部结构和制作方法
US9748142B2 (en) 2013-02-27 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
CN108109956A (zh) * 2013-04-04 2018-06-01 意法半导体公司 集成电路器件和制作技术
CN111509048A (zh) * 2020-04-28 2020-08-07 上海华力集成电路制造有限公司 N型鳍式晶体管及其制造方法

Families Citing this family (244)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7074656B2 (en) * 2003-04-29 2006-07-11 Taiwan Semiconductor Manufacturing Company, Ltd. Doping of semiconductor fin devices
EP1643560A4 (en) * 2003-05-30 2007-04-11 Matsushita Electric Ind Co Ltd SEMICONDUCTOR COMPONENT AND METHOD FOR THE PRODUCTION THEREOF
US20070010040A1 (en) * 2003-06-26 2007-01-11 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Layer Above a Stress Layer
US20070015344A1 (en) * 2003-06-26 2007-01-18 Rj Mears, Llc Method for Making a Semiconductor Device Including a Strained Superlattice Between at Least One Pair of Spaced Apart Stress Regions
US7531828B2 (en) * 2003-06-26 2009-05-12 Mears Technologies, Inc. Semiconductor device including a strained superlattice between at least one pair of spaced apart stress regions
US20070020860A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making Semiconductor Device Including a Strained Superlattice and Overlying Stress Layer and Related Methods
US20070020833A1 (en) * 2003-06-26 2007-01-25 Rj Mears, Llc Method for Making a Semiconductor Device Including a Channel with a Non-Semiconductor Layer Monolayer
US7598515B2 (en) * 2003-06-26 2009-10-06 Mears Technologies, Inc. Semiconductor device including a strained superlattice and overlying stress layer and related methods
US7612366B2 (en) * 2003-06-26 2009-11-03 Mears Technologies, Inc. Semiconductor device including a strained superlattice layer above a stress layer
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US7456476B2 (en) 2003-06-27 2008-11-25 Intel Corporation Nonplanar semiconductor device with partially or fully wrapped around gate electrode and methods of fabrication
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7154118B2 (en) 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
KR100541657B1 (ko) * 2004-06-29 2006-01-11 삼성전자주식회사 멀티 게이트 트랜지스터의 제조방법 및 이에 의해 제조된멀티 게이트 트랜지스터
US7042009B2 (en) 2004-06-30 2006-05-09 Intel Corporation High mobility tri-gate devices and methods of fabrication
US7598134B2 (en) * 2004-07-28 2009-10-06 Micron Technology, Inc. Memory device forming methods
US7348284B2 (en) 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US7253493B2 (en) * 2004-08-24 2007-08-07 Micron Technology, Inc. High density access transistor having increased channel width and methods of fabricating such devices
US7679145B2 (en) * 2004-08-31 2010-03-16 Intel Corporation Transistor performance enhancement using engineered strains
US8673706B2 (en) * 2004-09-01 2014-03-18 Micron Technology, Inc. Methods of forming layers comprising epitaxial silicon
US7144779B2 (en) * 2004-09-01 2006-12-05 Micron Technology, Inc. Method of forming epitaxial silicon-comprising material
US7132355B2 (en) * 2004-09-01 2006-11-07 Micron Technology, Inc. Method of forming a layer comprising epitaxial silicon and a field effect transistor
US7531395B2 (en) * 2004-09-01 2009-05-12 Micron Technology, Inc. Methods of forming a layer comprising epitaxial silicon, and methods of forming field effect transistors
US7332439B2 (en) 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US7361958B2 (en) * 2004-09-30 2008-04-22 Intel Corporation Nonplanar transistors with metal gate electrodes
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7393733B2 (en) * 2004-12-01 2008-07-01 Amberwave Systems Corporation Methods of forming hybrid fin field-effect transistor structures
US7235501B2 (en) 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7193279B2 (en) * 2005-01-18 2007-03-20 Intel Corporation Non-planar MOS structure with a strained channel region
FR2881877B1 (fr) * 2005-02-04 2007-08-31 Soitec Silicon On Insulator Transistor a effet de champ multi-grille a canal multi-couche
US7518196B2 (en) 2005-02-23 2009-04-14 Intel Corporation Field effect transistor with narrow bandgap source and drain regions and method of fabrication
US20060202266A1 (en) 2005-03-14 2006-09-14 Marko Radosavljevic Field effect transistor with metal source/drain regions
US20060214233A1 (en) * 2005-03-22 2006-09-28 Ananthanarayanan Hari P FinFET semiconductor device
FR2885733B1 (fr) * 2005-05-16 2008-03-07 St Microelectronics Crolles 2 Structure de transistor a trois grilles
US9153645B2 (en) 2005-05-17 2015-10-06 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US8324660B2 (en) 2005-05-17 2012-12-04 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures with reduced dislocation defect densities and related methods for device fabrication
US7858481B2 (en) 2005-06-15 2010-12-28 Intel Corporation Method for fabricating transistor with thinned channel
US7547637B2 (en) 2005-06-21 2009-06-16 Intel Corporation Methods for patterning a semiconductor film
US20060286759A1 (en) * 2005-06-21 2006-12-21 Texas Instruments, Inc. Metal oxide semiconductor (MOS) device having both an accumulation and a enhancement mode transistor device on a similar substrate and a method of manufacture therefor
US7279375B2 (en) 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US7247887B2 (en) * 2005-07-01 2007-07-24 Synopsys, Inc. Segmented channel MOS transistor
US7265008B2 (en) 2005-07-01 2007-09-04 Synopsys, Inc. Method of IC production using corrugated substrate
US7190050B2 (en) * 2005-07-01 2007-03-13 Synopsys, Inc. Integrated circuit on corrugated substrate
US7288802B2 (en) * 2005-07-27 2007-10-30 International Business Machines Corporation Virtual body-contacted trigate
US7381649B2 (en) * 2005-07-29 2008-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Structure for a multiple-gate FET device and a method for its fabrication
US7348642B2 (en) 2005-08-03 2008-03-25 International Business Machines Corporation Fin-type field effect transistor
US7402875B2 (en) 2005-08-17 2008-07-22 Intel Corporation Lateral undercut of metal gate in SOI device
US20070090416A1 (en) 2005-09-28 2007-04-26 Doyle Brian S CMOS devices with a single work function gate electrode and method of fabrication
US7479421B2 (en) 2005-09-28 2009-01-20 Intel Corporation Process for integrating planar and non-planar CMOS transistors on a bulk substrate and article made thereby
US20070102756A1 (en) * 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
DE102006027178A1 (de) * 2005-11-21 2007-07-05 Infineon Technologies Ag Multi-Fin-Bauelement-Anordnung und Verfahren zum Herstellen einer Multi-Fin-Bauelement-Anordnung
US7485503B2 (en) 2005-11-30 2009-02-03 Intel Corporation Dielectric interface for group III-V semiconductor device
US8183556B2 (en) 2005-12-15 2012-05-22 Intel Corporation Extreme high mobility CMOS logic
KR100713924B1 (ko) * 2005-12-23 2007-05-07 주식회사 하이닉스반도체 돌기형 트랜지스터 및 그의 형성방법
US7525160B2 (en) * 2005-12-27 2009-04-28 Intel Corporation Multigate device with recessed strain regions
US20070161214A1 (en) 2006-01-06 2007-07-12 International Business Machines Corporation High k gate stack on III-V compound semiconductors
DE102006001680B3 (de) * 2006-01-12 2007-08-09 Infineon Technologies Ag Herstellungsverfahren für eine FinFET-Transistoranordnung und entsprechende FinFET-Transistoranordnung
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7777250B2 (en) 2006-03-24 2010-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. Lattice-mismatched semiconductor structures and related methods for device fabrication
JP2007299951A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置およびその製造方法
WO2007133775A2 (en) 2006-05-15 2007-11-22 Carnegie Mellon University Integrated circuit, device, system, and method of fabrication
US7422960B2 (en) 2006-05-17 2008-09-09 Micron Technology, Inc. Method of forming gate arrays on a partial SOI substrate
JP2007329200A (ja) * 2006-06-06 2007-12-20 Toshiba Corp 半導体装置の製造方法
JP4552908B2 (ja) * 2006-07-26 2010-09-29 エルピーダメモリ株式会社 半導体装置の製造方法
US8143646B2 (en) 2006-08-02 2012-03-27 Intel Corporation Stacking fault and twin blocking barrier for integrating III-V on Si
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7537994B2 (en) * 2006-08-28 2009-05-26 Micron Technology, Inc. Methods of forming semiconductor devices, assemblies and constructions
US7759747B2 (en) 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
EP2062290B1 (en) 2006-09-07 2019-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Defect reduction using aspect ratio trapping
US7456471B2 (en) * 2006-09-15 2008-11-25 International Business Machines Corporation Field effect transistor with raised source/drain fin straps
WO2008039534A2 (en) 2006-09-27 2008-04-03 Amberwave Systems Corporation Quantum tunneling devices and circuits with lattice- mismatched semiconductor structures
US7799592B2 (en) 2006-09-27 2010-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Tri-gate field-effect transistors formed by aspect ratio trapping
WO2008051503A2 (en) 2006-10-19 2008-05-02 Amberwave Systems Corporation Light-emitter-based devices with lattice-mismatched semiconductor structures
US7829407B2 (en) * 2006-11-20 2010-11-09 International Business Machines Corporation Method of fabricating a stressed MOSFET by bending SOI region
US7728364B2 (en) * 2007-01-19 2010-06-01 International Business Machines Corporation Enhanced mobility CMOS transistors with a V-shaped channel with self-alignment to shallow trench isolation
US8735990B2 (en) * 2007-02-28 2014-05-27 International Business Machines Corporation Radiation hardened FinFET
JP5003515B2 (ja) 2007-03-20 2012-08-15 ソニー株式会社 半導体装置
US8304805B2 (en) 2009-01-09 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor diodes fabricated by aspect ratio trapping with coalesced films
US9508890B2 (en) 2007-04-09 2016-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Photovoltaics on silicon
US8237151B2 (en) 2009-01-09 2012-08-07 Taiwan Semiconductor Manufacturing Company, Ltd. Diode-based devices and methods for making the same
US7825328B2 (en) 2007-04-09 2010-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Nitride-based multi-junction solar cell modules and methods for making the same
US8927353B2 (en) 2007-05-07 2015-01-06 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method of forming the same
US8450165B2 (en) 2007-05-14 2013-05-28 Intel Corporation Semiconductor device having tipless epitaxial source/drain regions
US20080293192A1 (en) * 2007-05-22 2008-11-27 Stefan Zollner Semiconductor device with stressors and methods thereof
US8174073B2 (en) * 2007-05-30 2012-05-08 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structures with multiple FinFETs
US8329541B2 (en) 2007-06-15 2012-12-11 Taiwan Semiconductor Manufacturing Company, Ltd. InP-based transistor fabrication
US20090001415A1 (en) * 2007-06-30 2009-01-01 Nick Lindert Multi-gate transistor with strained body
US7692254B2 (en) * 2007-07-16 2010-04-06 International Business Machines Corporation Fin-type field effect transistor structure with merged source/drain silicide and method of forming the structure
KR20090116088A (ko) * 2008-05-06 2009-11-11 삼성전자주식회사 정보 유지 능력과 동작 특성이 향상된 커패시터리스 1t반도체 메모리 소자
US7969808B2 (en) * 2007-07-20 2011-06-28 Samsung Electronics Co., Ltd. Memory cell structures, memory arrays, memory devices, memory controllers, and memory systems, and methods of manufacturing and operating the same
KR101093588B1 (ko) 2007-09-07 2011-12-15 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 멀티-정션 솔라 셀
KR101308048B1 (ko) * 2007-10-10 2013-09-12 삼성전자주식회사 반도체 메모리 장치
KR20090075063A (ko) * 2008-01-03 2009-07-08 삼성전자주식회사 플로팅 바디 트랜지스터를 이용한 동적 메모리 셀을 가지는메모리 셀 어레이를 구비하는 반도체 메모리 장치 및 이장치의 동작 방법
US7982269B2 (en) * 2008-04-17 2011-07-19 International Business Machines Corporation Transistors having asymmetric strained source/drain portions
JP5159413B2 (ja) * 2008-04-24 2013-03-06 株式会社東芝 半導体装置及びその製造方法
US8183667B2 (en) 2008-06-03 2012-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial growth of crystalline material
US8362566B2 (en) 2008-06-23 2013-01-29 Intel Corporation Stress in trigate devices using complimentary gate fill materials
US8274097B2 (en) 2008-07-01 2012-09-25 Taiwan Semiconductor Manufacturing Company, Ltd. Reduction of edge effects from aspect ratio trapping
US8981427B2 (en) 2008-07-15 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Polishing of small composite semiconductor materials
US7833891B2 (en) * 2008-07-23 2010-11-16 International Business Machines Corporation Semiconductor device manufacturing method using oxygen diffusion barrier layer between buried oxide layer and high K dielectric layer
US20100072515A1 (en) 2008-09-19 2010-03-25 Amberwave Systems Corporation Fabrication and structures of crystalline material
EP2528087B1 (en) 2008-09-19 2016-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Formation of devices by epitaxial layer overgrowth
US8253211B2 (en) 2008-09-24 2012-08-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor sensor structures with reduced dislocation defect densities
KR20100070158A (ko) * 2008-12-17 2010-06-25 삼성전자주식회사 커패시터가 없는 동작 메모리 셀을 구비한 반도체 메모리 장치 및 이 장치의 동작 방법
KR101442177B1 (ko) * 2008-12-18 2014-09-18 삼성전자주식회사 커패시터 없는 1-트랜지스터 메모리 셀을 갖는 반도체소자의 제조방법들
US8058692B2 (en) 2008-12-29 2011-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors with reverse T-shaped fins
US8305829B2 (en) * 2009-02-23 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Memory power gating circuit for controlling internal voltage of a memory array, system and method for controlling the same
US8305790B2 (en) * 2009-03-16 2012-11-06 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical anti-fuse and related applications
US8957482B2 (en) * 2009-03-31 2015-02-17 Taiwan Semiconductor Manufacturing Company, Ltd. Electrical fuse and related applications
CN101853882B (zh) 2009-04-01 2016-03-23 台湾积体电路制造股份有限公司 具有改进的开关电流比的高迁移率多面栅晶体管
US8816391B2 (en) * 2009-04-01 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain engineering of devices with high-mobility channels
WO2010114956A1 (en) 2009-04-02 2010-10-07 Taiwan Semiconductor Manufacturing Company, Ltd. Devices formed from a non-polar plane of a crystalline material and method of making the same
US8912602B2 (en) * 2009-04-14 2014-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US8455860B2 (en) 2009-04-30 2013-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing source/drain resistance of III-V based transistors
US9768305B2 (en) * 2009-05-29 2017-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Gradient ternary or quaternary multiple-gate transistor
US8617976B2 (en) 2009-06-01 2013-12-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain re-growth for manufacturing III-V based transistors
US8461015B2 (en) * 2009-07-08 2013-06-11 Taiwan Semiconductor Manufacturing Company, Ltd. STI structure and method of forming bottom void in same
US8482073B2 (en) * 2010-03-25 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit including FINFETs and methods for forming the same
US8440517B2 (en) 2010-10-13 2013-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET and method of fabricating the same
US9484462B2 (en) 2009-09-24 2016-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of fin field effect transistor
US8623728B2 (en) * 2009-07-28 2014-01-07 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high germanium concentration SiGe stressor
US8497528B2 (en) 2010-05-06 2013-07-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a strained structure
US8472227B2 (en) * 2010-01-27 2013-06-25 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuits and methods for forming the same
US8264021B2 (en) * 2009-10-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Finfets and methods for forming the same
US8298925B2 (en) 2010-11-08 2012-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8264032B2 (en) * 2009-09-01 2012-09-11 Taiwan Semiconductor Manufacturing Company, Ltd. Accumulation type FinFET, circuits and fabrication method thereof
US8759943B2 (en) 2010-10-08 2014-06-24 Taiwan Semiconductor Manufacturing Company, Ltd. Transistor having notched fin structure and method of making the same
US8629478B2 (en) * 2009-07-31 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure for high mobility multiple-gate transistor
US8980719B2 (en) 2010-04-28 2015-03-17 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for doping fin field-effect transistors
US20110097867A1 (en) * 2009-10-22 2011-04-28 Taiwan Semiconductor Manufacturing Company, Ltd. Method of controlling gate thicknesses in forming fusi gates
EP2315239A1 (en) * 2009-10-23 2011-04-27 Imec A method of forming monocrystalline germanium or silicon germanium
US8269283B2 (en) 2009-12-21 2012-09-18 Intel Corporation Methods and apparatus to reduce layout based strain variations in non-planar transistor structures
US9040393B2 (en) 2010-01-14 2015-05-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming semiconductor structure
JP5166458B2 (ja) * 2010-01-22 2013-03-21 株式会社東芝 半導体装置及びその製造方法
US8609495B2 (en) * 2010-04-08 2013-12-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gate process for fabricating finfet device
US8207038B2 (en) * 2010-05-24 2012-06-26 International Business Machines Corporation Stressed Fin-FET devices with low contact resistance
DE102010038742B4 (de) * 2010-07-30 2016-01-21 Globalfoundries Dresden Module One Llc & Co. Kg Verfahren und Halbleiterbauelement basierend auf einer Verformungstechnologie in dreidimensionalen Transistoren auf der Grundlage eines verformten Kanalhalbleitermaterials
US8603924B2 (en) 2010-10-19 2013-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming gate dielectric material
US9048181B2 (en) 2010-11-08 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms for forming ultra shallow junction
US8769446B2 (en) 2010-11-12 2014-07-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method and device for increasing fin device density for unaligned fins
US8936978B2 (en) * 2010-11-29 2015-01-20 International Business Machines Corporation Multigate structure formed with electroless metal deposition
US8877602B2 (en) 2011-01-25 2014-11-04 Taiwan Semiconductor Manufacturing Company, Ltd. Mechanisms of doping oxide for forming shallow trench isolation
US8592915B2 (en) 2011-01-25 2013-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Doped oxide for shallow trench isolation (STI)
US8431453B2 (en) 2011-03-31 2013-04-30 Taiwan Semiconductor Manufacturing Company, Ltd. Plasma doping to reduce dielectric loss during removal of dummy layers in a gate structure
US9287385B2 (en) 2011-09-01 2016-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-fin device and method of making same
US8441072B2 (en) * 2011-09-02 2013-05-14 United Microelectronics Corp. Non-planar semiconductor structure and fabrication method thereof
TWI499006B (zh) * 2011-10-07 2015-09-01 Etron Technology Inc 動態記憶體結構
US9368502B2 (en) * 2011-10-17 2016-06-14 GlogalFoundries, Inc. Replacement gate multigate transistor for embedded DRAM
US9893163B2 (en) 2011-11-04 2018-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. 3D capacitor and method of manufacturing same
DE112011105987T5 (de) * 2011-12-19 2014-09-11 Intel Corporation Nichtplanarer III-N-Transistor
DE112011105995B4 (de) * 2011-12-23 2020-08-06 Intel Corporation Herstellungsverfahren für eine nicht-planare Rundum-Gate-Schaltung
US8659097B2 (en) * 2012-01-16 2014-02-25 Taiwan Semiconductor Manufacturing Company, Ltd. Control fin heights in FinFET structures
US9171925B2 (en) * 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9466696B2 (en) 2012-01-24 2016-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs and methods for forming the same
US9281378B2 (en) 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9559099B2 (en) 2012-03-01 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
US8742509B2 (en) * 2012-03-01 2014-06-03 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for FinFETs
KR101835655B1 (ko) 2012-03-06 2018-03-07 삼성전자주식회사 핀 전계 효과 트랜지스터 및 이의 제조 방법
US8994002B2 (en) 2012-03-16 2015-03-31 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET having superlattice stressor
US8872284B2 (en) 2012-03-20 2014-10-28 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with metal gate stressor
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8629512B2 (en) * 2012-03-28 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Gate stack of fin field effect transistor with slanted sidewalls
US9368388B2 (en) * 2012-04-13 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus for FinFETs
US9559189B2 (en) 2012-04-16 2017-01-31 United Microelectronics Corp. Non-planar FET
US8709910B2 (en) 2012-04-30 2014-04-29 United Microelectronics Corp. Semiconductor process
US9059321B2 (en) * 2012-05-14 2015-06-16 International Business Machines Corporation Buried channel field-effect transistors
US8829610B2 (en) 2012-05-15 2014-09-09 United Microelectronics Corp. Method for forming semiconductor layout patterns, semiconductor layout patterns, and semiconductor structure
US8729634B2 (en) * 2012-06-15 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET with high mobility and strain channel
KR101909204B1 (ko) * 2012-06-25 2018-10-17 삼성전자 주식회사 내장된 스트레인-유도 패턴을 갖는 반도체 소자 및 그 형성 방법
US9142400B1 (en) 2012-07-17 2015-09-22 Stc.Unm Method of making a heteroepitaxial layer on a seed area
US8847281B2 (en) * 2012-07-27 2014-09-30 Intel Corporation High mobility strained channels for fin-based transistors
US9817928B2 (en) 2012-08-31 2017-11-14 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US9190346B2 (en) 2012-08-31 2015-11-17 Synopsys, Inc. Latch-up suppression and substrate noise coupling reduction through a substrate back-tie for 3D integrated circuits
US20140091279A1 (en) * 2012-09-28 2014-04-03 Jessica S. Kachian Non-planar semiconductor device having germanium-based active region with release etch-passivation surface
US8633516B1 (en) 2012-09-28 2014-01-21 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain stack stressor for semiconductor device
US9349837B2 (en) 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9443962B2 (en) 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US8946063B2 (en) * 2012-11-30 2015-02-03 International Business Machines Corporation Semiconductor device having SSOI substrate with relaxed tensile stress
US8772117B2 (en) * 2012-12-05 2014-07-08 Globalfoundries Inc. Combination FinFET and planar FET semiconductor device and methods of making such a device
EP2741337B1 (en) 2012-12-07 2018-04-11 IMEC vzw Semiconductor heterostructure field effect transistor and method for making thereof
US8847324B2 (en) 2012-12-17 2014-09-30 Synopsys, Inc. Increasing ION /IOFF ratio in FinFETs and nano-wires
US9379018B2 (en) 2012-12-17 2016-06-28 Synopsys, Inc. Increasing Ion/Ioff ratio in FinFETs and nano-wires
US8890119B2 (en) 2012-12-18 2014-11-18 Intel Corporation Vertical nanowire transistor with axially engineered semiconductor and gate metallization
US9054215B2 (en) 2012-12-18 2015-06-09 Intel Corporation Patterning of vertical nanowire transistor channel and gate with directed self assembly
US8768271B1 (en) 2012-12-19 2014-07-01 Intel Corporation Group III-N transistors on nanoscale template structures
US8957476B2 (en) * 2012-12-20 2015-02-17 Intel Corporation Conversion of thin transistor elements from silicon to silicon germanium
US8956942B2 (en) 2012-12-21 2015-02-17 Stmicroelectronics, Inc. Method of forming a fully substrate-isolated FinFET transistor
US9076813B1 (en) 2013-01-15 2015-07-07 Stc.Unm Gate-all-around metal-oxide-semiconductor transistors with gate oxides
US9123633B2 (en) 2013-02-01 2015-09-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming semiconductor regions in trenches
JP6309299B2 (ja) * 2013-02-27 2018-04-11 ルネサスエレクトロニクス株式会社 圧縮歪みチャネル領域を有する半導体装置及びその製造方法
US8963258B2 (en) * 2013-03-13 2015-02-24 Taiwan Semiconductor Manufacturing Company FinFET with bottom SiGe layer in source/drain
US9276087B2 (en) 2013-05-10 2016-03-01 Samsung Electronics Co., Ltd. Methods of manufacturing FINFET semiconductor devices using sacrificial gate patterns and selective oxidization of a fin
US9093531B2 (en) 2013-06-11 2015-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Fin structure of semiconductor device
US9178043B2 (en) 2013-06-21 2015-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Non-planar transistors with replacement fins and methods of forming the same
US8957478B2 (en) * 2013-06-24 2015-02-17 International Business Machines Corporation Semiconductor device including source/drain formed on bulk and gate channel formed on oxide layer
CN105474370B (zh) * 2013-06-28 2019-02-22 英特尔公司 在横向外延过生长区域中形成基于无缺陷鳍的器件
US9263455B2 (en) 2013-07-23 2016-02-16 Micron Technology, Inc. Methods of forming an array of conductive lines and methods of forming an array of recessed access gate lines
US8952420B1 (en) 2013-07-29 2015-02-10 Stmicroelectronics, Inc. Method to induce strain in 3-D microfabricated structures
US9105582B2 (en) 2013-08-15 2015-08-11 United Microelectronics Corporation Spatial semiconductor structure and method of fabricating the same
US9496397B2 (en) 2013-08-20 2016-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. FinFet device with channel epitaxial region
US9099559B2 (en) * 2013-09-16 2015-08-04 Stmicroelectronics, Inc. Method to induce strain in finFET channels from an adjacent region
US9425042B2 (en) 2013-10-10 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Hybrid silicon germanium substrate for device fabrication
US9425257B2 (en) 2013-11-20 2016-08-23 Taiwan Semiconductor Manufacturing Company Limited Non-planar SiGe channel PFET
US9508854B2 (en) * 2013-12-06 2016-11-29 Ecole Polytechnique Federale De Lausanne (Epfl) Single field effect transistor capacitor-less memory device and method of operating the same
US20150162435A1 (en) * 2013-12-09 2015-06-11 Globalfoundries Inc. Asymmetric channel growth of a cladding layer over fins of a field effect transistor (finfet) device
WO2015094164A1 (en) * 2013-12-16 2015-06-25 Intel Corporation Dual strained cladding layers for semiconductor devices
US10109711B2 (en) * 2013-12-16 2018-10-23 Intel Corporation CMOS FinFET device having strained SiGe fins and a strained Si cladding layer on the NMOS channel
KR102094535B1 (ko) 2014-03-21 2020-03-30 삼성전자주식회사 트랜지스터 및 그 제조 방법
SG11201606392UA (en) * 2014-03-27 2016-09-29 Intel Corp High mobility strained channels for fin-based nmos transistors
US9490365B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
US9502538B2 (en) 2014-06-12 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd Structure and formation method of fin-like field effect transistor
US9490346B2 (en) 2014-06-12 2016-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of fin-like field effect transistor
CN106463533B (zh) 2014-06-20 2021-09-28 英特尔公司 高电压晶体管和低电压非平面晶体管的单片集成
KR102155327B1 (ko) * 2014-07-07 2020-09-11 삼성전자주식회사 전계 효과 트랜지스터 및 그 제조 방법
US9306067B2 (en) * 2014-08-05 2016-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Nonplanar device and strain-generating channel dielectric
US9634125B2 (en) 2014-09-18 2017-04-25 United Microelectronics Corporation Fin field effect transistor device and fabrication method thereof
KR102255174B1 (ko) * 2014-10-10 2021-05-24 삼성전자주식회사 활성 영역을 갖는 반도체 소자 및 그 형성 방법
US9929242B2 (en) 2015-01-12 2018-03-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9502567B2 (en) 2015-02-13 2016-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin structure with extending gate structure
KR102235612B1 (ko) * 2015-01-29 2021-04-02 삼성전자주식회사 일-함수 금속을 갖는 반도체 소자 및 그 형성 방법
US10833175B2 (en) * 2015-06-04 2020-11-10 International Business Machines Corporation Formation of dislocation-free SiGe finFET using porous silicon
CN107735864B (zh) 2015-06-08 2021-08-31 美商新思科技有限公司 衬底和具有3d几何图形上的2d材料沟道的晶体管
US9425313B1 (en) 2015-07-07 2016-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US9953881B2 (en) 2015-07-20 2018-04-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device
US9548216B1 (en) 2015-07-26 2017-01-17 United Microelectronics Corp. Method of adjusting channel widths of semiconductive devices
US10734488B2 (en) 2015-09-11 2020-08-04 Intel Corporation Aluminum indium phosphide subfin germanium channel transistors
KR102465353B1 (ko) * 2015-12-02 2022-11-10 삼성전자주식회사 전계 효과 트랜지스터 및 이를 포함하는 반도체 소자
US20170236841A1 (en) * 2016-02-11 2017-08-17 Qualcomm Incorporated Fin with an epitaxial cladding layer
US11276755B2 (en) 2016-06-17 2022-03-15 Intel Corporation Field effect transistors with gate electrode self-aligned to semiconductor fin
KR101846991B1 (ko) 2016-08-11 2018-04-09 가천대학교 산학협력단 벌크 실리콘 기반의 실리콘 게르마늄 p-채널 삼중 게이트 트랜지스터 및 그 제조방법
WO2018063192A1 (en) * 2016-09-28 2018-04-05 Intel Corporation Transistors with lattice matched gate structure
US11538905B2 (en) 2016-09-30 2022-12-27 Intel Corporation Nanowire transistors employing carbon-based layers
US11004954B2 (en) * 2016-09-30 2021-05-11 Intel Corporation Epitaxial buffer to reduce sub-channel leakage in MOS transistors
US9847392B1 (en) * 2016-10-11 2017-12-19 United Microelectronics Corp. Semiconductor device and method for fabricating the same
CN108063143B (zh) * 2016-11-09 2020-06-05 上海新昇半导体科技有限公司 一种互补晶体管器件结构及其制作方法
US10741560B2 (en) * 2017-10-26 2020-08-11 International Business Machines Corporation High resistance readout FET for cognitive device
WO2019125424A1 (en) * 2017-12-20 2019-06-27 Intel Corporation Transistor with isolation below source and drain
US10727352B2 (en) * 2018-01-26 2020-07-28 International Business Machines Corporation Long-channel fin field effect transistors
US11378750B2 (en) * 2020-01-17 2022-07-05 Taiwan Semiconductor Manufacturing Company, Ltd. Germanium photodetector embedded in a multi-mode interferometer
US11257932B2 (en) * 2020-01-30 2022-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor device structure and method for forming the same

Family Cites Families (375)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4231149A (en) 1978-10-10 1980-11-04 Texas Instruments Incorporated Narrow band-gap semiconductor CCD imaging device and method of fabrication
GB2156149A (en) 1984-03-14 1985-10-02 Philips Electronic Associated Dielectrically-isolated integrated circuit manufacture
US4487652A (en) 1984-03-30 1984-12-11 Motorola, Inc. Slope etch of polyimide
US4711701A (en) 1986-09-16 1987-12-08 Texas Instruments Incorporated Self-aligned transistor method
US5514885A (en) 1986-10-09 1996-05-07 Myrick; James J. SOI methods and apparatus
US4818715A (en) * 1987-07-09 1989-04-04 Industrial Technology Research Institute Method of fabricating a LDDFET with self-aligned silicide
US4907048A (en) * 1987-11-23 1990-03-06 Xerox Corporation Double implanted LDD transistor self-aligned with gate
US4905063A (en) * 1988-06-21 1990-02-27 American Telephone And Telegraph Company, At&T Bell Laboratories Floating gate memories
JPH0214578A (ja) * 1988-07-01 1990-01-18 Fujitsu Ltd 半導体装置
KR910010043B1 (ko) 1988-07-28 1991-12-10 한국전기통신공사 스페이서를 이용한 미세선폭 형성방법
US4994873A (en) * 1988-10-17 1991-02-19 Motorola, Inc. Local interconnect for stacked polysilicon device
US5346834A (en) * 1988-11-21 1994-09-13 Hitachi, Ltd. Method for manufacturing a semiconductor device and a semiconductor memory device
US4906589A (en) * 1989-02-06 1990-03-06 Industrial Technology Research Institute Inverse-T LDDFET with self-aligned silicide
JPH02302044A (ja) 1989-05-16 1990-12-14 Fujitsu Ltd 半導体装置の製造方法
US5328810A (en) 1990-05-07 1994-07-12 Micron Technology, Inc. Method for reducing, by a factor or 2-N, the minimum masking pitch of a photolithographic process
KR930003790B1 (ko) 1990-07-02 1993-05-10 삼성전자 주식회사 반도체 장치의 캐패시터용 유전체
US5278102A (en) * 1990-08-18 1994-01-11 Fujitsu Limited SOI device and a fabrication process thereof
JP3061406B2 (ja) 1990-09-28 2000-07-10 株式会社東芝 半導体装置
JP3202223B2 (ja) 1990-11-27 2001-08-27 日本電気株式会社 トランジスタの製造方法
US5521859A (en) 1991-03-20 1996-05-28 Fujitsu Limited Semiconductor memory device having thin film transistor and method of producing the same
JPH05152293A (ja) * 1991-04-30 1993-06-18 Sgs Thomson Microelectron Inc 段差付き壁相互接続体及びゲートの製造方法
US5346836A (en) 1991-06-06 1994-09-13 Micron Technology, Inc. Process for forming low resistance contacts between silicide areas and upper level polysilicon interconnects
US5292670A (en) 1991-06-10 1994-03-08 Texas Instruments Incorporated Sidewall doping technique for SOI transistors
US5179037A (en) * 1991-12-24 1993-01-12 Texas Instruments Incorporated Integration of lateral and vertical quantum well transistors in the same epitaxial stack
US5391506A (en) * 1992-01-31 1995-02-21 Kawasaki Steel Corporation Manufacturing method for semiconductor devices with source/drain formed in substrate projection.
JPH05243572A (ja) 1992-02-27 1993-09-21 Fujitsu Ltd 半導体装置
US5405454A (en) 1992-03-19 1995-04-11 Matsushita Electric Industrial Co., Ltd. Electrically insulated silicon structure and producing method therefor
JP2572003B2 (ja) * 1992-03-30 1997-01-16 三星電子株式会社 三次元マルチチャンネル構造を有する薄膜トランジスタの製造方法
JPH0793441B2 (ja) 1992-04-24 1995-10-09 ヒュンダイ エレクトロニクス インダストリーズ カンパニー リミテッド 薄膜トランジスタ及びその製造方法
JPH06177089A (ja) 1992-12-04 1994-06-24 Fujitsu Ltd 半導体装置の製造方法
KR960002088B1 (ko) * 1993-02-17 1996-02-10 삼성전자주식회사 에스오아이(SOI : silicon on insulator) 구조의 반도체 장치 제조방법
US5357119A (en) 1993-02-19 1994-10-18 Board Of Regents Of The University Of California Field effect devices having short period superlattice structures using Si and Ge
JPH06310547A (ja) 1993-02-25 1994-11-04 Mitsubishi Electric Corp 半導体装置及びその製造方法
EP0623963A1 (de) 1993-05-06 1994-11-09 Siemens Aktiengesellschaft MOSFET auf SOI-Substrat
US5739544A (en) * 1993-05-26 1998-04-14 Matsushita Electric Industrial Co., Ltd. Quantization functional device utilizing a resonance tunneling effect and method for producing the same
GB2282736B (en) 1993-05-28 1996-12-11 Nec Corp Radio base station for a mobile communications system
US6730549B1 (en) 1993-06-25 2004-05-04 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method for its preparation
JP3778581B2 (ja) 1993-07-05 2006-05-24 三菱電機株式会社 半導体装置およびその製造方法
JP3460863B2 (ja) 1993-09-17 2003-10-27 三菱電機株式会社 半導体装置の製造方法
US5888304A (en) * 1996-04-02 1999-03-30 Applied Materials, Inc. Heater with shadow ring and purge above wafer surface
US5479033A (en) 1994-05-27 1995-12-26 Sandia Corporation Complementary junction heterostructure field-effect transistor
JP3361922B2 (ja) 1994-09-13 2003-01-07 株式会社東芝 半導体装置
JP3378414B2 (ja) 1994-09-14 2003-02-17 株式会社東芝 半導体装置
JPH08153880A (ja) * 1994-09-29 1996-06-11 Toshiba Corp 半導体装置及びその製造方法
US5602049A (en) 1994-10-04 1997-02-11 United Microelectronics Corporation Method of fabricating a buried structure SRAM cell
JPH08125152A (ja) * 1994-10-28 1996-05-17 Canon Inc 半導体装置、それを用いた相関演算装置、ad変換器、da変換器、信号処理システム
JP3078720B2 (ja) 1994-11-02 2000-08-21 三菱電機株式会社 半導体装置およびその製造方法
US5576227A (en) 1994-11-02 1996-11-19 United Microelectronics Corp. Process for fabricating a recessed gate MOS device
GB2295488B (en) 1994-11-24 1996-11-20 Toshiba Cambridge Res Center Semiconductor device
US5716879A (en) 1994-12-15 1998-02-10 Goldstar Electron Company, Ltd. Method of making a thin film transistor
JPH08204191A (ja) 1995-01-20 1996-08-09 Sony Corp 電界効果トランジスタ及びその製造方法
US5665203A (en) 1995-04-28 1997-09-09 International Business Machines Corporation Silicon etching method
JP3303601B2 (ja) 1995-05-19 2002-07-22 日産自動車株式会社 溝型半導体装置
KR0165398B1 (ko) * 1995-05-26 1998-12-15 윤종용 버티칼 트랜지스터의 제조방법
US5658806A (en) 1995-10-26 1997-08-19 National Science Council Method for fabricating thin-film transistor with bottom-gate or dual-gate configuration
US5814895A (en) 1995-12-22 1998-09-29 Sony Corporation Static random access memory having transistor elements formed on side walls of a trench in a semiconductor substrate
KR100205442B1 (ko) 1995-12-26 1999-07-01 구본준 박막트랜지스터 및 그의 제조방법
US5595919A (en) * 1996-02-20 1997-01-21 Chartered Semiconductor Manufacturing Pte Ltd. Method of making self-aligned halo process for reducing junction capacitance
DE19607209A1 (de) 1996-02-26 1997-08-28 Gregor Kohlruss Reinigungsvorrichtung zum Reinigen von flächigen Gegenständen
JPH09293793A (ja) * 1996-04-26 1997-11-11 Mitsubishi Electric Corp 薄膜トランジスタを有する半導体装置およびその製造方法
US5793088A (en) 1996-06-18 1998-08-11 Integrated Device Technology, Inc. Structure for controlling threshold voltage of MOSFET
JP3710880B2 (ja) 1996-06-28 2005-10-26 株式会社東芝 不揮発性半導体記憶装置
TW548686B (en) * 1996-07-11 2003-08-21 Semiconductor Energy Lab CMOS semiconductor device and apparatus using the same
US5817560A (en) * 1996-09-12 1998-10-06 Advanced Micro Devices, Inc. Ultra short trench transistors and process for making same
US6399970B2 (en) 1996-09-17 2002-06-04 Matsushita Electric Industrial Co., Ltd. FET having a Si/SiGeC heterojunction channel
US6063675A (en) 1996-10-28 2000-05-16 Texas Instruments Incorporated Method of forming a MOSFET using a disposable gate with a sidewall dielectric
US6163053A (en) * 1996-11-06 2000-12-19 Ricoh Company, Ltd. Semiconductor device having opposite-polarity region under channel
JPH10150185A (ja) * 1996-11-20 1998-06-02 Mitsubishi Electric Corp 半導体装置及びその製造方法
US5827769A (en) * 1996-11-20 1998-10-27 Intel Corporation Method for fabricating a transistor with increased hot carrier resistance by nitridizing and annealing the sidewall oxide of the gate electrode
US5773331A (en) 1996-12-17 1998-06-30 International Business Machines Corporation Method for making single and double gate field effect transistors with sidewall source-drain contacts
US5908313A (en) 1996-12-31 1999-06-01 Intel Corporation Method of forming a transistor
JP4086926B2 (ja) 1997-01-29 2008-05-14 富士通株式会社 半導体装置及びその製造方法
JPH118390A (ja) 1997-06-18 1999-01-12 Mitsubishi Electric Corp 半導体装置及びその製造方法
US6054355A (en) * 1997-06-30 2000-04-25 Kabushiki Kaisha Toshiba Method of manufacturing a semiconductor device which includes forming a dummy gate
US6251763B1 (en) 1997-06-30 2001-06-26 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing same
JPH1140811A (ja) 1997-07-22 1999-02-12 Hitachi Ltd 半導体装置およびその製造方法
US5952701A (en) 1997-08-18 1999-09-14 National Semiconductor Corporation Design and fabrication of semiconductor structure having complementary channel-junction insulated-gate field-effect transistors whose gate electrodes have work functions close to mid-gap semiconductor value
US5776821A (en) 1997-08-22 1998-07-07 Vlsi Technology, Inc. Method for forming a reduced width gate electrode
US6066869A (en) 1997-10-06 2000-05-23 Micron Technology, Inc. Circuit and method for a folded bit line memory cell with vertical transistor and trench capacitor
US5976767A (en) 1997-10-09 1999-11-02 Micron Technology, Inc. Ammonium hydroxide etch of photoresist masked silicon
US5856225A (en) * 1997-11-24 1999-01-05 Chartered Semiconductor Manufacturing Ltd Creation of a self-aligned, ion implanted channel region, after source and drain formation
US6120846A (en) 1997-12-23 2000-09-19 Advanced Technology Materials, Inc. Method for the selective deposition of bismuth based ferroelectric thin films by chemical vapor deposition
US5888309A (en) * 1997-12-29 1999-03-30 Taiwan Semiconductor Manufacturing Company, Ltd. Lateral etch inhibited multiple for forming a via through a microelectronics layer susceptible to etching within a fluorine containing plasma followed by an oxygen containing plasma
US6117741A (en) 1998-01-09 2000-09-12 Texas Instruments Incorporated Method of forming a transistor having an improved sidewall gate structure
US6294416B1 (en) 1998-01-23 2001-09-25 Texas Instruments-Acer Incorporated Method of fabricating CMOS transistors with self-aligned planarization twin-well by using fewer mask counts
US6097065A (en) 1998-03-30 2000-08-01 Micron Technology, Inc. Circuits and methods for dual-gated transistors
US6307235B1 (en) 1998-03-30 2001-10-23 Micron Technology, Inc. Another technique for gated lateral bipolar transistors
US6087208A (en) 1998-03-31 2000-07-11 Advanced Micro Devices, Inc. Method for increasing gate capacitance by using both high and low dielectric gate material
US6215190B1 (en) 1998-05-12 2001-04-10 International Business Machines Corporation Borderless contact to diffusion with respect to gate conductor and methods for fabricating
US6232641B1 (en) * 1998-05-29 2001-05-15 Kabushiki Kaisha Toshiba Semiconductor apparatus having elevated source and drain structure and manufacturing method therefor
US6114201A (en) 1998-06-01 2000-09-05 Texas Instruments-Acer Incorporated Method of manufacturing a multiple fin-shaped capacitor for high density DRAMs
US6317444B1 (en) 1998-06-12 2001-11-13 Agere System Optoelectronics Guardian Corp. Optical device including carbon-doped contact layers
US6165880A (en) 1998-06-15 2000-12-26 Taiwan Semiconductor Manufacturing Company Double spacer technology for making self-aligned contacts (SAC) on semiconductor integrated circuits
US6130123A (en) 1998-06-30 2000-10-10 Intel Corporation Method for making a complementary metal gate electrode technology
JP2000037842A (ja) 1998-07-27 2000-02-08 Dainippon Printing Co Ltd 電磁波吸収化粧材
US6696366B1 (en) * 1998-08-17 2004-02-24 Lam Research Corporation Technique for etching a low capacitance dielectric layer
JP2000156502A (ja) 1998-09-21 2000-06-06 Texas Instr Inc <Ti> 集積回路及び方法
US6114206A (en) 1998-11-06 2000-09-05 Advanced Micro Devices, Inc. Multiple threshold voltage transistor implemented by a damascene process
US6262456B1 (en) 1998-11-06 2001-07-17 Advanced Micro Devices, Inc. Integrated circuit having transistors with different threshold voltages
US5985726A (en) 1998-11-06 1999-11-16 Advanced Micro Devices, Inc. Damascene process for forming ultra-shallow source/drain extensions and pocket in ULSI MOSFET
US6153485A (en) 1998-11-09 2000-11-28 Chartered Semiconductor Manufacturing Ltd. Salicide formation on narrow poly lines by pulling back of spacer
US6200865B1 (en) * 1998-12-04 2001-03-13 Advanced Micro Devices, Inc. Use of sacrificial dielectric structure to form semiconductor device with a self-aligned threshold adjust and overlying low-resistance gate
US6362111B1 (en) * 1998-12-09 2002-03-26 Texas Instruments Incorporated Tunable gate linewidth reduction process
TW406312B (en) 1998-12-18 2000-09-21 United Microelectronics Corp The method of etching doped poly-silicon
TW449919B (en) 1998-12-18 2001-08-11 Koninkl Philips Electronics Nv A method of manufacturing a semiconductor device
US6380558B1 (en) 1998-12-29 2002-04-30 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and method of fabricating the same
US6150222A (en) 1999-01-07 2000-11-21 Advanced Micro Devices, Inc. Method of making a high performance transistor with elevated spacer formation and self-aligned channel regions
FR2788629B1 (fr) 1999-01-15 2003-06-20 Commissariat Energie Atomique Transistor mis et procede de fabrication d'un tel transistor sur un substrat semiconducteur
US6174820B1 (en) * 1999-02-16 2001-01-16 Sandia Corporation Use of silicon oxynitride as a sacrificial material for microelectromechanical devices
JP2000243854A (ja) 1999-02-22 2000-09-08 Toshiba Corp 半導体装置及びその製造方法
US6093621A (en) 1999-04-05 2000-07-25 Vanguard International Semiconductor Corp. Method of forming shallow trench isolation
US7045468B2 (en) * 1999-04-09 2006-05-16 Intel Corporation Isolated junction structure and method of manufacture
US6459123B1 (en) 1999-04-30 2002-10-01 Infineon Technologies Richmond, Lp Double gated transistor
DE60001601T2 (de) * 1999-06-18 2003-12-18 Lucent Technologies Inc Fertigungsverfahren zur Herstellung eines CMOS integrieten Schaltkreises mit vertikalen Transistoren
JP2001015704A (ja) 1999-06-29 2001-01-19 Hitachi Ltd 半導体集積回路
US6218309B1 (en) * 1999-06-30 2001-04-17 Lam Research Corporation Method of achieving top rounding and uniform etch depths while etching shallow trench isolation features
US6501131B1 (en) 1999-07-22 2002-12-31 International Business Machines Corporation Transistors having independently adjustable parameters
TW432594B (en) 1999-07-31 2001-05-01 Taiwan Semiconductor Mfg Manufacturing method for shallow trench isolation
US6259135B1 (en) 1999-09-24 2001-07-10 International Business Machines Corporation MOS transistors structure for reducing the size of pitch limited circuits
FR2799305B1 (fr) 1999-10-05 2004-06-18 St Microelectronics Sa Procede de fabrication d'un dispositif semi-conducteur a grille enveloppante et dispositif obtenu
EP1091413A3 (en) 1999-10-06 2005-01-12 Lsi Logic Corporation Fully-depleted, fully-inverted, short-length and vertical channel, dual-gate, cmos fet
US6541829B2 (en) 1999-12-03 2003-04-01 Kabushiki Kaisha Toshiba Semiconductor device and method of manufacturing the same
US6252284B1 (en) * 1999-12-09 2001-06-26 International Business Machines Corporation Planarized silicon fin device
KR100311049B1 (ko) 1999-12-13 2001-10-12 윤종용 불휘발성 반도체 메모리장치 및 그의 제조방법
US6303479B1 (en) 1999-12-16 2001-10-16 Spinnaker Semiconductor, Inc. Method of manufacturing a short-channel FET with Schottky-barrier source and drain contacts
JP4923318B2 (ja) 1999-12-17 2012-04-25 ソニー株式会社 不揮発性半導体記憶装置およびその動作方法
JP4194237B2 (ja) 1999-12-28 2008-12-10 株式会社リコー 電界効果トランジスタを用いた電圧発生回路及び基準電圧源回路
US7391087B2 (en) 1999-12-30 2008-06-24 Intel Corporation MOS transistor structure and method of fabrication
JP3613113B2 (ja) 2000-01-21 2005-01-26 日本電気株式会社 半導体装置およびその製造方法
US6319807B1 (en) 2000-02-07 2001-11-20 United Microelectronics Corp. Method for forming a semiconductor device by using reverse-offset spacer process
JP3846706B2 (ja) 2000-02-23 2006-11-15 信越半導体株式会社 ウエーハ外周面取部の研磨方法及び研磨装置
US6483156B1 (en) 2000-03-16 2002-11-19 International Business Machines Corporation Double planar gated SOI MOSFET structure
FR2806832B1 (fr) 2000-03-22 2002-10-25 Commissariat Energie Atomique Transistor mos a source et drain metalliques, et procede de fabrication d'un tel transistor
JP3906005B2 (ja) 2000-03-27 2007-04-18 株式会社東芝 半導体装置の製造方法
KR100332834B1 (ko) 2000-03-29 2002-04-15 윤덕용 비등방성 식각을 이용한 서브마이크론 게이트 제조 방법
TW466606B (en) 2000-04-20 2001-12-01 United Microelectronics Corp Manufacturing method for dual metal gate electrode
JP2001338987A (ja) 2000-05-26 2001-12-07 Nec Microsystems Ltd Mosトランジスタのシャロートレンチ分離領域の形成方法
FR2810161B1 (fr) * 2000-06-09 2005-03-11 Commissariat Energie Atomique Memoire electronique a architecture damascene et procede de realisation d'une telle memoire
US6526996B1 (en) * 2000-06-12 2003-03-04 Promos Technologies, Inc. Dry clean method instead of traditional wet clean after metal etch
US6391782B1 (en) 2000-06-20 2002-05-21 Advanced Micro Devices, Inc. Process for forming multiple active lines and gate-all-around MOSFET
KR100545706B1 (ko) 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
JP4112358B2 (ja) 2000-07-04 2008-07-02 インフィネオン テクノロジーズ アクチエンゲゼルシャフト 電界効果トランジスタ
JP2002047034A (ja) * 2000-07-31 2002-02-12 Shinetsu Quartz Prod Co Ltd プラズマを利用したプロセス装置用の石英ガラス治具
US20020011612A1 (en) 2000-07-31 2002-01-31 Kabushiki Kaisha Toshiba Semiconductor device and method for manufacturing the same
US6403981B1 (en) 2000-08-07 2002-06-11 Advanced Micro Devices, Inc. Double gate transistor having a silicon/germanium channel region
KR100338778B1 (ko) 2000-08-21 2002-05-31 윤종용 선택적 실리사이드 공정을 이용한 모스 트랜지스터의제조방법
US6358800B1 (en) * 2000-09-18 2002-03-19 Vanguard International Semiconductor Corporation Method of forming a MOSFET with a recessed-gate having a channel length beyond photolithography limit
US6387820B1 (en) 2000-09-19 2002-05-14 Advanced Micro Devices, Inc. BC13/AR chemistry for metal overetching on a high density plasma etcher
JP2002100762A (ja) * 2000-09-22 2002-04-05 Mitsubishi Electric Corp 半導体装置およびその製造方法
JP4044276B2 (ja) 2000-09-28 2008-02-06 株式会社東芝 半導体装置及びその製造方法
US6562665B1 (en) 2000-10-16 2003-05-13 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with a recess in a semiconductor pillar in SOI technology
US7163864B1 (en) 2000-10-18 2007-01-16 International Business Machines Corporation Method of fabricating semiconductor side wall fin
US6645840B2 (en) 2000-10-19 2003-11-11 Texas Instruments Incorporated Multi-layered polysilicon process
US6413802B1 (en) 2000-10-23 2002-07-02 The Regents Of The University Of California Finfet transistor structures having a double gate channel extending vertically from a substrate and methods of manufacture
US6716684B1 (en) 2000-11-13 2004-04-06 Advanced Micro Devices, Inc. Method of making a self-aligned triple gate silicon-on-insulator device
US6396108B1 (en) * 2000-11-13 2002-05-28 Advanced Micro Devices, Inc. Self-aligned double gate silicon-on-insulator (SOI) device
US6472258B1 (en) 2000-11-13 2002-10-29 International Business Machines Corporation Double gate trench transistor
US6479866B1 (en) 2000-11-14 2002-11-12 Advanced Micro Devices, Inc. SOI device with self-aligned selective damage implant, and method
JP2002198441A (ja) 2000-11-16 2002-07-12 Hynix Semiconductor Inc 半導体素子のデュアル金属ゲート形成方法
AU2001267880A1 (en) 2000-11-22 2002-06-03 Hitachi Ltd. Semiconductor device and method for fabricating the same
US6552401B1 (en) 2000-11-27 2003-04-22 Micron Technology Use of gate electrode workfunction to improve DRAM refresh
US20020100942A1 (en) 2000-12-04 2002-08-01 Fitzgerald Eugene A. CMOS inverter and integrated circuits utilizing strained silicon surface channel MOSFETs
US6921947B2 (en) 2000-12-15 2005-07-26 Renesas Technology Corp. Semiconductor device having recessed isolation insulation film
US6413877B1 (en) * 2000-12-22 2002-07-02 Lam Research Corporation Method of preventing damage to organo-silicate-glass materials during resist stripping
JP2002198368A (ja) 2000-12-26 2002-07-12 Nec Corp 半導体装置の製造方法
US6537901B2 (en) * 2000-12-29 2003-03-25 Hynix Semiconductor Inc. Method of manufacturing a transistor in a semiconductor device
TW561530B (en) 2001-01-03 2003-11-11 Macronix Int Co Ltd Process for fabricating CMOS transistor of IC devices employing double spacers for preventing short-channel effect
US6975014B1 (en) 2001-01-09 2005-12-13 Advanced Micro Devices, Inc. Method for making an ultra thin FDSOI device with improved short-channel performance
US6359311B1 (en) * 2001-01-17 2002-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Quasi-surrounding gate and a method of fabricating a silicon-on-insulator semiconductor device with the same
US6403434B1 (en) 2001-02-09 2002-06-11 Advanced Micro Devices, Inc. Process for manufacturing MOS transistors having elevated source and drain regions and a high-k gate dielectric
US6475890B1 (en) * 2001-02-12 2002-11-05 Advanced Micro Devices, Inc. Fabrication of a field effect transistor with an upside down T-shaped semiconductor pillar in SOI technology
JP2002246310A (ja) 2001-02-14 2002-08-30 Sony Corp 半導体薄膜の形成方法及び半導体装置の製造方法、これらの方法の実施に使用する装置、並びに電気光学装置
US6475869B1 (en) 2001-02-26 2002-11-05 Advanced Micro Devices, Inc. Method of forming a double gate transistor having an epitaxial silicon/germanium channel region
US6630388B2 (en) 2001-03-13 2003-10-07 National Institute Of Advanced Industrial Science And Technology Double-gate field-effect transistor, integrated circuit using the transistor and method of manufacturing the same
TW582071B (en) 2001-03-20 2004-04-01 Macronix Int Co Ltd Method for etching metal in a semiconductor
JP3940565B2 (ja) 2001-03-29 2007-07-04 株式会社東芝 半導体装置及びその製造方法
JP2002298051A (ja) 2001-03-30 2002-10-11 Mizuho Bank Ltd ポイント交換サービス・システム
US6458662B1 (en) 2001-04-04 2002-10-01 Advanced Micro Devices, Inc. Method of fabricating a semiconductor device having an asymmetrical dual-gate silicon-germanium (SiGe) channel MOSFET and a device thereby formed
KR100414217B1 (ko) 2001-04-12 2004-01-07 삼성전자주식회사 게이트 올 어라운드형 트랜지스터를 가진 반도체 장치 및그 형성 방법
US6645861B2 (en) 2001-04-18 2003-11-11 International Business Machines Corporation Self-aligned silicide process for silicon sidewall source and drain contacts
US6787402B1 (en) 2001-04-27 2004-09-07 Advanced Micro Devices, Inc. Double-gate vertical MOSFET transistor and fabrication method
US6902947B2 (en) * 2001-05-07 2005-06-07 Applied Materials, Inc. Integrated method for release and passivation of MEMS structures
SG112804A1 (en) * 2001-05-10 2005-07-28 Inst Of Microelectronics Sloped trench etching process
KR100363332B1 (en) * 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6635923B2 (en) 2001-05-24 2003-10-21 International Business Machines Corporation Damascene double-gate MOSFET with vertical channel regions
US6506692B2 (en) * 2001-05-30 2003-01-14 Intel Corporation Method of making a semiconductor device using a silicon carbide hard mask
US6737333B2 (en) 2001-07-03 2004-05-18 Texas Instruments Incorporated Semiconductor device isolation structure and method of forming
JP2003017508A (ja) * 2001-07-05 2003-01-17 Nec Corp 電界効果トランジスタ
US6501141B1 (en) 2001-08-13 2002-12-31 Taiwan Semiconductor Manufacturing Company, Ltd Self-aligned contact with improved isolation and method for forming
US6534807B2 (en) * 2001-08-13 2003-03-18 International Business Machines Corporation Local interconnect junction on insulator (JOI) structure
JP2003100902A (ja) 2001-09-21 2003-04-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6689650B2 (en) 2001-09-27 2004-02-10 International Business Machines Corporation Fin field effect transistor with self-aligned gate
US6492212B1 (en) 2001-10-05 2002-12-10 International Business Machines Corporation Variable threshold voltage double gated transistors and method of fabrication
US20030085194A1 (en) 2001-11-07 2003-05-08 Hopkins Dean A. Method for fabricating close spaced mirror arrays
US7385262B2 (en) * 2001-11-27 2008-06-10 The Board Of Trustees Of The Leland Stanford Junior University Band-structure modulation of nano-structures in an electric field
US6967351B2 (en) 2001-12-04 2005-11-22 International Business Machines Corporation Finfet SRAM cell using low mobility plane for cell stability and method for forming
US6657259B2 (en) 2001-12-04 2003-12-02 International Business Machines Corporation Multiple-plane FinFET CMOS
US6610576B2 (en) * 2001-12-13 2003-08-26 International Business Machines Corporation Method for forming asymmetric dual gate transistor
EP1321310A1 (de) 2001-12-21 2003-06-25 Schächter, Friedrich Prüfverfahren für Schreibgeräte
US6555879B1 (en) 2002-01-11 2003-04-29 Advanced Micro Devices, Inc. SOI device with metal source/drain and method of fabrication
US6722946B2 (en) * 2002-01-17 2004-04-20 Nutool, Inc. Advanced chemical mechanical polishing system with smart endpoint detection
US6583469B1 (en) 2002-01-28 2003-06-24 International Business Machines Corporation Self-aligned dog-bone structure for FinFET applications and methods to fabricate the same
KR100442089B1 (ko) * 2002-01-29 2004-07-27 삼성전자주식회사 노치된 게이트 전극을 갖는 모스 트랜지스터의 제조방법
KR100458288B1 (ko) * 2002-01-30 2004-11-26 한국과학기술원 이중-게이트 FinFET 소자 및 그 제조방법
DE10203998A1 (de) 2002-02-01 2003-08-21 Infineon Technologies Ag Verfahren zum Herstellen einer zackenförmigen Struktur, Verfahren zum Herstellen eines Transistors, Verfahren zum Herstellen eines Floating Gate-Transistors, Transistor, Floating Gate-Transistor und Speicher-Anordnung
US6784071B2 (en) 2003-01-31 2004-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Bonded SOI wafer with <100> device layer and <110> substrate for performance improvement
US20030151077A1 (en) * 2002-02-13 2003-08-14 Leo Mathew Method of forming a vertical double gate semiconductor device and structure thereof
JP3782021B2 (ja) * 2002-02-22 2006-06-07 株式会社東芝 半導体装置、半導体装置の製造方法、半導体基板の製造方法
US6660598B2 (en) 2002-02-26 2003-12-09 International Business Machines Corporation Method of forming a fully-depleted SOI ( silicon-on-insulator) MOSFET having a thinned channel region
JP4370104B2 (ja) 2002-03-05 2009-11-25 シャープ株式会社 半導体記憶装置
US6639827B2 (en) 2002-03-12 2003-10-28 Intel Corporation Low standby power using shadow storage
US6635909B2 (en) * 2002-03-19 2003-10-21 International Business Machines Corporation Strained fin FETs structure and method
US6605498B1 (en) 2002-03-29 2003-08-12 Intel Corporation Semiconductor transistor having a backfilled channel material
US6784076B2 (en) 2002-04-08 2004-08-31 Micron Technology, Inc. Process for making a silicon-on-insulator ledge by implanting ions from silicon source
FR2838238B1 (fr) * 2002-04-08 2005-04-15 St Microelectronics Sa Dispositif semiconducteur a grille enveloppante encapsule dans un milieu isolant
US6762469B2 (en) 2002-04-19 2004-07-13 International Business Machines Corporation High performance CMOS device structure with mid-gap metal gate
US6713396B2 (en) * 2002-04-29 2004-03-30 Hewlett-Packard Development Company, L.P. Method of fabricating high density sub-lithographic features on a substrate
US6537885B1 (en) * 2002-05-09 2003-03-25 Infineon Technologies Ag Transistor and method of manufacturing a transistor having a shallow junction formation using a two step EPI layer
US6642090B1 (en) 2002-06-03 2003-11-04 International Business Machines Corporation Fin FET devices from bulk semiconductor and method for forming
US7074623B2 (en) 2002-06-07 2006-07-11 Amberwave Systems Corporation Methods of forming strained-semiconductor-on-insulator finFET device structures
US6680240B1 (en) 2002-06-25 2004-01-20 Advanced Micro Devices, Inc. Silicon-on-insulator device with strained device film and method for making the same with partial replacement of isolation oxide
CN1284216C (zh) * 2002-07-01 2006-11-08 台湾积体电路制造股份有限公司 具有伸张应变的沟道层的场效应晶体管结构及其制造方法
US7105891B2 (en) 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6974729B2 (en) * 2002-07-16 2005-12-13 Interuniversitair Microelektronica Centrum (Imec) Integrated semiconductor fin device and a method for manufacturing such device
KR100477543B1 (ko) * 2002-07-26 2005-03-18 동부아남반도체 주식회사 단채널 트랜지스터 형성방법
US6919238B2 (en) 2002-07-29 2005-07-19 Intel Corporation Silicon on insulator (SOI) transistor and methods of fabrication
US6921702B2 (en) 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
JP2004071996A (ja) * 2002-08-09 2004-03-04 Hitachi Ltd 半導体集積回路装置の製造方法
US6891234B1 (en) 2004-01-07 2005-05-10 Acorn Technologies, Inc. Transistor with workfunction-induced charge layer
US6833556B2 (en) 2002-08-12 2004-12-21 Acorn Technologies, Inc. Insulated gate field effect transistor having passivated schottky barriers to the channel
US6984585B2 (en) * 2002-08-12 2006-01-10 Applied Materials Inc Method for removal of residue from a magneto-resistive random access memory (MRAM) film stack using a sacrificial mask layer
JP3865233B2 (ja) 2002-08-19 2007-01-10 富士通株式会社 Cmos集積回路装置
US7358121B2 (en) * 2002-08-23 2008-04-15 Intel Corporation Tri-gate devices and methods of fabrication
US7163851B2 (en) * 2002-08-26 2007-01-16 International Business Machines Corporation Concurrent Fin-FET and thick-body device fabrication
JP5179692B2 (ja) 2002-08-30 2013-04-10 富士通セミコンダクター株式会社 半導体記憶装置及びその製造方法
US6770516B2 (en) 2002-09-05 2004-08-03 Taiwan Semiconductor Manufacturing Company Method of forming an N channel and P channel FINFET device on the same semiconductor substrate
JP3651802B2 (ja) 2002-09-12 2005-05-25 株式会社東芝 半導体装置の製造方法
US6794313B1 (en) * 2002-09-20 2004-09-21 Taiwan Semiconductor Manufacturing Company, Ltd. Oxidation process to improve polysilicon sidewall roughness
JP3556651B2 (ja) * 2002-09-27 2004-08-18 沖電気工業株式会社 半導体装置の製造方法
US6800910B2 (en) 2002-09-30 2004-10-05 Advanced Micro Devices, Inc. FinFET device incorporating strained silicon in the channel region
KR100481209B1 (ko) 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
JP4294935B2 (ja) * 2002-10-17 2009-07-15 株式会社ルネサステクノロジ 半導体装置
US6706571B1 (en) * 2002-10-22 2004-03-16 Advanced Micro Devices, Inc. Method for forming multiple structures in a semiconductor device
US6833588B2 (en) 2002-10-22 2004-12-21 Advanced Micro Devices, Inc. Semiconductor device having a U-shaped gate structure
US6706581B1 (en) 2002-10-29 2004-03-16 Taiwan Semiconductor Manufacturing Company Dual gate dielectric scheme: SiON for high performance devices and high k for low power devices
US6611029B1 (en) 2002-11-08 2003-08-26 Advanced Micro Devices, Inc. Double gate semiconductor device having separate gates
US6787439B2 (en) * 2002-11-08 2004-09-07 Advanced Micro Devices, Inc. Method using planarizing gate material to improve gate critical dimension in semiconductor devices
US6855990B2 (en) * 2002-11-26 2005-02-15 Taiwan Semiconductor Manufacturing Co., Ltd Strained-channel multiple-gate transistor
US6709982B1 (en) 2002-11-26 2004-03-23 Advanced Micro Devices, Inc. Double spacer FinFET formation
US6825506B2 (en) 2002-11-27 2004-11-30 Intel Corporation Field effect transistor and method of fabrication
US6821834B2 (en) 2002-12-04 2004-11-23 Yoshiyuki Ando Ion implantation methods and transistor cell layout for fin type transistors
KR100487922B1 (ko) * 2002-12-06 2005-05-06 주식회사 하이닉스반도체 반도체소자의 트랜지스터 및 그 형성방법
US6645797B1 (en) 2002-12-06 2003-11-11 Advanced Micro Devices, Inc. Method for forming fins in a FinFET device using sacrificial carbon layer
US7728360B2 (en) 2002-12-06 2010-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multiple-gate transistor structure
US6686231B1 (en) * 2002-12-06 2004-02-03 Advanced Micro Devices, Inc. Damascene gate process with sacrificial oxide in semiconductor devices
US6869868B2 (en) * 2002-12-13 2005-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a MOSFET device with metal containing gate structures
US6794718B2 (en) * 2002-12-19 2004-09-21 International Business Machines Corporation High mobility crystalline planes in double-gate CMOS technology
JP4418760B2 (ja) 2002-12-20 2010-02-24 インターナショナル・ビジネス・マシーンズ・コーポレーション フィン型fetおよびcmosデバイスのための一体化アンチヒューズ構造
US6780694B2 (en) 2003-01-08 2004-08-24 International Business Machines Corporation MOS transistor
US7259425B2 (en) 2003-01-23 2007-08-21 Advanced Micro Devices, Inc. Tri-gate and gate around MOSFET devices and methods for making same
US6762483B1 (en) 2003-01-23 2004-07-13 Advanced Micro Devices, Inc. Narrow fin FinFET
US6803631B2 (en) 2003-01-23 2004-10-12 Advanced Micro Devices, Inc. Strained channel finfet
US6885055B2 (en) 2003-02-04 2005-04-26 Lee Jong-Ho Double-gate FinFET device and fabricating method thereof
KR100543472B1 (ko) 2004-02-11 2006-01-20 삼성전자주식회사 소오스/드레인 영역에 디플리션 방지막을 구비하는 반도체소자 및 그 형성 방법
WO2004073044A2 (en) 2003-02-13 2004-08-26 Massachusetts Institute Of Technology Finfet device and method to make same
US6855606B2 (en) * 2003-02-20 2005-02-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor nano-rod devices
US7105894B2 (en) 2003-02-27 2006-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Contacts to semiconductor fin devices
KR100499159B1 (ko) 2003-02-28 2005-07-01 삼성전자주식회사 리세스 채널을 갖는 반도체장치 및 그 제조방법
US6787854B1 (en) 2003-03-12 2004-09-07 Advanced Micro Devices, Inc. Method for forming a fin in a finFET device
US6716690B1 (en) * 2003-03-12 2004-04-06 Advanced Micro Devices, Inc. Uniformly doped source/drain junction in a double-gate MOSFET
US6800885B1 (en) 2003-03-12 2004-10-05 Advance Micro Devices, Inc. Asymmetrical double gate or all-around gate MOSFET devices and methods for making same
TW582099B (en) 2003-03-13 2004-04-01 Ind Tech Res Inst Method of adhering material layer on transparent substrate and method of forming single crystal silicon on transparent substrate
JP4563652B2 (ja) * 2003-03-13 2010-10-13 シャープ株式会社 メモリ機能体および微粒子形成方法並びにメモリ素子、半導体装置および電子機器
US6844238B2 (en) * 2003-03-26 2005-01-18 Taiwan Semiconductor Manufacturing Co., Ltd Multiple-gate transistors with improved gate control
US20040191980A1 (en) * 2003-03-27 2004-09-30 Rafael Rios Multi-corner FET for better immunity from short channel effects
US6790733B1 (en) * 2003-03-28 2004-09-14 International Business Machines Corporation Preserving TEOS hard mask using COR for raised source-drain including removable/disposable spacer
US6764884B1 (en) * 2003-04-03 2004-07-20 Advanced Micro Devices, Inc. Method for forming a gate in a FinFET device and thinning a fin in a channel region of the FinFET device
US6902962B2 (en) 2003-04-04 2005-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Silicon-on-insulator chip with multiple crystal orientations
TWI231994B (en) * 2003-04-04 2005-05-01 Univ Nat Taiwan Strained Si FinFET
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
JP2004319704A (ja) 2003-04-15 2004-11-11 Seiko Instruments Inc 半導体装置
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US20070108514A1 (en) 2003-04-28 2007-05-17 Akira Inoue Semiconductor device and method of fabricating the same
US6867433B2 (en) * 2003-04-30 2005-03-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor-on-insulator chip incorporating strained-channel partially-depleted, fully-depleted, and multiple-gate transistors
JP3976703B2 (ja) * 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6838322B2 (en) * 2003-05-01 2005-01-04 Freescale Semiconductor, Inc. Method for forming a double-gated semiconductor device
JP4084843B2 (ja) * 2003-06-12 2008-04-30 日本電産株式会社 動圧軸受装置およびその製造方法
US6830998B1 (en) 2003-06-17 2004-12-14 Advanced Micro Devices, Inc. Gate dielectric quality for replacement metal gate transistors
US7045401B2 (en) 2003-06-23 2006-05-16 Sharp Laboratories Of America, Inc. Strained silicon finFET device
US20040262683A1 (en) * 2003-06-27 2004-12-30 Bohr Mark T. PMOS transistor strain optimization with raised junction regions
US6909151B2 (en) 2003-06-27 2005-06-21 Intel Corporation Nonplanar device with stress incorporation layer and method of fabrication
US6960517B2 (en) * 2003-06-30 2005-11-01 Intel Corporation N-gate transistor
US6716686B1 (en) 2003-07-08 2004-04-06 Advanced Micro Devices, Inc. Method for forming channels in a finfet device
US6921982B2 (en) * 2003-07-21 2005-07-26 International Business Machines Corporation FET channel having a strained lattice structure along multiple surfaces
KR100487566B1 (ko) 2003-07-23 2005-05-03 삼성전자주식회사 핀 전계 효과 트랜지스터 및 그 형성 방법
KR100487567B1 (ko) * 2003-07-24 2005-05-03 삼성전자주식회사 핀 전계효과 트랜지스터 형성 방법
EP1519420A2 (en) 2003-09-25 2005-03-30 Interuniversitaire Microelectronica Centrum vzw ( IMEC) Multiple gate semiconductor device and method for forming same
US6835618B1 (en) * 2003-08-05 2004-12-28 Advanced Micro Devices, Inc. Epitaxially grown fin for FinFET
US7172943B2 (en) * 2003-08-13 2007-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Multiple-gate transistors formed on bulk substrates
KR100496891B1 (ko) 2003-08-14 2005-06-23 삼성전자주식회사 핀 전계효과 트랜지스터를 위한 실리콘 핀 및 그 제조 방법
US7355253B2 (en) * 2003-08-22 2008-04-08 International Business Machines Corporation Strained-channel Fin field effect transistor (FET) with a uniform channel thickness and separate gates
US6998301B1 (en) * 2003-09-03 2006-02-14 Advanced Micro Devices, Inc. Method for forming a tri-gate MOSFET
US6877728B2 (en) 2003-09-04 2005-04-12 Lakin Manufacturing Corporation Suspension assembly having multiple torsion members which cooperatively provide suspension to a wheel
JP4439358B2 (ja) 2003-09-05 2010-03-24 株式会社東芝 電界効果トランジスタ及びその製造方法
US7170126B2 (en) * 2003-09-16 2007-01-30 International Business Machines Corporation Structure of vertical strained silicon devices
US6970373B2 (en) 2003-10-02 2005-11-29 Intel Corporation Method and apparatus for improving stability of a 6T CMOS SRAM cell
US7612416B2 (en) 2003-10-09 2009-11-03 Nec Corporation Semiconductor device having a conductive portion below an interlayer insulating film and method for producing the same
US20050139860A1 (en) 2003-10-22 2005-06-30 Snyder John P. Dynamic schottky barrier MOSFET device and method of manufacture
US6946377B2 (en) 2003-10-29 2005-09-20 Texas Instruments Incorporated Multiple-gate MOSFET device with lithography independent silicon body thickness and methods for fabricating the same
US7138320B2 (en) 2003-10-31 2006-11-21 Advanced Micro Devices, Inc. Advanced technique for forming a transistor having raised drain and source regions
KR100515061B1 (ko) 2003-10-31 2005-09-14 삼성전자주식회사 핀 전계 효과 트랜지스터를 갖는 반도체 소자 및 그 형성방법
US6867460B1 (en) * 2003-11-05 2005-03-15 International Business Machines Corporation FinFET SRAM cell with chevron FinFET logic
US6885072B1 (en) 2003-11-18 2005-04-26 Applied Intellectual Properties Co., Ltd. Nonvolatile memory with undercut trapping structure
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7183137B2 (en) 2003-12-01 2007-02-27 Taiwan Semiconductor Manufacturing Company Method for dicing semiconductor wafers
US7075150B2 (en) 2003-12-02 2006-07-11 International Business Machines Corporation Ultra-thin Si channel MOSFET using a self-aligned oxygen implant and damascene technique
US7018551B2 (en) * 2003-12-09 2006-03-28 International Business Machines Corporation Pull-back method of forming fins in FinFets
US7388258B2 (en) * 2003-12-10 2008-06-17 International Business Machines Corporation Sectional field effect devices
US7662689B2 (en) * 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7223679B2 (en) 2003-12-24 2007-05-29 Intel Corporation Transistor gate electrode having conductor material layer
US7105390B2 (en) 2003-12-30 2006-09-12 Intel Corporation Nonplanar transistors with metal gate electrodes
US7078282B2 (en) 2003-12-30 2006-07-18 Intel Corporation Replacement gate flow facilitating high yield and incorporation of etch stop layers and/or stressed films
US7045407B2 (en) 2003-12-30 2006-05-16 Intel Corporation Amorphous etch stop for the anisotropic etching of substrates
US7247578B2 (en) 2003-12-30 2007-07-24 Intel Corporation Method of varying etch selectivities of a film
US6997415B2 (en) * 2003-12-31 2006-02-14 Gulfstream Aerospace Corporation Method and arrangement for aircraft fuel dispersion
US7705345B2 (en) * 2004-01-07 2010-04-27 International Business Machines Corporation High performance strained silicon FinFETs device and method for forming same
US6974736B2 (en) 2004-01-09 2005-12-13 International Business Machines Corporation Method of forming FET silicide gate structures incorporating inner spacers
US7056794B2 (en) 2004-01-09 2006-06-06 International Business Machines Corporation FET gate structure with metal gate electrode and silicide contact
US7268058B2 (en) 2004-01-16 2007-09-11 Intel Corporation Tri-gate transistors and methods to fabricate same
US7385247B2 (en) 2004-01-17 2008-06-10 Samsung Electronics Co., Ltd. At least penta-sided-channel type of FinFET transistor
JP2005209782A (ja) 2004-01-21 2005-08-04 Toshiba Corp 半導体装置
US7250645B1 (en) 2004-01-22 2007-07-31 Advanced Micro Devices, Inc. Reversed T-shaped FinFET
US7224029B2 (en) 2004-01-28 2007-05-29 International Business Machines Corporation Method and structure to create multiple device widths in FinFET technology in both bulk and SOI
KR100587672B1 (ko) 2004-02-02 2006-06-08 삼성전자주식회사 다마신 공법을 이용한 핀 트랜지스터 형성방법
EP1566844A3 (en) 2004-02-20 2006-04-05 Samsung Electronics Co., Ltd. Multi-gate transistor and method for manufacturing the same
US7060539B2 (en) 2004-03-01 2006-06-13 International Business Machines Corporation Method of manufacture of FinFET devices with T-shaped fins and devices manufactured thereby
JP4852694B2 (ja) 2004-03-02 2012-01-11 独立行政法人産業技術総合研究所 半導体集積回路およびその製造方法
US6921691B1 (en) 2004-03-18 2005-07-26 Infineon Technologies Ag Transistor with dopant-bearing metal in source and drain
KR100576361B1 (ko) 2004-03-23 2006-05-03 삼성전자주식회사 3차원 시모스 전계효과 트랜지스터 및 그것을 제조하는 방법
US7141480B2 (en) 2004-03-26 2006-11-28 Texas Instruments Incorporated Tri-gate low power device and method for manufacturing the same
US8450806B2 (en) 2004-03-31 2013-05-28 International Business Machines Corporation Method for fabricating strained silicon-on-insulator structures and strained silicon-on insulator structures formed thereby
US7154118B2 (en) * 2004-03-31 2006-12-26 Intel Corporation Bulk non-planar transistor having strained enhanced mobility and methods of fabrication
US20050224797A1 (en) 2004-04-01 2005-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS fabricated on different crystallographic orientation substrates
US20050230763A1 (en) 2004-04-15 2005-10-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a microelectronic device with electrode perturbing sill
KR100642632B1 (ko) 2004-04-27 2006-11-10 삼성전자주식회사 반도체소자의 제조방법들 및 그에 의해 제조된 반도체소자들
US7084018B1 (en) 2004-05-05 2006-08-01 Advanced Micro Devices, Inc. Sacrificial oxide for minimizing box undercut in damascene FinFET
US20050255642A1 (en) 2004-05-11 2005-11-17 Chi-Wen Liu Method of fabricating inlaid structure
US6864540B1 (en) * 2004-05-21 2005-03-08 International Business Machines Corp. High performance FET with elevated source/drain region
KR100625177B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 멀티-브리지 채널형 모오스 트랜지스터의 제조 방법
KR100634372B1 (ko) 2004-06-04 2006-10-16 삼성전자주식회사 반도체 소자들 및 그 형성 방법들
US7132360B2 (en) 2004-06-10 2006-11-07 Freescale Semiconductor, Inc. Method for treating a semiconductor surface to form a metal-containing layer
WO2005122276A1 (ja) 2004-06-10 2005-12-22 Nec Corporation 半導体装置及びその製造方法
US7291886B2 (en) 2004-06-21 2007-11-06 International Business Machines Corporation Hybrid substrate technology for high-mobility planar and multiple-gate MOSFETs
US8669145B2 (en) * 2004-06-30 2014-03-11 International Business Machines Corporation Method and structure for strained FinFET devices
US7348284B2 (en) * 2004-08-10 2008-03-25 Intel Corporation Non-planar pMOS structure with a strained channel region and an integrated strained CMOS flow
US20060040054A1 (en) * 2004-08-18 2006-02-23 Pearlstein Ronald M Passivating ALD reactor chamber internal surfaces to prevent residue buildup
US7105934B2 (en) 2004-08-30 2006-09-12 International Business Machines Corporation FinFET with low gate capacitance and low extrinsic resistance
US7250367B2 (en) * 2004-09-01 2007-07-31 Micron Technology, Inc. Deposition methods using heteroleptic precursors
US7071064B2 (en) 2004-09-23 2006-07-04 Intel Corporation U-gate transistors and methods of fabrication
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7422946B2 (en) 2004-09-29 2008-09-09 Intel Corporation Independently accessed double-gate and tri-gate transistors in same process flow
US20060086977A1 (en) 2004-10-25 2006-04-27 Uday Shah Nonplanar device with thinned lower body portion and method of fabrication
US7247547B2 (en) 2005-01-05 2007-07-24 International Business Machines Corporation Method of fabricating a field effect transistor having improved junctions
US7875547B2 (en) 2005-01-12 2011-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact hole structures and contact structures and fabrication methods thereof
US20060172480A1 (en) 2005-02-03 2006-08-03 Taiwan Semiconductor Manufacturing Company, Ltd. Single metal gate CMOS device design
US7238564B2 (en) 2005-03-10 2007-07-03 Taiwan Semiconductor Manufacturing Company Method of forming a shallow trench isolation structure
US7177177B2 (en) 2005-04-07 2007-02-13 International Business Machines Corporation Back-gate controlled read SRAM cell
KR100699839B1 (ko) 2005-04-21 2007-03-27 삼성전자주식회사 다중채널을 갖는 반도체 장치 및 그의 제조방법.
US7429536B2 (en) 2005-05-23 2008-09-30 Micron Technology, Inc. Methods for forming arrays of small, closely spaced features
US7319074B2 (en) 2005-06-13 2008-01-15 United Microelectronics Corp. Method of defining polysilicon patterns
US7279375B2 (en) * 2005-06-30 2007-10-09 Intel Corporation Block contact architectures for nanoscale channel transistors
US20070023795A1 (en) * 2005-07-15 2007-02-01 Kabushiki Kaisha Toshiba Semiconductor device and method of fabricating the same
US7352034B2 (en) * 2005-08-25 2008-04-01 International Business Machines Corporation Semiconductor structures integrating damascene-body FinFET's and planar devices on a common substrate and methods for forming such semiconductor structures
US7416943B2 (en) * 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US8513066B2 (en) 2005-10-25 2013-08-20 Freescale Semiconductor, Inc. Method of making an inverted-T channel transistor

Cited By (52)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102832236A (zh) * 2011-06-16 2012-12-19 台湾积体电路制造股份有限公司 应变沟道的场效应晶体管
US11677004B2 (en) 2011-06-16 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel field effect transistor
CN108281422A (zh) * 2011-06-16 2018-07-13 台湾积体电路制造股份有限公司 应变沟道的场效应晶体管
CN103117305A (zh) * 2011-11-16 2013-05-22 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应管及其基体
CN106887459A (zh) * 2011-12-30 2017-06-23 英特尔公司 环绕式沟槽接触部结构和制作方法
CN106887459B (zh) * 2011-12-30 2020-07-17 英特尔公司 环绕式沟槽接触部结构和制作方法
US10861851B2 (en) 2011-12-30 2020-12-08 Intel Corporation Wrap-around trench contact structure and methods of fabrication
US11776959B2 (en) 2011-12-30 2023-10-03 Intel Corporation Wrap-around trench contact structure and methods of fabrication
US11437517B2 (en) 2012-03-08 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US9595614B2 (en) 2012-03-08 2017-03-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
US10727351B2 (en) 2012-03-08 2020-07-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
CN103311296B (zh) * 2012-03-08 2016-08-17 台湾积体电路制造股份有限公司 具有高迁移率和高能带隙材料的半导体结构及方法
US10269969B2 (en) 2012-03-08 2019-04-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods with high mobility and high energy bandgap materials
CN103311296A (zh) * 2012-03-08 2013-09-18 台湾积体电路制造股份有限公司 具有高迁移率和高能带隙材料的半导体结构及方法
US11664456B2 (en) 2012-03-08 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structures and methods of forming thereof
CN103325833A (zh) * 2012-03-21 2013-09-25 三星电子株式会社 场效应晶体管以及包括其的半导体器件和集成电路器件
US9653551B2 (en) 2012-03-21 2017-05-16 Samsung Electronics Co., Ltd. Field effect transistors including fin structures with different doped regions and semiconductor devices including the same
CN103426765A (zh) * 2012-05-24 2013-12-04 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
CN103426765B (zh) * 2012-05-24 2016-12-14 中芯国际集成电路制造(上海)有限公司 半导体器件的形成方法、鳍式场效应管的形成方法
CN103515430A (zh) * 2012-06-19 2014-01-15 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN103515430B (zh) * 2012-06-19 2016-08-10 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN103531477B (zh) * 2012-07-05 2016-04-06 台湾积体电路制造股份有限公司 具有位于下方的嵌入式抗穿通层的FinFET方法和结构
CN103531477A (zh) * 2012-07-05 2014-01-22 台湾积体电路制造股份有限公司 具有位于下方的嵌入式抗穿通层的FinFET方法和结构
CN103715087A (zh) * 2012-09-29 2014-04-09 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN103715087B (zh) * 2012-09-29 2016-12-21 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管及其制造方法
CN103715198B (zh) * 2012-10-04 2016-08-17 闪矽公司 三维单浮栅非易失性存储器装置
CN103715198A (zh) * 2012-10-04 2014-04-09 闪矽公司 三维单浮栅非易失性存储器装置
CN103839810A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 鳍式场效应晶体管芯片及其制造方法
CN103855020B (zh) * 2012-12-04 2016-06-29 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN103855020A (zh) * 2012-12-04 2014-06-11 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
CN104009080B (zh) * 2013-02-27 2016-12-28 台湾积体电路制造股份有限公司 具有应变阱区的FinFET
US9601342B2 (en) 2013-02-27 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9455320B2 (en) 2013-02-27 2016-09-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9748143B2 (en) 2013-02-27 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9748142B2 (en) 2013-02-27 2017-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US9859380B2 (en) 2013-02-27 2018-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
CN104009080A (zh) * 2013-02-27 2014-08-27 台湾积体电路制造股份有限公司 具有应变阱区的FinFET
US10158015B2 (en) 2013-02-27 2018-12-18 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US10164022B2 (en) 2013-03-08 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
US10164023B2 (en) 2013-03-08 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with strained well regions
CN108109956A (zh) * 2013-04-04 2018-06-01 意法半导体公司 集成电路器件和制作技术
US11705458B2 (en) 2013-04-04 2023-07-18 Stmicroelectronics, Inc. Integrated circuit devices and fabrication techniques
CN104124273A (zh) * 2013-04-26 2014-10-29 台湾积体电路制造股份有限公司 具有应变缓冲层的mos器件及其形成方法
CN104124273B (zh) * 2013-04-26 2017-03-01 台湾积体电路制造股份有限公司 具有应变缓冲层的mos器件及其形成方法
CN104217948A (zh) * 2013-05-31 2014-12-17 中国科学院微电子研究所 半导体制造方法
CN104347709A (zh) * 2013-08-09 2015-02-11 联华电子股份有限公司 半导体装置
CN105493251A (zh) * 2013-09-27 2016-04-13 英特尔公司 具有多层柔性衬底的非平面半导体器件
CN105355658B (zh) * 2014-08-18 2019-10-18 联华电子股份有限公司 鳍状场效晶体管元件及其制造方法
CN105355658A (zh) * 2014-08-18 2016-02-24 联华电子股份有限公司 鳍状场效晶体管元件及其制造方法
CN105990240A (zh) * 2015-03-04 2016-10-05 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN105990240B (zh) * 2015-03-04 2019-06-28 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制备方法、电子装置
CN111509048A (zh) * 2020-04-28 2020-08-07 上海华力集成电路制造有限公司 N型鳍式晶体管及其制造方法

Also Published As

Publication number Publication date
TW200535979A (en) 2005-11-01
KR100845175B1 (ko) 2008-07-10
US7154118B2 (en) 2006-12-26
TWI269358B (en) 2006-12-21
CN101189730B (zh) 2011-04-20
US7781771B2 (en) 2010-08-24
US20080142841A1 (en) 2008-06-19
WO2005098963A1 (en) 2005-10-20
US20050218438A1 (en) 2005-10-06
US7326634B2 (en) 2008-02-05
KR20060130704A (ko) 2006-12-19
DE112005000704B4 (de) 2012-08-30
US20050224800A1 (en) 2005-10-13
DE112005000704T5 (de) 2007-09-06

Similar Documents

Publication Publication Date Title
CN101189730B (zh) 具有增强迁移率的应变沟道的非平面体晶体管及制造方法
US9577098B2 (en) Semiconductor device and fabrication method thereof
KR101023208B1 (ko) 인장 변형된 기판을 구비한 mosfet 디바이스와 그제조방법
US7241653B2 (en) Nonplanar device with stress incorporation layer and method of fabrication
US7872303B2 (en) FinFET with longitudinal stress in a channel
KR100844933B1 (ko) 반도체 소자의 트랜지스터 및 그 제조 방법
US8062938B2 (en) Semiconductor device and method of fabricating the same
US8399933B2 (en) Semiconductor device having silicon on stressed liner (SOL)
US10374064B2 (en) Fin field effect transistor complementary metal oxide semiconductor with dual strained channels with solid phase doping
US7550330B2 (en) Deep junction SOI MOSFET with enhanced edge body contacts
US6818938B1 (en) MOS transistor and method of forming the transistor with a channel region in a layer of composite material
WO2005074035A1 (ja) 電界効果型トランジスタおよびその製造方法
US6541322B2 (en) Method for preventing gate depletion effects of MOS transistor
US6720224B2 (en) Method for forming transistor of semiconductor device

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110420

Termination date: 20210328