CN101226922A - SiCOH电介质材料和成形方法 - Google Patents

SiCOH电介质材料和成形方法 Download PDF

Info

Publication number
CN101226922A
CN101226922A CNA2007100020039A CN200710002003A CN101226922A CN 101226922 A CN101226922 A CN 101226922A CN A2007100020039 A CNA2007100020039 A CN A2007100020039A CN 200710002003 A CN200710002003 A CN 200710002003A CN 101226922 A CN101226922 A CN 101226922A
Authority
CN
China
Prior art keywords
silane
mentioned
sila
methyl
dielectric
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007100020039A
Other languages
English (en)
Other versions
CN101226922B (zh
Inventor
D·A·纽梅尔
A·格利尔
S·M·盖茨
阮山文
V·V·帕特尔
A·阿夫扎里-阿达卡尼
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Intel Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101226922A publication Critical patent/CN101226922A/zh
Application granted granted Critical
Publication of CN101226922B publication Critical patent/CN101226922B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02362Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment formation of intermediate layers, e.g. capping layers or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1042Formation and after-treatment of dielectrics the dielectric comprising air gaps
    • H01L2221/1047Formation and after-treatment of dielectrics the dielectric comprising air gaps the air gaps being formed by pores in the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Inorganic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

在半导体器件制造中可用的多孔复合材料,其中孔的直径(或特征尺寸)和孔尺寸分布(PSD)以纳米规模方式控制并且呈现改进的粘结强度(或者相等地,改进的断裂韧性或降低的脆性)和提高的对诸如应力腐蚀开裂、Cu的进入和其它临界性质的性质的耐水下降性。使用至少一种双官能的有机成孔剂作为前体化合物制造该多孔复合材料。

Description

SiCOH电介质材料和成形方法
相关申请
本申请涉及于2005年1月21日提交的共同转让和共同未决的美国专利申请系列号11/040,778和2005年7月27日提交的共同转让和共同未决的美国专利申请系列号11/190,360,上述每一篇美国专利申请的全部内容在此引用作为参考。
发明领域
本发明总体涉及具有低介电常数(k)的包括Si、C、O和H原子(SiCOH)的一组电介质材料,和制造这些材料的膜以及包含该膜的电子器件的方法。该材料也被称作C掺杂氧化物(CDO)或有机硅酸盐玻璃(OSG)。使用一种双官能有机分子作为前体之一制造该SiCOH电介质。
发明背景
近年在ULSI电路中使用的电子器件尺寸上的不断收缩已经导致BEOL镀覆金属的电阻增加以及层内和层间电介质的电容的增加。这二者结合的效果增加了ULSI电子器件内的信号延迟。为了提高未来ULSI电路的开关性能,需要低介电常数(k)绝缘体和尤其是具有显著低于氧化硅的k的那些绝缘体来降低电容。通常集成微处理器电路的速度会受到电信号传输通过BEOL(线后端)互连体的速度的限制。具有约2.7或更小的介电常数的超低k(ULK)电介质材料可以使BEOL互连体结构传输电信号更快,具有更低的功率损失,以及金属导体例如Cu之间更少的串线干扰。多孔材料一般具有小于非多孔的相同材料的介电常数。通常,多孔材料可用于一系列应用,包括例如作为互连结构的层间或层内电介质。
通常的多孔电介质材料由第一固相和包括空隙或孔的第二相组成。在本申请中,术语“空隙”和“孔”可互换使用。多孔材料的一个共同方面是控制孔的特征尺寸和孔尺寸分布(PSD)的问题。尺寸和PSD对材料的性质有很强的影响。可能受电介质材料的孔尺寸或PSD影响的特定性质包括例如电、化学、结构和光学性质。还有,在制造BEOL互连体结构中使用的加工步骤可以使ULK电介质的性能退化,并且退化的量取决于ULK电介质中的孔的尺寸。上述可以被称作“加工破坏”。大孔(大于孔尺寸分布中的最大值)的存在导致过多的加工破坏,因为等离子体物质、水和加工化学品会轻易地移动通过大孔并被捕捉在孔中。
通常,ULK电介质中的孔具有平均尺寸(即大部分孔)并且还具有由较大孔(几nm的数量级)组成的PSD组分,其由于孔连接而随着孔密度增加,具有宽分布的较大尺寸(即少部分较大的孔)。
小部分数目的较大孔使液相和气相化学品可以更快地渗透进入ULK膜。在湿处理和等离子体处理中都发现了这些在ULK电介质材料的集成过程中例行使用以建立互连体结构的化学品。
根据上述,需要提供复合材料,其中复合材料内的所有孔都很小,具有约5nm或更小的直径和窄的PSD。还需要提供制造复合材料的方法,其中该材料中基本消除宽分布的较大尺寸的孔。
现有技术多孔超低k SiCOH膜的关键问题包括例如:(a)它们是脆的(即:低粘结强度、低断裂伸长、低断裂韧性);(b)液体水和水蒸汽甚至进一步降低材料的粘结强度。粘结强度CS对水的压力PH20或湿度%的作图又称作“CS湿度图”,对每一个k值和材料具有一个特征斜率;(c)它们倾向于具有拉伸应力与低断裂韧性的结合,并因此当膜超过某些临界厚度时,在与水接触时它们倾向于破裂;(d)它们可以吸收水和其它操作助剂,这又可以导致增强Cu在电场中的电化学腐蚀,和进入到多孔电介质中导致电泄漏和导体间高的导电性;(e)当C以Si-CH3基团连接时,现有技术SiCOH电介质轻易地与抗剥离等离子体、CMP操作和其它集成工艺反应,引起SiCOH电介质被“破坏”,形成更亲水的表面层。
例如,硅酸盐和有机硅酸盐玻璃倾向于落在粘结强度对介电常数的普适曲线上,如图1所示。该图包括常规的氧化物(点A)、常规SiCOH电介质(点B)、常规k=2.6SiCOH电介质(点C)和k约为2.2的常规CVD超低k电介质(点D)。两个量都主要由Si-O键的体积密度决定这一事实解释了它们之间的比例变化。它还建议,具有超低介电常数(例如k<2.4)的OSG材料基本上限于在完全干燥环境中具有约3J/m2或更小的粘结强度。随着湿度的增加粘结强度进一步降低。
现有技术SiCOH膜的另一个问题是它们的强度倾向于被水下降。可以使用如在例如M.W.Lane,X.H.Liu,T.M.Shaw,“EnvironmentalEffect on Cracking and Delamination of Dielectric Films”(环境对电介质膜的破裂和分层的影响),IEEE Transactions on Deviceand Materials Reliability(器件和材料可靠性IEEE学报),4,2004,142-147页描述的4-点弯曲技术测量水下降对现有技术SiCOH膜的影响。图2A来自该参考文献,是说明水对具有约2.9的介电常数k的一般的SiCOH膜的强度的影响图。数据是通过4-点弯曲技术,在其中控制并改变水的压力(PH20)的室内进行测量的。特别地,图2A表示粘结强度对控制室内的水压的自然对数(ln)作图。按使用的单位,此图的斜率大致为-1。增加水的压力会降低粘结强度。在图2A中该线上方的阴影区域代表难以用现有技术SiCOH电介质获得的粘结强度面积。
图2B也来自上述引用的M.W.Lane参考文献,与图2A相似。特别地,图2B是使用如图2A相同步骤测量的另一个SiCOH膜的粘结强度的图。现有技术SiCOH膜具有2.6的介电常数和此图的斜率按使用的单位是约-0.66。在图2B中该线上方的阴影区域代表难以用现有技术SiCOH电介质获得的粘结强度的面积。
已知Si-C键比Si-O键极性弱。进一步,已知有机聚合物电介质具有比有机硅酸盐玻璃高的断裂韧性和不倾向于应力腐蚀开裂(如Si-O基电介质)。这表明更多有机聚合物含量和更多Si-C键加入到SiCOH电介质中会降低上述水下降作用和增加非线性能量散逸机理如可塑性。更多有机聚合物含量加入到SiCOH会形成具有增加的断裂韧性和降低的环境敏感性的电介质。
在其它领域已知一些材料如有机弹性体的力学性能可以通过某些包括加入的化学物种来促使并形成交联化学键的交联反应来改进。这会增加材料的弹性模量、玻璃化转变温度和粘结强度,以及在一些情况下,耐氧化性、耐吸水性和相关下降。
非常大规模的集成(“VLSI”)和ULSI芯片的大多数制造步骤都是通过等离子体增强化学或物理气相沉积技术完成的。这样,使用以前安装并可得到的加工设备,通过等离子体增强化学气相沉积(PECVD)技术制造低k材料的能力将简化其在制造工艺中的集成化作用、降低制造成本并产生更少的有害废物。转让给本发明的共同受让人的美国专利号6,147,009和6,497,963在此全部引用作为参考,其描述了由元素Si、C、O和H原子组成的低介电常数材料,其介电常数不超过3.6并呈现出非常低的裂纹蔓延速度。
尽管有大量SiCOH电介质的公开内容,但是仍然需要提供利用相对简单和成本有效的加工技术的新的和改进的SiCOH电介质。
发明概述
本发明提供在半导体器件制造中可用的复合材料,和更特别涉及多孔的复合材料,其中孔的直径(或特征尺寸)和孔尺寸分布(PSD)以纳米规模方式控制并且呈现改进的粘结强度(或者相等地,改进的断裂韧性或降低的脆性),和提高的对诸如应力腐蚀开裂、Cu的进入和其它临界性质的性质耐水下降性。术语“纳米规模”在此使用指直径小于约5nm的孔。
本发明还提供制造本申请的多孔复合材料的方法以及本发明的电介质材料在超大规模集成(ULSI)电路和相关电子结构上线后端(BEOL)互连体结构中用作层内或层间电介质膜、电介质盖和/或硬掩模/抛光停止的用途。本发明还涉及本发明的电介质材料在含至少两个导体或电子传感结构的电子器件中的用途。
特别地,本发明提供多孔的复合电介质,其中在复合电介质内基本上所有的孔都很小,直径约为5nm或更小,优选约3nm或更小和甚至更优选约1nm或更小,和具有窄的PSD。在本申请全文中使用的术语“窄的PSD”指测量的孔尺寸分布具有约1-约3nm的半峰宽度(FWHM)。使用现有技术中已知的普通技术测量PSD,包括但不限于:椭圆偏光测孔隙率法(EP)、阳电子湮没光谱(PALS)、气体吸附法、X-射线散射或其它方法。
本发明的复合材料特征还在于:基本没有在现有技术多孔复合材料中很普便的较大尺寸孔的宽分布。一方面,本发明的复合材料代表超过现有技术的进步,因为在湿化学清洗过程中,它们不允许湿的化学品渗透超过材料的曝露表面。而且,在第二个方面,本发明的复合材料是超过现有技术的进步,因为在其集成过程中,它们不允许基于O2、H2、NH3、H2O、CO、CO2、CH3OH、C2H5OH、惰性气体和这些气体的相关混合物的等离子体处理渗透超过材料的曝露表面。
本发明的复合材料包括低或超低k介电常数的多孔材料,其包括Si、C、O和H原子(下文作“SiCOH”),具有不超过2.7(即约2.7或更小)的介电常数。而且,本发明的多孔复合电介质包括具有第一特征尺寸的第一固相和由具有第二特征尺寸的孔组成的第二固相,其中该复合电介质的孔尺寸分布具有约为1-约3nm的半峰宽度(FWHM),其中增加的粘结强度不小于约6J/m2和优选不小于约7J/m2,它是通过通道开裂或夹持4点弯曲断裂力学试验进行测量的。
本发明还提供具有共价键合的三维网状结构的多孔SiCOH电介质,其包括一部分以Si-R-Si形式键合的C,其中R是-[CH2]n-、-[HC=CH]n-、-[C≡C]n-或-[CH2C=CH]n-,其中n大于或等于1,进一步R可以是支化的和可以包括单键和双键的混合。根据本发明,材料中以Si-R-Si形式键合的总碳原子的分数一般在0.01-0.49之间,在一个优选的实施方案中,SiCOH电介质包括Si-[CH2]n-Si,其中n是1或3。
而且,本发明的多孔的SiCOH电介质材料对于水蒸汽(湿度)曝露非常稳定,包括水中的耐裂纹形成。在一些实施方案中,本发明的SiCOH电介质材料具有小于约2.5的介电常数,小于约40MPa的拉伸应力,大于约3GPa的弹性模量,大于约3-约6J/m2的粘结强度,对于3微米的膜厚度在水中不超过1×10-10米/秒的裂纹扩展速度,和一部分C原子键接在官能团Si-CH2-Si中,其中碳分数为从约0.05至约0.5,其通过C固态NMR和FTIR进行测量。
在本发明作为选择的实施方案中,有以Si-CH3形式键接的碳以及以Si-R-Si形式键接的碳,其中R可以是不同的有机基团。
在本发明的材料的所有实施方案中,与现有技术SiCOH和pSiCOH电介质的Si-CH3键合特征相比,改进的C-Si键合是该材料的一个特征。
除了提供多孔复合材料外,本发明还提供制造多孔复合材料的方法。特别地和在广义上,本发明的方法包括:提供至少一个第一种前体和一个第二种前体到反应器室内,其中上述第一种或第二种前体中至少一个是双官能的有机成孔剂(porogen);沉积包括第一相和第二相的膜;和从上述膜中去除上述成孔剂,以提供包括具有第一特征尺寸的第一固相和由具有第二特征尺寸的孔组成的第二固相的多孔的复合材料,其中上述相中至少一个的特征尺寸被控制到约5nm或更小的值。
在本发明内,成孔剂前体选自一族新的和可制备的双官能的有机分子,其包括由线型的、支化的、环状的或多环的烃主链和仅两个官能团组成的双官能的有机化合物,所述烃主链由-[CH2]n-组成,其中n大于或等于1,和所述仅两个官能团选自链烯烃、炔烃、醚、环氧化合物、醛、酮、胺、羟基、醇、羧酸、腈、酯、叠氮基和偶氮。
双官能的有机分子的使用促进了将可分解的烃结合到SiCOH材料中,同时可以控制孔的尺寸分布。另外,与现有技术化合物相比,双官能有机分子的选择导致在本发明的膜中SiRSi键的增加。据观察,尽管已知单官能有机成孔剂的应用,但是申请人已经发现单官能有机成孔剂的应用会导致很难将可分解的烃引入到SiCOH基质中。通过用双官能的有机成孔剂代替单官能有机成孔剂,观察到了烃引入方面的非预期的增加。
本发明的多孔SiCOH电介质材料具有如在美国专利申请系列号11/040,778中所述的粘结强度对湿度的响应。即,该多孔SiCOH电介质材料的特征在于:(i)在干燥环境,即完全没有水时,粘结强度大于约3J/m2,(ii)在1570Pa的水压、25℃(50%相对湿度)下,粘结强度大于约3J/m2,或(iii)在1570Pa的水压、25℃下,粘结强度大于约2.1J/m2。本发明的SiCOH电介质具有比现有技术材料弱的粘结强度对水分压的依赖性。在本发明内,这通过使用所述新的和可制备的成孔剂前体系列,引入Si-[CH2]n-Si型键合来实现,这些成孔剂前体可以呈现或不呈现出进一步增加材料的力学强度的非线性形变特性。最终的结果是在干燥环境中电介质的粘结强度至少等于但是优选大于具有相同介电常数的Si-O基电介质的粘结强度,和本发明的电介质材料具有显著降低的环境敏感性。
本发明还提供用于沉积本发明的SiCOH电介质材料的PEVCD方法和用于固化本发明的SiCOH电介质材料的恰当方法,其中PEVCD沉积法以所述新的和可制备的成孔剂前体系列为基础。
本发明还涉及电子结构,其中本发明的SiCOH电介质材料可以在电子结构中用作层间或层内电介质、盖层和/或硬掩模/抛光停止层。本发明的SiCOH电介质还可以在其它电子结构如电路板或无源模拟器件中使用。本发明的SiCOH电介质膜也可以在其它电子结构中使用,包括具有至少两个导体和一个光电传感结构的结构,用于在光的检测中的应用。
附图简述
图1是表示现有技术电介质的粘结强度对介电常数的普适曲线。
图2A-2B表示在受控室内,现有技术SiCOH电介质的粘结强度对水压力的自然对数(ln)作图。
图3是利用多种双官能的有机分子的本发明的材料的孔尺寸分布的简图,示意了吸附和解吸附值。
图4-9B是描述可以包括本发明的SiCOH电介质的多种电子结构的绘图(通过横截面图)。
发明详述
现在将通过参考下列讨论更详细地描述本发明,其提供含孔尺寸控制在纳米规模上的孔的多孔复合电介质材料以及制造该多孔材料的方法。在本发明的一些实施方案中,提供附图来说明包括本发明的多孔复合电介质材料的结构。在那些附图中,结构并未按比例示出。
使用在美国专利号6,147,009、6,312,793、6,441,491、6,437,443、6,541,398、6,479,110B2和6,497,963中所述的方法,制备本发明的多孔电介质材料,其全部内容在此引用作为参考。在沉积工艺中,这样形成本发明的多孔的电介质材料:提供至少两种前体(其中之一包括双官能有机分子)的混合物到反应器内,优选反应器是PECVD反应器,然后使用在形成本发明的多孔电介质材料中有效的条件,将由前体混合物衍生的膜沉积在合适的基体(半导电的、绝缘的、导电的或其任何结合或多层)上。在本发明内,正确选择双官能有机分子可以控制材料中的孔尺寸和PSD。
本发明的双官能的有机分子可以制备并提供多孔性以及提供引入Si-R-Si键合的方法,其中R是-[CH2]n-、-[HC=CH]n-、-[C≡C]n-、-[CH2C=CH]n-。这是使用由-[CH2]n-的线型、支化、环状或多环烃主链组成的通式的双官能的有机分子完成的,其中n大于或等于1,并仅在两个位置被选自烯烃(-C=C-)、炔烃(-C≡C-)、醚(-C-O-C-)、3元环氧乙烷、环氧化合物、醛(HC(O)-C-)、酮(-C-C(O)-C-)、胺(-C-N-)、羟基(-OH)、醇(-OR)、羧酸(-C(O)-O-H)、腈(-C≡N)、酯(-C(O)-C-)、氨基(-NH2)、叠氮(-N=N=N-)和偶氮(-N=N-)的官能团取代。在本发明内,烃主链可以是线型的、支化的或环状的和可以包括线型、支化和环状烃部分的混合物。这些有机基团众所周知并具有本领域内众所周知的标准定义。这些有机基团可以存在于任何有机化合物中。
在一个优选的实施方案中,官能团是烯烃和双官能的有机分子具有通式[CH2=CH]-[CH2]n-[CH=CH2],其中n是1-8。
在第二个优选的实施方案中,双官能的有机分子选自氧化环戊烯、氧化异丁烯、2,2,3-三甲基环氧乙烷、一氧化丁二烯、双环庚二烯、1,2-环氧-5-己烯和2-甲基-2-乙烯基环氧乙烷、丙二烯、丁二烯、戊二烯、己二烯、庚二烯、辛二烯、壬二烯、癸二烯、环戊二烯、环己二烯、二炔如丙二炔、丁二炔。双官能的有机分子不需要对称,可以包含两个不同的官能团和可以是环状的或线型的。
至少两种前体的混合物包含至少一种,例如由至少一个Si原子、一个惰性载体如He、Ar或其混合物组成的第一种有机硅前体,以及一种例如由至少C和H组成的第二种双官能的有机分子。本发明还预期了其中第一种前体是双官能的有机分子而第二种前体是有机硅化合物的实施方案。在本发明内,第二种前体包括任何含Si的化合物,包括选自下列的分子:具有分子式SiR4的硅烷(SiH4)衍生物、具有式R3SiOSiR3的二硅氧烷衍生物、具有式R3SiOSi R2SiOSiR3的三硅氧烷衍生物、环状含Si化合物,包括环硅氧烷、环碳硅氧烷环碳硅烷,其中R取代基团可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、链烯基或炔基,其可以是线型的、支化的、环状的、多环的并可以用含氧、氮或氟的取代基、任何环状含Si化合物包括环硅氧烷、环碳硅氧烷进行官能化。
优选的硅前体包括但是不限于:硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二乙基硅烷、三乙基硅烷、四乙基硅烷、乙基甲基硅烷、三乙基甲基硅烷、乙基二甲基硅烷、乙基三甲基硅烷、二乙基二甲基硅烷、任何烷氧基硅烷分子,包括例如二乙氧基甲基硅烷(DEMS)、二甲基乙氧基硅烷、二甲基二甲氧基硅烷、四甲基环四硅氧烷(TMCTS)、八甲基环四硅氧烷(OMCTS)、十甲基环戊硅氧烷(DMCPS)、乙氧基三甲基硅烷、乙氧基二甲基硅烷、二甲氧基二甲基硅烷、二甲氧基甲基硅烷、三甲氧基甲基硅烷、甲氧基硅烷、二甲氧基硅烷、三甲氧基硅烷、四甲氧基硅烷、乙氧基硅烷、二乙氧基硅烷、三乙氧基硅烷、四乙氧基硅烷、甲氧基甲基硅烷、二甲氧基甲基硅烷、三甲氧基甲基硅烷、甲氧基二甲基硅烷、甲氧基三甲基硅烷、二甲氧基二甲基硅烷、乙氧基甲基硅烷、乙氧基二甲基硅烷、乙氧基三甲基硅烷、三乙氧基甲基硅烷、二乙氧基二甲基硅烷、乙基甲氧基硅烷、二乙基甲氧基硅烷、三乙基甲氧基硅烷、乙基二甲氧基硅烷、乙基三甲氧基硅烷、二乙基二甲氧基硅烷、乙氧基甲基硅烷、二乙氧基甲基硅烷、三乙氧基甲基硅烷、乙氧基二甲基硅烷、乙氧基三甲基硅烷、二乙氧基二甲基硅烷、乙基二甲氧基甲基硅烷、二乙氧基乙基甲基硅烷、1,3-二硅环戊烷(disilolane)、1,1,3,3-四甲氧基(乙氧基)-1,3-二硅环戊烷、1,1,3,3-四甲基-1,3-二硅环戊烷、乙烯基甲基二乙氧基硅烷(VDEMS)、乙烯基三乙氧基硅烷、乙烯基二甲基乙氧基硅烷、环己烯基乙基三乙氧基硅烷、1,1-二乙氧基-1-硅杂环戊-3-烯、二乙烯基四甲基二硅氧烷、2-(3,4-环氧环己基)乙基三乙氧基硅烷、2-(3,4-环氧环己基)乙基三甲氧基硅烷、环氧己基三乙氧基硅烷、六乙烯基二硅氧烷、三乙烯基甲氧基硅烷、三乙烯基乙氧基硅烷、乙烯基甲基乙氧基硅烷、乙烯基甲基二乙氧基硅烷、乙烯基甲基二甲氧基硅烷、乙烯基五甲基二硅氧烷、乙烯基四甲基二硅氧烷、乙烯基三乙氧基硅烷、乙烯基三甲氧基硅烷、1,1,3,3-四氢-1,3-二硅杂环丁烷、1,1,3,3-四甲氧基(乙氧基)-1,3-二硅杂环丁烷、1,3-二甲基-1,3-二甲氧基-1,3-二硅杂环丁烷、1,3-二硅杂环丁烷、1,3-二甲基-1,3-二氢-1,3-二甲硅烷基环丁烷、1,1,3,3-四甲基-1,3-二硅杂环丁烷、1,1,3,3,5,5-六甲氧基-1,3,5-三硅烷、1,1,3,3,5,5-六氢-1,3,5-三硅烷、1,1,3,3,5,5-六甲基-1,3,5-三硅烷、1,1,1,3,3,3-六甲氧基(乙氧基)-1,3-二硅杂丙烷、1,1,3,3-四甲氧基-1-甲基-1,3-二硅杂丁烷、1,1,3,3-四甲氧基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氢-1,3-二硅杂丙烷、3-(1,1-二甲氧基-1-硅杂乙基)-1,4,4-三甲氧基-1-甲基-1,4-二硅杂戊烷、甲氧基甲烷2-(二甲氧基硅杂甲基)-1,1,4-三甲氧基-1,4-二硅杂丁烷、甲氧基甲烷1,1,4-三甲氧基-1,4-二硅杂-2-(三甲氧基甲硅烷基甲基)丁烷、二甲氧基甲烷、甲氧基甲烷、1,1,1,5,5,5-六甲氧基-1,5-二硅杂戊烷、1,1,5,5-四甲氧基-1,5-二硅杂己烷、1,1,5,5-四甲氧基-1,5-二硅杂戊烷、1,1,1,4,4,4-六甲氧基(乙氧基)-1,4-二甲硅烷基丁烷、1,1,1,4,4,4-六氢-1,4-二硅杂丁烷、1,1,4,4-四甲氧基(乙氧基)-1,4-二甲基-1,4-二硅杂丁烷、1,4-双-三甲氧基(乙氧基)甲硅烷基苯、1,4-双-二甲氧基甲基甲硅烷基苯和1,4-双-三氢甲硅烷基苯。还有相应的间位取代异构体如1,1,1,4,4,4-六甲氧基(乙氧基)-1,4-二硅杂丁-2-烯、1,1,1,4,4,4-六甲氧基(乙氧基)-1,4-二硅杂丁-2-炔、1,1,3,3-四甲氧基(乙氧基)-1,3-二硅环戊烷1,3-二硅环戊烷、1,1,3,3-四甲基-1,3-二硅环戊烷、1,1,3,3-四甲氧基(乙氧基)-1,3-二硅烷、1,3-二甲氧基(乙氧基)-1,3-二甲基-1,3-二硅烷、1,3-二硅烷、1,3-二甲氧基-1,3-二硅烷、1,1-二甲氧基(乙氧基)-3,3-二甲基-1-丙基-3-硅杂丁烷、2-硅杂丙烷、1,3-二硅杂环丁烷、1,3-二硅杂丙烷、1,5-二硅杂戊烷或1,4-双-三氢甲硅烷基苯。
除了第一种前体外,还使用第二种双官能的有机分子如带有两个双键的烃(即二烯)。调整双官能的有机分子的尺寸,以调整孔的一般尺寸(PSD中的尺寸最大值)。参见图3,该附图表示使用己二烯作为第二种前体得到的结果。优选的双官能的有机分子包括丙二烯、丁二烯、戊二烯、己二烯、庚二烯、辛二烯、壬二烯、癸二烯、环戊二烯、环己二烯、二炔如丙二炔、丁二炔。此双官能的有机分子不需要对称并可以包含两个不同的官能团。
本发明还进一步提供任选地添加一种氧化剂如O2、N2O、CO2或其结合体到气体混合物中,由此稳定反应器内的反应物并改进沉积的多孔电介质材料的性能和均匀性。
本发明的方法可以进一步包括提供平行板反应器的步骤,该平行板反应器具有约85cm2-约750cm2的基体卡盘面积,和基体与顶部电极之间的缝隙为约1cm-约12cm。以约0.45MHz-约200MHz的频率施加高频RF功率到电极之一上。任选地,可以施加比第一个RF功率低的频率的附加RF功率到电极之一上。
沉积步骤使用的条件可以依赖本发明的多孔电介质材料的预期的最终的介电常数而改变。一般地说,对于提供包括元素Si、C、O、H并具有小于60MPa的拉伸应力、约2-约15GPa的弹性模量和约0.2-约2GPa的硬度的稳定的多孔电介质材料的使用条件包括:设定基体温度在约100℃-约425℃范围内;设定高频RF功率密度在约0.1W/cm2-约2.0W/cm2范围内;设定第一种液体前体流速在约10mg/min-约5000mg/min范围内;设定第二种液体前体的流速在约10mg/min-约5,000mg/min范围内;任选地设定惰性载气如氦气(或/和氩气)流速在约10sccm-约5000sccm范围内;设定反应器压力在约1000mTorr-约10,000mTorr范围内;和设定高频RF功率在约50W-约1000W范围内。任选地,可以将约20W-约400W范围内的较低频率功率加到等离子体上。当基体卡盘的导电面积改变x倍时,施加到基体卡盘上的RF功率也改变x倍。当在本发明中使用氧化剂时,将其以约10sccm-约1000sccm范围内的流速注入反应器。
尽管在上述实施例中使用液体前体,本领域已知有机硅气相前体(如三甲基硅烷)也可以用于沉积。任选地,在上述沉积膜制备之后,可以根据如下详述对膜施加固化或处理步骤。
现在描述本发明的第一种方法的一个实施例,来制备本发明的SiCOH材料:在300℃-425℃和优选在350℃-400℃下,将一个300mm或200mm的基体放置在PECVD反应器内加热的晶片卡盘上。在本发明内可以使用任何PECVD沉积反应器。然后稳定气体和液体前体流速,以达到1-10Torr范围内的压力,和施加RF辐照到反应器喷淋头上约5-约500秒的时间。为了材料的生长,可以使用一种或两种前体,如美国专利号6,147,009、6,312,793、6,441,491、6,437,443、6,541,398、6,479,110B2和6,497,963中所述,其全部内容在此引用作为参考。第一种前体可以是DEMS(二乙氧基甲基硅烷)或上述第一种前体中的任何一种。
第二种前体是用于制备孔尺寸控制在约1纳米规模上的膜的双官能的成孔剂。在本发明内,双官能的成孔剂在PECVD等离子体中生成具有有限基团尺寸分布的烃基。这优选地通过选择含两个C=C双键的成孔剂(称为二烯)来实现,因此等离子体中的基团具有最多两个基本反应中心。
在本发明内,也可以使用其它带两个反应中心的烃分子(包括例如羟基、醇、张力环、醚等)。优选的纳米级成孔剂的例子是丁二烯、戊二烯、己二烯、庚二烯、辛二烯和其它含两个C=C双键的线型的或环状的二烯。
进一步,本发明的成孔剂分子是可以制备的,因为当保持在接近沸点的温度时,这些分子可以非常稳定很长时间。本发明的成孔剂在这些温度下,甚至当有痕量的O2、H2O和其它氧化种存在时也不聚合。
沉积之后,上述沉积材料一般使用热、UV光、电子束照射、化学能或这些能源中一种以上的结合进行固化或处理,形成最终具有预期的力学性能和文中所述的其它性能的膜。例如,沉积之后,可以进行电介质膜的处理(使用热能和第二种能源),以稳定膜并得到改进的性能。第二种能源可以是电磁照射(UV、微波等)、带电粒子(电子或离子束)或者可以是化学的(使用在等离子体中形成的氢原子或其它反应性气体)。也可以使用此处理从上述沉积的电介质膜中去除成孔剂。
在一个优选的处理中,将含根据上述工艺沉积的膜的基体在受控环境(真空或含H2的还原气氛,或具有低O2和H2O浓度的超纯惰性气体)下,置于紫外线(UV)处理工具中。可以使用脉冲或连续UV源,可以使用300℃-450℃的基体温度和可以使用至少一个在170-400nm范围内的UV波长。在本发明内优选190-300nm范围内的UV波长。
在本发明内,UV处理工具可以被连接到沉积工具上(“集束”),或者可以是单独的工具。这样,正如本领域公知,在本发明内,将在两个单独的可以被集束在单一加工工具上的工艺室内进行这两个工艺步骤,或者两个室可以在单独的加工工具内(“解集束”)。
如上所述,本发明提供电介质材料(多孔的或密集的即非孔的),所述电介质材料包括氢化的氧化的硅碳材料(SiCOH)的基质,所述SiCOH包括处于共价键合的三维网状结构中的Si、C、O和H元素,和具有约2.7或更小的介电常数。在本申请全文中使用术语“三维网状结构”指包括在x,y和z方向上相互连接和相关的硅、碳、氧和氢的SiCOH电介质材料。
本发明提供具有共价键合的三维网状结构的多孔SiCOH电介质材料,所述三维网状结构包括以Si-CH3形式键合的C以及以Si-R-Si形式键合的C,其中R是-[CH2]n-、-[HC=CH]n-、-[C≡C]n-、-[CH2C=CH]n-,其中n大于或等于1,进一步R可以是支化的和可以包括单键和双键的混合。根据本发明,材料中以Si-R-Si形式键合的总碳原子的分数一般在0.01-0.99之间,其通过固态NMR测定。在一个优选的实施方案中,SiCOH电介质包括Si-[CH2]n-Si,其中n是1或3。在优选的实施方案中,材料中以Si-CH2-Si形式键合的碳原子的总分数在0.05-0.5之间,其通过固态NMR测定。
本发明的SiCOH电介质材料包括约5-约40之间,更优选约10-约20之间的Si原子百分数;约5-约50之间,更优选约15-约40之间的C原子百分数;约0-约50之间,更优选约10-约30之间的O原子百分数;和约10-约55之间,更优选约20-约45之间的H原子百分数。
在一些实施方案中,本发明的SiCOH电介质材料可以进一步包括F和/或N。在本发明的另一个实施方案中,SiCOH电介质材料可以任选地具有被Ge原子部分地取代的Si原子。可以在本发明的电介质材料中存在的这些任选的元素的量取决于在沉积过程中使用的含有任选的元素的前体的量。
本发明的SiCOH电介质材料包含直径为约0.3-约10纳米之间,和最优选直径为约0.4-约5纳米之间的分子级别空隙(即纳米尺寸的孔),其进一步降低了SiCOH电介质材料的介电常数。纳米尺寸的孔占据材料体积约0.5%-约50%之间的体积。
与现有技术SiCOH和pSiCOH电介质的Si-CH3键合特征相比,本发明的SiCOH电介质具有更多键合在有机基团中,桥连在两个Si原子之间的碳。
除了上述特性外,本发明的SiCOH电介质材料是疏水性的,其水接触角大于70°,更优选大于80°和呈现出在图2A和2B的阴影区域中的粘结强度。
一般使用等离子体增强的化学气相沉积法(PECVD)沉积本发明的SiCOH电介质材料。除了PECVD外,本发明还预期了可以利用化学气相沉积(CVD)、高密度等离子体(HDP)、脉冲PECVD、旋涂应用或其它相关方法形成该SiCOH电介质材料。
下面是实施例,说明本发明的材料和加工实施方案。
实施例1:SiCOH材料A
在此实施例中,根据本发明制备本发明的SiCOH电介质,称作SiCOH膜A。在此实施例中,MDES代表甲氧基二乙基硅烷和HXD代表己二烯。将基体置于反应器内基体固定器上。将包括单一有机硅前体和第二双官能的有机成孔剂的气体或液体前体引入到PECVD反应器内。在一个实施例中,此反应器是平行板反应器,而在另一个实施例中它是高密度等离子体反应器。在前体的流动和反应器内压力已经稳定在预定的条件下之后,施加RF功率到反应器的一个或两个电极上,以解离前体并将膜沉积在基体上。沉积的膜含有SiCOH相和称作成孔剂的相互连接的有机相(来自于有机分子官能度)。随后将膜曝露于处理步骤,其中高能量破坏有机硅基质中的有机相(成孔剂)并使该成孔剂从膜中被去除,这样生成具有超低介电常数(k)的多孔膜,其中k不超过2.6和优选地约2.2-2.4。用于解离并去除成孔剂的能量可以是热(温度高达450℃)、电子束、光学辐照如UV、激光。成孔剂的去除一般与膜的附加交联相关。
  MDES+HXD   气体流动   功率W   K
  SiCOH A   1+5   30   1.94
  VP-43-101A43   1+3   25   2.03
  VP-43-108A43   2+2   25   2.345
  VP-43-109A43   2+2   30   2.466
  VP-43-110A43   4+2   40   2.50
  VP-43-112A43   2.4   30   2.26
实施例2:第一个加工实施方案
为了生成半峰宽度为约1-3nm的孔尺寸分布和具有增强的Si-CH2-Si桥连亚甲基碳的k小于2.7的多孔SiCOH材料,使用两种前体,特别是己二烯和DEMS(二乙氧基甲基硅烷)。在本发明内,可以使用任何烷氧基硅烷前体代替DEMS,包括但不限于:OMCTS、TMCTS、VDEMS或二甲基二甲氧基硅烷。
如本领域公知,可以加入气体如O2,和可以用气体如Ar、CO2或另一种惰性气体代替He。
使用的条件包括DEMS流速为2000mg/m、己二烯流速为100-1000mg/m和He气体流速为1000sccm,稳定上述流速以达到6Torr的反应器压力。晶片卡盘设定为350℃,和将470W的高频RF功率施加到喷淋头上,并且低频RF(LRF)功率是0W,这样没有LRF施加到基体上。膜沉积速度为约2,000-4,000埃/秒。
如本领域公知,上述每一个工艺参数可以在上述本发明的范围内调整。例如,在本发明中还可以使用不同的RF频率,包括但不限于0.26、0.35、0.45MHz。又例如,可以使用氧化剂如O2,或者作为选择包括N2O、CO或CO2的氧化剂。特别地,晶片卡盘温度可以低至例如150℃-350℃。
尽管己二烯是优选的双官能的有机成孔剂,其与DEMS结合提供提高的Si-CH2-Si桥连亚甲基碳分数,但是也可以使用如上所述的其它双官能的有机成孔剂。在作为选择的实施方案中,调整条件以生成介电常数为1.8-高达2.7的SiCOH膜。
在上述实施例中,前体被描述为带有甲氧基和乙氧基取代基团,但是这些可能被氢或甲基取代,在本发明中可以使用含有甲氧基、乙氧基、氢和甲基取代基团的混合物的碳硅烷分子。
可以包括本发明的SiCOH电介质的电子器件示于图4-9B。应当指出,图4-9B中示意的器件仅是本发明的说明例,而由本发明的新方法还可以形成数量无限的其它器件。
在图4中,示意了建立在硅基体32上的电子器件30。在硅基体32的顶端,首先形成绝缘材料层34,其中嵌入有第一个金属区36。当在第一个金属区36上进行CMP工艺之后,将本发明的SiCOH电介质膜38沉积在第一层绝缘材料34和第一个金属区36上。可以由氧化硅、氮化硅、这些材料的掺杂体或者任何其它合适的绝缘材料合适地形成第一层绝缘材料34。然后用光刻蚀工艺将SiCOH电介质膜38刻图案,随后进行蚀刻并在其上沉积导体层40。在第一个导体层40上进行CMP工艺之后,通过等离子体增强化学气相沉积法沉积第二层本发明的SiCOH膜44,覆盖第一个SiCOH电介质膜38和第一个导体层40。导体层40可以由金属材料或非金属导电材料进行沉积。例如,铝或铜的金属材料,或氮化物或多晶硅的非金属材料。第一个导体40与第一个金属区36电连通。
在SiCOH电介质膜44上进行光刻蚀工艺随后进行蚀刻和第二个导体材料的沉积工艺之后,然后形成第二个导体区50。第二个导体区50也可以由金属材料或非金属材料沉积而成,与沉积第一个导体层40中使用的材料相似。第二个导体区50与第一个导体区40电连通并嵌入在第二层SiCOH电介质膜44中。第二层SiCOH电介质膜44与第一层SiCOH电介质材料38紧密接触。在此实施例中,第一层SiCOH电介质膜38是层内电介质材料,而第二层SiCOH电介质膜44既是层内电介质又是层间电介质。以本发明的SiCOH电介质膜的低介电常数为基础,可以由第一个绝缘层38和第二个绝缘层44获得优良的绝缘性能。
图5表示与图4中所示的电子器件30相似的本发明的电子器件60,但是具有沉积在第一个绝缘材料层38和第二个绝缘材料层44之间的附加电介质盖层62。电介质盖层62可以由诸如氧化硅、氮化硅、氧氮化硅、碳化硅、碳氮化硅(SiCN)、碳氧化硅(SiCO)及其氢化化合物等材料恰当地形成。附加的电介质盖层62用作扩散阻挡层以阻止第一个导体层40扩散进入第二个绝缘材料层44中或进入下面的层,尤其是层34和32中。
本发明的另一个替代的实施方案中,电子器件70示于图6。在电子器件70中,使用两个用作RIE掩模和CMP(化学-机械抛光)抛光停止层的附加的电介质盖层72和74。第一个电介质盖层72沉积在第一个超低k绝缘材料层38上面和用作RIE掩模和CMP停止,因此在CMP之后,第一个导体层40和层72大致共平面。第二个电介质层74的功能与层72相似,但是在平面化第二个导体层50中使用层74。抛光停止层74可以由合适的电介质材料如氧化硅、氮化硅、氧氮化硅、碳化硅、碳氧化硅(SiCO)及其氢化化合物沉积形成。对于层72或74,优选的抛光停止层组成是SiCH或SiCOH。第二个电介质层可以加在第二个SiCOH电介质膜44的上面用于相同的目的。
本发明的另一个替代的实施方案中,电子器件80示于图7。在此替代实施方案中,沉积了附加的电介质材料层82,这样将第二个绝缘材料层44分成了两个单独的层84和86。因此,由本发明的超低k材料形成的层内和层间电介质层44在通孔92和互连体94间的界面处被分成层间电介质层84和层内电介质层86。附加的扩散阻挡层96被进一步沉积在上部的电介质层74的上面。由此替代的实施方案的电子结构80提供的额外的好处是电介质层82作为RIE蚀刻停止,提供优良的互连深度控制。这样,选择层82的组成以提供关于层86的蚀刻选择性。
还有其它替代的实施方案可以包括具有在配线结构中作为层内或层间电介质的绝缘材料层的电子结构,其包括预加工的半导体基体,该基体具有嵌入在第一层绝缘材料内的第一个金属区、嵌入在第二层绝缘材料内的第一个导体区,其中第二层绝缘材料与第一层绝缘材料紧密接触,和第一个导体区与第一个金属区电连通、第二个导体区与第一个导体区电连通并嵌入在第三层绝缘材料内,其中第三层绝缘材料与第二层绝缘材料紧密接触、在第二层绝缘材料与第三层绝缘材料之间的第一个电介质盖层和在第三层绝缘材料上面的第二个电介质盖层,其中第一个和第二个电介质盖层由包括原子Si、C、O和H的材料或优选地本发明的SiCOH电介质膜形成。
还有其它替代的本发明的实施方案包括具有在配线结构中作为层内或层间电介质的绝缘材料层的电子结构,其包括预加工的半导体基体,该基体具有嵌入在第一层绝缘材料内的第一个金属区、嵌入在与第一层绝缘材料紧密接触的第二层绝缘材料内的第一个导体区,第一个导体区与第一个金属区电连通、与第一个导体区电连通并且嵌入在第三层绝缘材料内的第二个导体区,第三层绝缘材料与第二层绝缘材料紧密接触,和由沉积在第二层和第三层绝缘材料中至少一个上的本发明的电介质膜形成的扩散阻挡层。
还有其它替代的实施方案包括具有在配线结构中作为层内或层间电介质的绝缘材料层的电子结构,其包括预加工的半导体基体,该基体具有嵌入在第一层绝缘材料内的第一个金属区、嵌入在与第一层绝缘材料紧密接触的第二层绝缘材料内的第一个导体区,第一个导体区与第一个金属区电连通、与第一个导体区电连通并且嵌入在第三层绝缘材料内的第二个导体区,第三层绝缘材料与第二层绝缘材料紧密接触、在第二层绝缘材料上面的反应性离子蚀刻(RIE)硬掩模/抛光停止层,和在RIE硬掩模/抛光停止层上面的扩散阻挡层,其中RIE硬掩模/抛光停止层和扩散阻挡层由本发明的SiCOH电介质膜形成。
还有其它替代的实施方案包括具有在配线结构中作为层内或层间电介质的绝缘材料层的电子结构,其包括预加工的半导体基体,该基体具有嵌入在第一层绝缘材料内的第一个金属区、嵌入在与第一层绝缘材料紧密接触的第二层绝缘材料内的第一个导体区,第一个导体区与第一个金属区电连通、与第一个导体区电连通并且嵌入在第三层绝缘材料内的第二个导体区,第三层绝缘材料与第二层绝缘材料紧密接触、第一个RIE硬掩模、在第二层绝缘材料上面的抛光停止层、在第一个RIE硬掩模/抛光停止层上面的第一个扩散阻挡层、在第三层绝缘材料上面的第二个RIE硬掩模/抛光停止层,和在第二个RIE硬掩模/抛光停止层上面的第二个扩散阻挡层,其中RIE硬掩模/抛光停止层和扩散阻挡层由本发明的SiCOH电介质膜形成。
还有其它替代的本发明的实施方案包括具有在配线结构中作为层内或层间电介质的绝缘材料层的电子结构,其与前文刚刚描述的结构相似,但进一步包括由本发明的SiCOH电介质材料形成的电介质盖层,位于层间电介质层和层内电介质层之间。
在一些如例如图8中所示的实施方案中,有包含至少两个金属导体元件(标作参考数字97和101)和SiCOH电介质材料(标作参考数字98)的电子结构。任选地,使用金属接点95和102完成通到导体97和101的电接触。参考数字91指基体,94和99指包括本发明的SiCOH电介质的绝缘材料。本发明的SiCOH电介质98提供两个导体间的电绝缘和低电容。使用本领域技术人员公知的常规技术制造该电子结构,如例如在美国专利号6,737,727中所述,其全部内容在此引用作为参考。
以无源或有源电路元件(包括例如电感器、电阻器、电容器或谐振器)的功能所要求的形状将所述至少两个金属导体元件刻上图案。
此外,本发明的SiCOH可以用于电子传感结构中,其中图9A或9B中示意的光电感应元件(检测器)被一层本发明的SiCOH电介质材料包围。使用本领域技术人员公知的常规技术制造该电子结构。参见图9A,示意了一个p-i-n二极管结构,其可以是用于IR信号的高速硅基光检测器。n+基体是110,此上面是一个固有半导体区112,和在区112内形成p+区域114,完成该p-i-n层序列。层116是用于将金属接点118与基体绝缘的电介质(如SiO2)。接点118提供与p+区域的电连接。整个结构由本发明的SiCOH电介质材料120覆盖。此材料在IR区域内是透明的,并用作钝化层。
图9B示意了第二个光感应结构,此是简单的p-n连接光电二极管,其可以是高速IR光检测器。参见图9B,与基体的金属接点是112,和在此上面是n型半导体区124,和在此区域内形成p+区域126,完成p-n连接结构。层128是用于将金属接点130与基体绝缘的电介质(如SiO2)。接点130提供与p+区域的电连接。整个结构被本发明的SiCOH电介质材料132覆盖。此材料在IR区域内是透明的,并用作钝化层。
尽管已经用说明的方式描述了本发明,但是应当理解使用的术语学旨在以说明性而非限制性词汇的性质。而且,尽管已经依据优选的和一些代替的实施方案描述了本发明,但是应当理解本领域熟练的技术人员将轻易地将这些教导应用于本发明的其它可能的变体。

Claims (19)

1.包括原子Si、C、O和H并且具有共价键合的三维无规网状结构的电介质材料,其中一部分C原子以Si-CH3官能团形式键合,且另一部分的C原子以Si-R-Si形式键合,其中R是-[CH2]n-、-[HC=CH]n-、-[C≡C]n-或-[CH2C=CH]n-,这里n大于或等于1,材料中以Si-R-Si形式键合的总的碳原子分数在0.01-0.49之间,其中上述材料是多孔复合材料,包括具有第一特征尺寸的第一固相和由具有第二特征尺寸的孔组成的第二相,其中上述相中至少一个的特征尺寸被控制到约5nm或更小的值。
2.形成包括原子Si、C、O和H的电介质材料的方法,包括:
使用至少第一种前体和第二种前体,将包括第一相和第二相的电介质膜沉积到基体上,其中上述第一种或第二种前体中至少一种是双官能的有机分子,在膜中形成成孔剂;和
从上述电介质膜中去除上述成孔剂,以提供多孔电介质材料,其包括具有第一特征尺寸的第一固相和由具有第二特征尺寸的孔组成的第二固相,其中上述相中至少一个的特征尺寸被控制到约5nm或更小的值。
3.权利要求2的方法,其中上述双官能的有机分子由-[CH2]n-的线型的、支化的、环状的或多环烃主链组成,其中n大于或等于1,并且该有机分子仅在两个位置被选自烯、炔、醚、3元环氧乙烷、环氧化物、醛、酮、胺、羟基、醇、羧酸、腈、酯、氨基、叠氮和偶氮的官能团取代。
4.权利要求3的方法,其中官能团是烯,和双官能的有机分子具有通式[CH2=CH]-[CH2]n-[CH=CH2],其中n是1-8。
5.权利要求2的方法,其中上述双官能的有机分子是下列物质之一:氧化环戊烯、氧化异丁烯、2,2,3-三甲基环氧乙烷、一氧化丁二烯、双环庚二烯、1,2-环氧-5-己烯和2-甲基-2-乙烯基环氧乙烷、丙二烯、丁二烯、戊二烯、己二烯、庚二烯、辛二烯、壬二烯、癸二烯、环戊二烯、环己二烯、二炔、丁二烯、戊二烯、己二烯、庚二烯、辛二烯、壬二烯、癸二烯、环戊二烯、环己二烯、丙二炔、丁二炔、二醚、二环氧化物、二醛、二酮、二胺、二羟基、二醇、二羧酸、二腈、二酯、二叠氮或二偶氮。
6.权利要求2的方法,其中上述第一种或第二种前体之一是选自下列的含硅分子:具有分子式SiR4的硅烷(SiH4)衍生物、具有式R3SiOSiR3的二硅氧烷衍生物、具有式R3SiOSiR2SiOSiR3的三硅氧烷衍生物、环状硅氧烷和环状的含Si化合物,其中R取代基可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、链烯基或炔基,这些是可以是线型的、支化的、环状的、多环的并可以用含氧、氮或氟的取代基团官能化。
7.权利要求6的方法,其中上述有机硅前体是下列之一:硅烷、甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、乙基硅烷、二乙基硅烷、三乙基硅烷、四乙基硅烷、乙基甲基硅烷、三乙基甲基硅烷、乙基二甲基硅烷、乙基三甲基硅烷、二乙基二甲基硅烷、二乙氧基甲基硅烷(DEMS)、二甲基乙氧基硅烷、二甲基二甲氧基硅烷、四甲基环四硅氧烷(TMCTS)、八甲基环四硅氧烷(OMCTS)、乙氧基三甲基硅烷、乙氧基二甲基硅烷、二甲氧基二甲基硅烷、二甲氧基甲基硅烷、三甲氧基甲基硅烷、甲氧基硅烷、二甲氧基硅烷、三甲氧基硅烷、四甲氧基硅烷、乙氧基硅烷、二乙氧基硅烷、三乙氧基硅烷、四乙氧基硅烷、甲氧基甲基硅烷、二甲氧基甲基硅烷、三甲氧基甲基硅烷、甲氧基二甲基硅烷、甲氧基三甲基硅烷、二甲氧基二甲基硅烷、乙氧基甲基硅烷、乙氧基二甲基硅烷、乙氧基三甲基硅烷、三乙氧基甲基硅烷、二乙氧基二甲基硅烷、乙基甲氧基硅烷、二乙基甲氧基硅烷、三乙基甲氧基硅烷、乙基二甲氧基硅烷、乙基三甲氧基硅烷、二乙基二甲氧基硅烷、乙氧基甲基硅烷、二乙氧基甲基硅烷、三乙氧基甲基硅烷、乙氧基二甲基硅烷、乙氧基三甲基硅烷、二乙氧基二甲基硅烷、乙基二甲氧基甲基硅烷、二乙氧基乙基甲基硅烷、1,3-二硅环戊烷、1,1,3,3-四甲氧基(乙氧基)-1,3-二硅环戊烷、1,1,3,3-四甲基-1,3-二硅环戊烷、乙烯基甲基二乙氧基硅烷、乙烯基三乙氧基硅烷、乙烯基二甲基乙氧基硅烷、环己烯基乙基三乙氧基硅烷、1,1-二乙氧基-1-硅杂环戊-3-烯、二乙烯基四甲基二硅氧烷、2-(3,4-环氧环己基)乙基三乙氧基硅烷、2-(3,4-环氧环己基)乙基三甲氧基硅烷、环氧己基三乙氧基硅烷、六乙烯基二硅氧烷、三乙烯基甲氧基硅烷、三乙烯基乙氧基硅烷、乙烯基甲基乙氧基硅烷、乙烯基甲基二乙氧基硅烷、乙烯基甲基二甲氧基硅烷、乙烯基五甲基二硅氧烷、乙烯基四甲基二硅氧烷、乙烯基三乙氧基硅烷、乙烯基三甲氧基硅烷、1,1,3,3-四氢-1,3-二硅杂环丁烷、1,1,3,3-四甲氧基(乙氧基)-1,3-二硅杂环丁烷、1,3-二甲基-1,3-二甲氧基-1,3-二硅杂环丁烷、1,3-二硅杂环丁烷、1,3-二甲基-1,3-二氢-1,3-二甲硅烷基环丁烷、1,1,3,3-四甲基-1,3-二硅杂环丁烷、1,1,3,3,5,5-六甲氧基-1,3,5-三硅烷、1,1,3,3,5,5-六氢-1,3,5-三硅烷、1,1,3,3,5,5-六甲基-1,3,5-三硅烷、1,1,1,3,3,3-六甲氧基(乙氧基)-1,3-二硅杂丙烷、1,1,3,3-四甲氧基-1-甲基-1,3-二硅杂丁烷、1,1,3,3-四甲氧基-1,3-二硅杂丙烷、1,1,1,3,3,3-六氢-1,3-二硅杂丙烷、3-(1,1-二甲氧基-1-硅杂乙基)-1,4,4-三甲氧基-1-甲基-1,4-二硅杂戊烷、甲氧基甲烷2-(二甲氧基硅杂甲基)-1,1,4-三甲氧基-1,4-二硅杂丁烷、甲氧基甲烷1,1,4-三甲氧基-1,4-二硅杂-2-(三甲氧基甲硅烷基甲基)丁烷、二甲氧基甲烷、甲氧基甲烷、1,1,1,5,5,5-六甲氧基-1,5-二硅杂戊烷、1,1,5,5-四甲氧基-1,5-二硅杂己烷、1,1,5,5-四甲氧基-1,5-二硅杂戊烷、1,1,1,4,4,4-六甲氧基(乙氧基)-1,4-二甲硅烷基丁烷、1,1,1,4,4,4-六氢-1,4-二硅杂丁烷、1,1,4,4-四甲氧基(乙氧基)-1,4-二甲基-1,4-二硅杂丁烷、1,4-双-三甲氧基(乙氧基)甲硅烷基苯、1,4-双-二甲氧基甲基甲硅烷基苯、1,4-双-三氢甲硅烷基苯、1,1,1,4,4,4-六甲氧基(乙氧基)-1,4-二硅杂丁-2-烯、1,1,1,4,4,4-六甲氧基(乙氧基)-1,4-二硅杂丁-2-炔、1,1,3,3-四甲氧基(乙氧基)-1,3-二硅环戊烷1,3-二硅环戊烷、1,1,3,3-四甲基-1,3-二硅环戊烷、1,1,3,3-四甲氧基(乙氧基)-1,3-二硅烷、1,3-二甲氧基(乙氧基)-1,3-二甲基-1,3-二硅烷、1,3-二硅烷、1,3-二甲氧基-1,3-二硅烷、1,1-二甲氧基(乙氧基)-3,3-二甲基-1-丙基-3-硅杂丁烷、2-硅杂丙烷、1,3-二硅杂环丁烷、1,3-二硅杂丙烷、1,5-二硅杂戊烷或1,4-双-三氢甲硅烷基苯。
8.权利要求2的方法,其中上述去除上述成孔剂包括:用至少一种能源处理上述电介质膜,该能源包括热能源、UV光、电子束、化学、微波或等离子体。
9.权利要求8的方法,其中至少一种能源是UV光,其可以是脉冲的或连续的,和在300℃-450℃的基体温度下并用包括至少一种在150-370nm间的UV波长的光进行上述步骤。
10.形成包括原子Si、C、O和H的电介质材料的方法,包括:
使用至少第一种前体和第二种前体,将包括第一相和第二相的电介质膜沉积到基体上,其中上述第一种或第二种前体中至少一种是由-[CH2]n-的线型、支化、环状或多环烃主链组成的双官能的有机分子,其中n大于或等于1,并且仅在两个位置上被选自烯烃、炔烃、醚、3元环氧乙烷、环氧化合物、醛、酮、胺、羟基、醇、羧酸、腈、酯、氨基、叠氮和偶氮的官能团取代,在膜中形成成孔剂;和
从上述电介质膜中去除上述成孔剂,以提供多孔复合材料,其包括具有第一特征尺寸的第一固相和由具有第二特征尺寸的孔组成的第二固相,其中上述相至少之一的特征尺寸被控制到约5nm或更小的值。
11.权利要求10的方法,其中双官能的有机分子具有通式[CH2=CH]-[CH2]n-[CH=CH2],其中n是1-8和官能团是烯烃。
12.权利要求10的方法,其中上述双官能的有机分子是以下物质之一:氧化环戊烯、氧化异丁烯、2,2,3-三甲基环氧乙烷、一氧化丁二烯、双环庚二烯、1,2-环氧-5-己烯和2-甲基-2-乙烯基环氧乙烷、丙二烯、丁二烯、戊二烯、己二烯、庚二烯、辛二烯、壬二烯、癸二烯、环戊二烯、环己二烯、二炔、丁二烯、戊二烯、己二烯、庚二烯、辛二烯、壬二烯、癸二烯、环戊二烯、环己二烯、丙二炔、丁二炔、二醚、二环氧化物、二醛、二酮、二胺、二羟基、二醇、二羧酸、二腈、二酯、二叠氮或二偶氮。
13.权利要求10的方法,其中上述第一种或第二种前体之一是选自下列的含硅分子:具有分子式SiR4的硅烷(SiH4)衍生物、具有式R3SiOSiR3的二硅氧烷衍生物、具有式R3SiOSiR2SiOSiR3的三硅氧烷衍生物、环状硅氧烷和环状的含Si化合物包括环硅氧烷、环碳硅氧烷环碳硅烷,其中R取代基可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、链烯基或炔基,其可以是线型的、支化的、环状的、多环的并可以用含氧、氮或氟的取代基团官能化。
14.权利要求10的方法,其中上述去除上述成孔剂包括:用至少一种能源处理上述电介质膜,该能源包括热能源、UV光、电子束、化学、微波或等离子体。
15.形成包括原子Si、C、O和H的电介质材料的方法,包括:
使用至少第一种前体和第二种前体,将包括第一相和第二相的电介质膜沉积到基体上,其中至少上述第一种或第二种前体之一是双官能的有机分子,具有通式[CH2=CH]-[CH2]n-[CH=CH2],其中n是1-8和官能团是烯烃以在上述膜中形成成孔剂;和
从上述电介质膜中去除上述成孔剂,以提供多孔复合材料,包括具有第一特征尺寸的第一固相和由具有第二特征尺寸的孔组成的第二固相,其中上述相中至少一个的特征尺寸被控制到约5nm或更小的值。
16.权利要求15的方法,其中上述双官能的有机分子是下列之一:氧化环戊烯、氧化异丁烯、2,2,3-三甲基环氧乙烷、一氧化丁二烯、双环庚二烯、1,2-环氧-5-己烯和2-甲基-2-乙烯基环氧乙烷、丙二烯、丁二烯、戊二烯、己二烯、庚二烯、辛二烯、壬二烯、癸二烯、环戊二烯、环己二烯、二炔、丁二烯、戊二烯、己二烯、庚二烯、辛二烯、壬二烯、癸二烯、环戊二烯、环己二烯、丙二炔、丁二炔、二醚。
17.权利要求15的方法,其中上述第一种或第二种前体之一是选自任何含硅化合物的任何含硅分子,包括选自下列的分子:具有分子式SiR4的硅烷(SiH4)衍生物、具有式R3SiOSiR3的二硅氧烷衍生物、具有式R3SiOSiR2SiOSiR3的三硅氧烷衍生物、环状硅氧烷和环状的含Si化合物,其中R取代基可以相同或不同,并选自H、烷基、烷氧基、环氧基、苯基、乙烯基、烯丙基、链烯基或炔基,其可以是线型的、支化的、环状的、多环的并可以用含氧、氮或氟的取代基团官能化。
18.权利要求15的方法,其中上述去除上述成孔剂包括:用至少一种能源处理上述电介质膜,该能源包括热能源、UV光、电子束、化学、微波或等离子体。
19.权利要求18的方法,其中至少一种能源是UV光,其可以是脉冲的或连续的,和在300℃-450℃的基体温度下并用包括至少一种在150-370nm间的UV波长的光进行上述步骤。
CN2007100020039A 2006-01-20 2007-01-15 SiCOH电介质材料和成形方法 Expired - Fee Related CN101226922B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/336,726 2006-01-20
US11/336,726 US20070173071A1 (en) 2006-01-20 2006-01-20 SiCOH dielectric

Publications (2)

Publication Number Publication Date
CN101226922A true CN101226922A (zh) 2008-07-23
CN101226922B CN101226922B (zh) 2010-07-21

Family

ID=38286100

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2007100020039A Expired - Fee Related CN101226922B (zh) 2006-01-20 2007-01-15 SiCOH电介质材料和成形方法

Country Status (4)

Country Link
US (2) US20070173071A1 (zh)
JP (1) JP2007194639A (zh)
CN (1) CN101226922B (zh)
TW (1) TW200739870A (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102082157A (zh) * 2009-11-30 2011-06-01 索尼公司 结合基板及制造方法、固体摄像装置及制造方法、照相机
CN103943561A (zh) * 2014-05-08 2014-07-23 上海华力微电子有限公司 一种低介电常数薄膜的成膜方法
CN103943560A (zh) * 2014-05-08 2014-07-23 上海华力微电子有限公司 一种形成低介电常数薄膜及其缓冲层的成膜方法

Families Citing this family (364)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
JP4628257B2 (ja) * 2005-11-15 2011-02-09 三井化学株式会社 多孔質膜の形成方法
JP4641933B2 (ja) * 2005-11-28 2011-03-02 三井化学株式会社 薄膜形成方法
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US20070173070A1 (en) * 2006-01-26 2007-07-26 Mei-Ling Chen Porous low-k dielectric film and fabrication method thereof
US7816253B2 (en) * 2006-03-23 2010-10-19 International Business Machines Corporation Surface treatment of inter-layer dielectric
US7838428B2 (en) * 2006-03-23 2010-11-23 International Business Machines Corporation Method of repairing process induced dielectric damage by the use of GCIB surface treatment using gas clusters of organic molecular species
US7947565B2 (en) 2007-02-07 2011-05-24 United Microelectronics Corp. Forming method of porous low-k layer and interconnect process
US8092861B2 (en) * 2007-09-05 2012-01-10 United Microelectronics Corp. Method of fabricating an ultra dielectric constant (K) dielectric layer
KR100962044B1 (ko) * 2007-12-06 2010-06-08 성균관대학교산학협력단 저유전 플라즈마 중합체 박막 및 그 제조 방법
KR101538531B1 (ko) * 2008-03-06 2015-07-21 도쿄엘렉트론가부시키가이샤 다공성 저 유전 상수 유전체막의 경화 방법
CN101939465A (zh) * 2008-03-26 2011-01-05 Jsr株式会社 化学气相沉积法用材料和含硅绝缘膜及其制造方法
KR20110021951A (ko) * 2008-05-26 2011-03-04 바스프 에스이 다공성 물질의 제조 방법 및 그 방법으로 제조된 다공성 물질
US8334204B2 (en) * 2008-07-24 2012-12-18 Tokyo Electron Limited Semiconductor device and manufacturing method therefor
US8298965B2 (en) * 2008-09-03 2012-10-30 American Air Liquide, Inc. Volatile precursors for deposition of C-linked SiCOH dielectrics
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8703624B2 (en) * 2009-03-13 2014-04-22 Air Products And Chemicals, Inc. Dielectric films comprising silicon and methods for making same
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8703625B2 (en) * 2010-02-04 2014-04-22 Air Products And Chemicals, Inc. Methods to prepare silicon-containing films
US8932674B2 (en) 2010-02-17 2015-01-13 American Air Liquide, Inc. Vapor deposition methods of SiCOH low-k films
JP2011254041A (ja) * 2010-06-04 2011-12-15 Renesas Electronics Corp 半導体装置
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8551892B2 (en) * 2011-07-27 2013-10-08 Asm Japan K.K. Method for reducing dielectric constant of film using direct plasma of hydrogen
US8637412B2 (en) * 2011-08-19 2014-01-28 International Business Machines Corporation Process to form an adhesion layer and multiphase ultra-low k dielectric material using PECVD
US8889567B2 (en) * 2011-09-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and methods for low K dielectric layers
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
KR20150128870A (ko) * 2013-03-13 2015-11-18 어플라이드 머티어리얼스, 인코포레이티드 저-k 유전체 막들에 대한 기계적 강도 및 처리량을 개선하기 위한 uv 경화 프로세스
WO2014158462A1 (en) * 2013-03-14 2014-10-02 Applied Materials, Inc. Layer-by-layer deposition of carbon-doped oxide films through cyclical silylation
EP2803302B1 (en) * 2013-05-14 2015-12-30 Eksen Makine Sanayi ve Ticaret A.S. Chemically stable, stain-, abrasion- and temperature-resistant, easy-to-clean sol-gel coated metalware for use in elevated temperatures
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
JP6540361B2 (ja) 2015-08-18 2019-07-10 富士通株式会社 半導体装置及びその製造方法
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10361137B2 (en) * 2017-07-31 2019-07-23 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
WO2019241763A1 (en) * 2018-06-15 2019-12-19 Versum Materials Us, Llc Siloxane compositions and methods for using the compositions to deposit silicon containing films
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10840087B2 (en) 2018-07-20 2020-11-17 Lam Research Corporation Remote plasma based deposition of boron nitride, boron carbide, and boron carbonitride films
KR102615163B1 (ko) * 2018-07-24 2023-12-15 램 리써치 코포레이션 실리콘-함유 전구체 및 탄소-함유 전구체를 사용한 탄화 실리콘 막들의 리모트 플라즈마 기반 증착
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
EP3844318A4 (en) * 2018-10-03 2022-06-01 Versum Materials US, LLC METHODS FOR MAKING FILMS CONTAINING SILICON AND NITROGEN
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR20220056249A (ko) 2018-10-19 2022-05-04 램 리써치 코포레이션 갭 충진 (gapfill) 을 위한 도핑되거나 도핑되지 않은 실리콘 카바이드 증착 및 원격 수소 플라즈마 노출
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
US11756786B2 (en) * 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
CN114424324A (zh) * 2019-08-16 2022-04-29 弗萨姆材料美国有限责任公司 硅化合物和使用其沉积膜的方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
US11600486B2 (en) 2020-09-15 2023-03-07 Applied Materials, Inc. Systems and methods for depositing low-κdielectric films
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6147009A (en) * 1998-06-29 2000-11-14 International Business Machines Corporation Hydrogenated oxidized silicon carbon material
US6312793B1 (en) * 1999-05-26 2001-11-06 International Business Machines Corporation Multiphase low dielectric constant material
US6420441B1 (en) * 1999-10-01 2002-07-16 Shipley Company, L.L.C. Porous materials
US6441491B1 (en) * 2000-10-25 2002-08-27 International Business Machines Corporation Ultralow dielectric constant material as an intralevel or interlevel dielectric in a semiconductor device and electronic device containing the same
US6737727B2 (en) * 2001-01-12 2004-05-18 International Business Machines Corporation Electronic structures with reduced capacitance
AU2002309806A1 (en) * 2002-04-10 2003-10-27 Honeywell International, Inc. New porogens for porous silica dielectric for integral circuit applications
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
JP3632684B2 (ja) * 2002-08-26 2005-03-23 株式会社日立製作所 半導体素子及び半導体パッケージ
TWI240959B (en) * 2003-03-04 2005-10-01 Air Prod & Chem Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7098149B2 (en) * 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
US7018941B2 (en) * 2004-04-21 2006-03-28 Applied Materials, Inc. Post treatment of low k dielectric films
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US7309650B1 (en) * 2005-02-24 2007-12-18 Spansion Llc Memory device having a nanocrystal charge storage region and method

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102082157A (zh) * 2009-11-30 2011-06-01 索尼公司 结合基板及制造方法、固体摄像装置及制造方法、照相机
CN102082157B (zh) * 2009-11-30 2014-03-19 索尼公司 结合基板及制造方法、固体摄像装置及制造方法、照相机
CN103943561A (zh) * 2014-05-08 2014-07-23 上海华力微电子有限公司 一种低介电常数薄膜的成膜方法
CN103943560A (zh) * 2014-05-08 2014-07-23 上海华力微电子有限公司 一种形成低介电常数薄膜及其缓冲层的成膜方法
CN103943561B (zh) * 2014-05-08 2016-06-22 上海华力微电子有限公司 一种低介电常数薄膜的成膜方法
CN103943560B (zh) * 2014-05-08 2016-08-31 上海华力微电子有限公司 一种形成低介电常数薄膜及其缓冲层的成膜方法

Also Published As

Publication number Publication date
CN101226922B (zh) 2010-07-21
US20080265381A1 (en) 2008-10-30
TW200739870A (en) 2007-10-16
US20070173071A1 (en) 2007-07-26
JP2007194639A (ja) 2007-08-02

Similar Documents

Publication Publication Date Title
CN101226922B (zh) SiCOH电介质材料和成形方法
CN100539118C (zh) 介质材料及其制造方法
JP5324734B2 (ja) 誘電体材料とその製造方法
KR101124781B1 (ko) 층간 부착 개선 방법
CN100552890C (zh) 电子结构及形成介质膜的方法
JP4897505B2 (ja) 低誘電率の多孔質有機シリカガラス膜を得るための化学蒸着方法
KR100695840B1 (ko) 실리카계 피막 형성용 재료, 실리카계 피막 및 그의 제조방법, 다층 배선 및 그의 제조 방법과 반도체 장치 및 그의제조 방법
CN100524648C (zh) 用于制造在制成的半导体器件和电子器件内用作层内或层间电介质的超低介电常数材料的改进方法
US7157384B2 (en) Low dielectric (low k) barrier films with oxygen doping by plasma-enhanced chemical vapor deposition (PECVD)
US7091137B2 (en) Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
JP3762304B2 (ja) 低誘電率層間絶縁膜の形成方法
US6541397B1 (en) Removable amorphous carbon CMP stop
US7151053B2 (en) Method of depositing dielectric materials including oxygen-doped silicon carbide in damascene applications
JP4801662B2 (ja) 低k誘電体と導電材料との接着改善
KR100751990B1 (ko) 극저 유전 상수를 갖는 박막을 캡핑하는 방법 및 이로부터 제조된 기판
US20050277302A1 (en) Advanced low dielectric constant barrier layers
US7288205B2 (en) Hermetic low dielectric constant layer for barrier applications
TW200428493A (en) Ultra low k (ulk) SiCOH film and method
KR100746679B1 (ko) 성막 방법, 반도체 장치의 제조 방법 및 반도체 장치
CN102162091B (zh) 具有优良集成性能的低k前体
JP2004200626A (ja) 半導体装置及びその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Owner name: INTEL CORP .

Free format text: FORMER OWNER: INTERNATIONAL BUSINESS MACHINES CORPORATION

Effective date: 20140414

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20140414

Address after: American California

Patentee after: INTEL Corp.

Address before: American New York

Patentee before: International Business Machines Corp.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20100721

Termination date: 20220115