CN101248212A - 电介质材料的等离子处理 - Google Patents

电介质材料的等离子处理 Download PDF

Info

Publication number
CN101248212A
CN101248212A CNA2006800226567A CN200680022656A CN101248212A CN 101248212 A CN101248212 A CN 101248212A CN A2006800226567 A CNA2006800226567 A CN A2006800226567A CN 200680022656 A CN200680022656 A CN 200680022656A CN 101248212 A CN101248212 A CN 101248212A
Authority
CN
China
Prior art keywords
substrate
processing procedure
precursor
gas
nitrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800226567A
Other languages
English (en)
Inventor
S·穆图可芮西纳
R·谢芮哥潘尼
T·戈亚尔
P·K·那瓦卡
S·S·凯尔
K·Z·阿哈穆德
Y·马
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101248212A publication Critical patent/CN101248212A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/4488Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by in situ generation of reactive gas by chemical or electrochemical reaction
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02178Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing aluminium, e.g. Al2O3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02181Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing hafnium, e.g. HfO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02183Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing tantalum, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02189Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing zirconium, e.g. ZrO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02192Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing at least one rare earth metal element, e.g. oxides of lanthanides, scandium or yttrium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02329Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen
    • H01L21/02332Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of nitrogen into an oxide layer, e.g. changing SiO to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3143Inorganic layers composed of alternated layers or of mixtures of nitrides and oxides or of oxinitrides, e.g. formation of oxinitride by oxidation of nitride layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31637Deposition of Tantalum oxides, e.g. Ta2O5
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31645Deposition of Hafnium oxides, e.g. HfO2

Abstract

本发明的一实施例是提供一种形成电介质材料的方法,其包括:于原子层沉积(ALD)制程中,使基板循序暴露于含金属前驱物以及氧化气体下,而形成氧化金属;接着,使基板暴露于惰性等离子制程以及热退火制程。一般来说,氧化金属包括铪、钽、钛、铝、锆、镧其中之一或其混合物。于一实验例中,基板暴露于不含氮或是实质不含氮的惰性等离子气体中,接着,基板于热退火制程中暴露于氧气环境下。于另一实验例中,基板于ALD制程中循序暴露于金属前驱物以及含水蒸气的氧化气体下,因而形成氧化金属材料,而水蒸气是由消耗氢气气源与氧气气源的催化性水蒸气产生器所形成。

Description

电介质材料的等离子处理
技术领域
本发明涉及一种于基板上沉积物质的方法,特别是一种于形成电介质堆迭(dielectric stack)之时,用以沉积及稳定电介质材料的方法。
背景技术
在半导体制程、平面显示器制程或是其他电子装置的制程当中,气相沉积制程在将材料沉积于基板上扮演一个重要的角色。随着电子装置的几何尺寸日渐减缩,且装置的密度持续增大,因此特征的尺寸与深宽比(aspect ratio)变得更具重要性,举例来说,特征尺寸小于等于65nm以及深宽比大于等于10的装置已列入考量重点。因此,材料以保形沉积(conformal deposition)的方式而形成上述装置已渐趋重要。
传统的化学气相沉积法(CVD)已成功证实可使装置的几何尺寸以及高宽比下降至0.15μm,因此更具竞争力的装置几何尺寸需要另一种沉积技术的出现。原子层沉积法(ALD)引起了广大的注意,于ALD制程中,反应气体不断地导入含有一基板的制程腔中,一般来说,第一反应物是输送至制程腔,并吸附至基板的表面,而第二反应物亦输送至制程腔,并与第一反应物反应而形成一沉积物质。在输送每一个反应气体之间通常会进行净化的步骤,而净化的步骤可以藉由载气(carrier gas)进行连续净化,或是于各个反应气体的输送之间进行脉冲净化。
原子层沉积制程已成功地用以沉积电介质层(dielectric layer)、障壁层(barrier layer)以及传导层(conductive layer)。以ALD制程进行沉积而应用于闸极以及电容器的高介电常数的电介质材料(高K电介质材料)包括有氧化铪(hafnium oxide)、硅酸铪(hafnium silicate)、氧化锆(zirconium oxide)或是氧化钽(tantalum oxide)。电介质材料,如高K电介质材料,于接续的加工制程中暴露于高温之下(>500℃),可能会出现形态上的改变。举例来说,氮化钛通常于600℃下藉由化学气相沉积法而沉积于氧化铪或是氧化锆上,而于上述的高温下,氧化铪或是氧化锆可能会结晶、丧失非结晶形与低漏电特性。另外,即使电介质材料的完全结晶情况可避免,暴露于高温下仍会使电介质材料形成晶粒成长以及/或相分离,进而因为高漏电流而导致装置的不良性能表现。
因此,于接续的加工制程中,能够形成一暴露于高温下而其型态仍稳定的电介质材料(特别是高K电介质材料)的制程实为必须。
发明内容
本发明的一实施例中提供了于一基板上形成一电介质材料的方法,其包括:于ALD制程中将基板循序暴露于一含金属前驱物以及一氧化气体下,而于基板上形成氧化金属材料,基板接着再暴露于惰性等离子制程以及热退火制程。惰性等离子制程将基板暴露于惰性气体所形成的等离子下约30秒~5分钟。于一实验例中,基板于热退火制程中加热至约600~1200℃,并持续2分钟,之后,含有氧化金属的基板于惰性等离子制程中暴露于无氮且等离子功率输出约为1800瓦的氩气等离子中约1~3分钟。接着,基板于含有氧气的退火腔中,在800~1100℃下进行热退火约10~30秒。
一般来说,氧化金属材料的厚度介于约5~100,并且含有铪、钽、钛、铝、锆、镧其中之一或其混合物。于一实验例中,氧化铪层的厚度约为40,且其电容至少为2.4μF/cm2。另一实验例中,该方法提供一前处理制程,而自基板表面移除自然氧化物,并接续于湿式清洗制程中形成一化学氧化层。于另一实验例中,该方法提供基板于沉积氧化金属层之后,并于惰性等离子制程之前暴露于一沉积后的退火制程。
本发明所揭露的另一实施例中,氧化金属层于ALD制程中形成,是循序将基板暴露于氧化气体以及至少一金属前驱物下,而于基板上形成氧化金属层。氧化气体包含有水蒸气,其是来自将氢气气源与氧气气源流入一水蒸气产生器所生成。金属前驱物包括铪前驱物、锆前驱物、铝前驱物、钽前驱物、钛前驱物、镧前驱物其中之一或其混合物。于一实验例中,是提供于基板上形成含铪材料的方法,包括使基板暴露于一沉积制程,而在基板上形成含氧化铪的电介质材料;基板利用无氮的氩气等离子而进行一惰性等离子制程;以及进一步于含氧环境中使基板暴露于一热退火制程。
本发明的另一实施例中是提供一于基板上形成电介质材料的方法,包括:基板暴露于一沉积制程而于其上形成一氧化金属层,并接着使基板暴露于一氮化等离子制程以及一热退火制程而形成氮氧化金属层。氧化金属层通常基本上不含有硅,并包括有铪、钽、钛、铝、锆、镧其中之一或其混合物。氮化等离子制程约持续1~3分钟,而等离子功率输出约为900~1800瓦。基板于热退火制程中加热至约600~1200℃,并持续约2分钟。于一实验例中,基板利用含有小于等于50体积%(vol%)氮气的制程气体进行氮化等离子制程,以形成含有氮浓度约5~25原子%(at%)的电介质材料。基板于含有氧气的制程腔中进行热退火约10~30秒,温度范围在800~1100℃。
一般来说,氮氧化电介质材料所具有的厚度约5~100,且其电容约小于等于2.4μF/cm2。于一实验例中,氮氧化电介质材料的厚度为50,电容约为2.35μF/cm2。于部分实验例中,该方法提供一前处理制程以自基板表面移除自然氧化物,并于接续的湿式清洗制程中形成化学氧化层。另一实验例中,该方法提供基板于沉积氧化金属层之后,以及进行氮化等离子制程之前,进行一沉积后的退火制程。
本发明的另一实施例中是提供一于基板上形成含铪材料的方法,包括:基板暴露于一沉积制程而于其上形成一含有氧化铪的电介质材料;基板暴露于一氮化等离子制程,而使氧化铪形成氮氧化铪;以及基板再接续暴露于一热退火制程。
附图说明
本发明于上方所详述的特征可详细地被了解,而针对本发明更特定的描述则简短摘录于上,可参阅实施例所述,且部分的实施例是绘示于附图中。然而,值得注意的是,附图仅绘示本发明的一般实施例,而并非用以限制其范围,其他相同效力的实施例应同属本发明的范畴。
图1,根据本发明的实施例绘示形成电介质材料的流程顺序;
图2A~2C,绘示根据图1所示的流程顺序的多个阶段中的基板状态;
图3,根据本发明的实施例而以图表绘示所形成的电介质材料的电气特性;
图4,根据本发明的另一实施例绘示形成电介质材料的流程顺序;
图5A~5C,绘示根据图4所示的流程顺序的多个阶段中的基板状态;以及
图6A~6B,根据本发明的实施例而以图表绘示所形成的电介质材料的电气特性。
主要元件符号说明
100  方法                    200  基板
201  层                      202  氧化层
204  经等离子处理后的氧化层  206  后退火层
400  步骤                    500  基板
501  层                      502  氧化层
504  氮氧化层                506  后退火层
具体实施方式
本发明的实施例是提供一种制备具有多应用性的电介质材料的方法,特别是应用于电晶体以及电容器加工制程所使用具有高介电常数(K)的电介质材料。原子层沉积(ALD)制程可用于控制所形成的电介质化合物的元素组成。于一实施例中,首先将一含有氧化金属的电介质层于ALD制程中将其沉积于一基板上;并使基板暴露于一惰性气体等离子制程而用以加密电介质层;接着,基板再暴露于一热退火制程,因而制备一电介质材料或是一电介质堆迭。本发明的另一实施例,是将一含有氧化金属的电介质层于ALD制程中将其沉积于一基板上,并使电介质层进行一氮化制程,则氧化金属形成氮氧化金属,接着,基板再暴露于一热退火制程,藉此,而制备一电介质材料或是一电介质堆迭。
电介质层通常包含一氧化金属,并可藉由ALD制程、传统的化学气相沉积(CVD)制程或是物理气相沉积(PVD)制程而被沉积。电介质层包括氧以及至少一额外元素,如:铪(hafnium)、钽(tantalum)、钛(titanium)、铝(aluminum)、锆(zirconium)、镧(lanthanum)其中之一元素或其混合物。举例来说,电介质层包括有氧化铪、氧化锆、氧化钽、氧化铝、氧化镧、氧化钛其中之一,或其衍生物或混合物。于一实施例中,电介质层包括一氧化金属,且基本上不含硅。本发明的实施例提供一种ALD制程,是将一基板循序暴露于一金属前驱物以及一氧化气体,而用以形成一电介质层。而其中一实验例中,氧化气体是包含有水蒸气,而其来自将氢气气源以及氧气气源流入一水蒸气产生器中所得。氢气气源可为氢气或是含氢的混合气体(forming gas),而氧气气源可为氧气或是氧化亚氮。
电介质材料以惰性等离子进行稳定处理
如「图1」所示,是绘示形成一电介质材料(如:HfOx或TaOx)的范例方法100的流程图。「图2A~2C」与方法100相符,是绘示应用于半导体装置(如:电晶体或电容器)的电介质材料的形成过程。层201是包含一氧化层202设置于其上,并暴露于一惰性等离子制程以形成一经等离子处理后的氧化层204(「图2B」),并接续暴露于热退火制程而转变为后退火层206(「图2C」)。
于沉积氧化层202之前,层201可先进行一前处理制程,用以使基板表面具有一较佳的官能基团。而有利于起始一沉积制程的官能基团包括有羟基(OH)、烷氧基(alkoxy;OR,其中R=Me,Et,Pr或是Bu)、卤氧基(haloxyl;OX,其中X=F,Cl,Br或是I)、卤化物(F,Cl,Br或是I)、氧自由基,以及胺基或是酰胺基(NR或NR2,其中R=H,Me,Et,Pr或是Bu)。前处理制程可将基板暴露于一试剂下,如:NH3、B2H6、SiH4、SiH6、H2O、HF、HCl、O2、O3、H2O、H2O2、H2、氢原子、氮原子、氧原子、醇、胺其中之一,或其等离子、衍生物或混合物。官能基团是提供一基部而供导入的化学前驱物附着至基板表面。前处理制程可将基板200暴露于试剂下约1秒~2分钟之间,较佳的是介于5~60秒之间。前处理制程亦可包括将基板200暴露于RCA溶液(SC1/SC2)、HF-last溶液、来自WVG或ISSG系统的水蒸气、过氧化氢溶液、酸性溶液、碱性溶液其中之一、或其等离子、衍生物或混合物。有效的前处理制程是描述于本发明受让人所受让的美国专利公告第6858547号,以及同时另案待审的美国申请序号第10/302752号(2002年11月21号申请,公开号为US2003-0232501),于此处将其整体并为参考文献,用以描述前处理方法以及前处理溶液的组成。
前处理制程的一实验例中,基板200于进行一湿式清洗制程之前会先将一自然氧化层移除,其可采用HF-last溶液来移除的,湿式清洗制程会使基板200上形成厚度约小于等于10(例如介于5~7之间)的化学氧化层,而湿式清洗制程可于TEMPESTTM湿式清洗系统(由加州圣克拉拉的Applied Materials公司所提供)中进行。另一实验例中,基板200于进行ALD制程之前,先暴露于WVG系统所提供的水蒸气中约15秒,而水蒸气可来自将氢气气源(如:氢气或是含氢的混合气体)以及氧气气源(如:氧气或是氧化亚氮)流入WVG系统中所得。
方法100的一实施例中,氧化层202于步骤402中藉由气相沉积制程(如ALD、CVD、PVD、热技术或其组合)而形成于层201上,如「第5A图」所示。于较佳的实施例中,氧化层202藉由本发明受让人所受让与同时另案待审的美国申请序号第11/127767号(2005年5月12号申请,公开号为US 2005-0271813)以及本发明受让人所受让与同时另案待审的美国申请序号第11/127753号(2005年5月12号申请,公开号为US2005-0271812)中所提及的ALD制程以及装置而将其沉积;并于此处将上述发明整体并为参考文献,用以描述ALD制程中所采用的方法与装置。氧化层202一般所沉积的厚度介于5~300之间,较佳为10~200之间,而更佳为20~100之间。于某些实验例中,氧化层202具有一介于10~60之间的厚度,较佳的是介于30~40之间。
氧化层202是沉积于基板200表面上,并可能具有多样的构成型态,包括同质的、异质的、多级的、单层或是多层的堆迭或是薄片。氧化层202是为具有高介电常数的材料,且通常含有氧化金属。因此,氧化层202包括氧以及至少一金属,如:铪(hafnium)、锆(zirconium)、钛(titanium)、钽(tantalum)、镧(lanthanum)、铝(aluminum)其中之一或其混合物。虽然可能会出现基板的硅扩散至氧化层202的现象,但是氧化层202通常基本上不含有硅。氧化层202的组成份可为一含铪材料,如:氧化铪(HfOx或HfO2)、氮氧化铪(HfOxNy)、铝酸铪(HfAlxOy)、氧化镧铪(HfLaxOy);含锆材料,如:氧化锆(ZrOx or ZrO2)、氮氧化锆(ZrOxNy)、铝酸锆(ZrAlxOy)、氧化镧锆(ZrLaxOy);其他含铝材料或是含镧材料,如:氧化铝(Al2O3 or AlOx)、氮氧化铝(AlOxNy)、氧化镧铝((LaAlxOy)、氧化镧(LaOx or La2O3);或为其合金、衍生物或是上述成分的组合。其他可用于氧化层202的电介质材料包括氧化钛(TiOx or TiO2)、氮氧化钛(TiOxNy)、氧化钽(TaOx or Ta2O5)以及氮氧化钽(TaOxNy)。而可用于氧化层202的电介质材料的薄膜包括有HfO2/Al2O3、La2O3/Al2O3以及HfO2/La2O3/Al2O3
于一实施例中,基板200可选择性地进行沉积后的退火(postdeposition anneal;PDA)制程。含有氧化层202的基板200转移至一退火腔中(如:加州圣克拉拉的Applied Materials公司所提供的CENTURARADIANCERTP chamber),并进行PDA制程。退火腔可与沉积腔及/或等离子腔为一集结式制程工具(cluster tool),藉此,基板200可在不接触周遭环境之前提下而进行退火制程。基板200可加热至温度范围600~1200℃,较佳的,介于600~1150℃,更佳的,介于600~1000℃。PDA制程会持续一段时间,约1秒~5分钟,较佳的约1~4分钟,更佳的约2~4分钟。一般来说,腔室的空气中包括至少一种退火气体,如:氧(O2)、臭氧(O3)、氧原子(O)、水(H2O)、一氧化氮(NO)、氧化亚氮(N2O)、二氧化氮(NO2)、五氧化二氮(N2O5)、氮(N2)、氨(NH3)、联胺(N2H4)、其中之一,或是其衍生物或其混合物。通常退火气体含有氮以及至少一种含氧气体,如氧气。腔室的气压介于5~100托(Torr)之间,比方说10托。PDA制程的一实验例中,含有氧化层202的基板200于氧气中加热至600℃并持续约4分钟。
步骤104中,氧化层202暴露于惰性等离子制程以加密电介质材料而形成经等离子处理后的氧化层204,如「图2B」所示。惰性等离子制程亦可包括一解偶惰性气体等离子制程(decoupled inert gas plasmaprocess),是藉由将一惰性气体流入解偶等离子氮化(DPN)腔中,或是包括一引控式惰性气体等离子制程(remote inert gas plasma process),是藉由一引控式等离子系统而将惰性气体导入制程腔中。
惰性等离子制程的一实施例中,基板200是转移至DPN腔中,如CENTURADPN chamber(如:加州圣克拉拉的Applied Materials公司所提供),另一方面,DPN腔与用于沉积氧化层202的ALD腔属于相同的集结式制程工具,藉此,基板200则可在不暴露于周遭环境的条件下而进行惰性等离子制程。在惰性等离子制程中,氧化层202于DPN腔中会受到由流动的氩所形成的离子氩撞击。而惰性等离子制程中可能使用的气体包括氩、氦、氖、氙其中之一,或其混合物。
若任何氮气与惰性气体一起流入,则氮气会使电介质材料氮化,如:使氧化金属转变为氮氧化金属。用于氮化制程而可能存在于DPN腔中的微量氮气,可能会于进行等离子制程时不慎与惰性气体结合。惰性等离子制程所使用的气体包括至少一种惰性气体且不含氮气,或是仅含微量的氮气。于一实施例中,惰性气体中所残留的氮气浓度约小于等于1体积%(vol%),较佳的,约小于等于0.1%,更佳的,约小于等于100ppm,比方说50ppm。于一实验例中,惰性等离子制程包括氩,且不含有或基本上不含氮气,因此,此惰性等离子制程增加了电介质材料的稳定性与密度,同时,降低了等效氧化层厚度(EOT)。
惰性等离子制程进行约10秒~5分钟,较佳的为30秒~4分钟,而更加的为1~3分钟之间。另外,惰性等离子制程所采用的等离子功率设定为500~3000瓦,较佳的则为700~2500瓦,更佳的为900~1800瓦。一般来说,等离子制程的工作周期约为50%~100%,而脉冲频率约为10kHz。DPN腔中的压力范围为10~80豪托,而惰性气体的流速则为10sccm~5slm,较佳的为50sccm~750sccm,更佳的则为100sccm~500sccm。于较佳的实施例中,惰性等离子制程中的DPN腔是产生无氮的氩气等离子。
另一实施例中,用于沉积氧化层202的制程腔亦可用于惰性等离子制程而形成经等离子处理后的氧化层204,而无须将基板200在制程腔之间搬移。举例来说,引控式氩气等离子可直接于安装有引控式等离子装置的制程腔(如ALD腔或CVD腔)中,与氧化层202接触而形成经等离子处理后的氧化层204。其他形成经等离子处理后的氧化层204的惰性等离子制程仍需仔细评估,如:以雷射退火对基板200进行处理。
于步骤106,基板200暴露于一热退火制程。于一实施例中,基板200转移至退火腔(如:加州圣克拉拉的Applied Materials公司所提供的CENTURARADIANCERTP chamber)中,并进行热退火制程。退火腔可与沉积腔及/或氮化腔属于相同的集结式制程工具,藉此,基板200在不接触周遭环境之前提下而进行退火。基板200可加热至600~1200℃,较佳的为700~1150℃,更佳的为800~1000℃。热退火制程会持续一段时间,约1~120秒,较佳的为2~60秒,更佳的为5~30秒。一般来说,腔室的空气中包括至少一种退火气体,如:氧(O2)、臭氧(O3)、氧原子(O)、水(H2O)、一氧化氮(NO)、氧化亚氮(N2O)、二氧化氮(NO2)、五氧化二氮(N2O5)、氮(N2)、氨(NH3)、联胺(N2H4)其中之一,或是其衍生物或其混合物。通常退火气体含有氮以及至少一种含氧气体,如氧气。腔室的气压介于5~100托之间,比方说10托。热退火制程的一实验例中,基板200于氧气中加热至1050℃并持续约15秒。另一实验例中,基板200于含有等体积的氮气与氧气的环境下加热至1100℃并持续约25秒。
如「图5C」所示,热退火制程将经等离子处理后的氧化层204转变为电介质材料或后退火层206。热退火制程修补了步骤104中等离子冲击所造成的损伤,并降低后退火层206的固定电荷。电介质材料维持非结晶形,并具有一氮浓度介于5~25原子%(at%),较佳则介于10~20原子%,比方说15原子%。后退火层206的薄膜厚度介于5~300,较佳为10~200,更佳为20~100。于某些实验例中,后退火层206的厚度介于10~60,较佳则介于30~40。
「图3」以图表绘示皆含有氧化铪但暴露于不同等离子制程的二基板的电容相对于电压的表现。基板A暴露于氮化等离子制程,同时,基板B暴露于惰性等离子制程,接着,基板A与B于1000℃下进行本发明所述的热退火制程,并于表面量测电容,结果显示基板B相较于基板A具有较高的电容,基板A的最大电容约2.35μF/cm2,而基板B的最大电容为2.55μF/cm2
于一实施例中,藉由本发明所述的沉积制程而沉积的电介质材料或后退火层206通常具有范围介于2~4μF/cm2的电容,较佳的是介于2.2~3μF/cm2,更佳的介于2.4~2.8μF/cm2。于一实验例中,不含氮气或是基本上不含氮气的电介质材料具有的电容值至少为2.4μF/cm2
电介质材料以氮气进行稳定处理
如「图4」所示,是绘示形成一电介质材料(如氮氧化金属材料;HfOxNy或TaOxNy)的范例方法400的流程图。「图5A~5C」与方法骤400相符,是绘示应用于半导体装置(如:电晶体或电容器)的电介质材料的形成过程。层501是包含一氧化层502设置于其上,并暴露于一氮化制程以形成一氮氧化层504(「图5B」),并接续暴露于一热退火制程而转变为后退火层506(「图5C」)。
于沉积氧化层502之前,层501可先进行一前处理制程,用以使基板500表面具有多样的官能基团。而有利于起始一沉积制程的官能基团包括有羟基(OH)、烷氧基(alkoxy;OR,其中R=Me,Et,Pr或是Bu)、卤氧基(haloxyl;OX,其中X=F,Cl,Br或是I)、卤化物(F,Cl,Br或是I)、氧自由基,以及胺基或是酰胺基(NR或NR2,其中R=H,Me,Et,Pr或是Bu)。前处理制程可将基板暴露于一试剂下,如:NH3、B2H6、SiH4、SiH6、H2O、HF、HCl、O2、O3、H2O、H2O2、H2、氢原子、氮原子、氧原子、乙醇、胺其中之一,或其等离子、衍生物或混合物。官能基团是提供一基部以供导入的化学前驱物附着至基板表面。前处理制程可将基板500暴露于试剂下约1秒~2分钟的时间,较佳的是介于5~60秒之间。前处理制程亦可包括将基板500暴露于RCA溶液(SC1/SC2)、HF-last溶液、来自WVG或ISSG系统的水蒸气、过氧化氢溶液、酸性溶液、碱性溶液其中之一,或其等离子、衍生物或混合物。有效的前处理制程是描述于本发明受让人所受让的美国专利公告第6858547号,以及同时另案待审的美国申请序号第10/302752号(2002年11月21号申请,题目为「Surface Pre-Treatment for Enhancement of Nucleation of HighDielectric Constant Materials」,而公开号为US 2003-0232501),于此处将其整体并为参考文献,用以描述前处理方法以及前处理溶液的组成。
前处理制程的一实验例中,基板500于进行一湿式清洗制程之前会先将一自然氧化层移除,其可采用HF-last溶液来移除的,湿式清洗制程会使基板500上形成厚度约小于等于10(例如介于5~7之间)的化学氧化层,而湿式清洗制程可于TEMPESTTM湿式清洗系统(加州圣克拉拉的Applied Materials公司所提供)中进行。另一实验例中,基板500于进行ALD制程之前,先暴露于WVG系统所提供的水蒸气中约15秒。
方法400的一实施例中,氧化层502于步骤402中藉由气相沉积制程(如ALD、CVD、PVD、热技术或上述制程的组成)而形成于层501上,如「图5A」所示。于一实施例中,氧化层502藉由ALD制程,以及方法100中所提及的装置而将其沉积。氧化层502一般所沉积的厚度介于5~300之间,较佳的是介于10~200之间,而更佳的是介于20~100之间。于某些实验例中,氧化层502具有一介于10~60之间的厚度,较佳的是介于30~40之间。
氧化层502是沉积于基板表面上,并可能具有多样的构成型态,包括同质的、异质的、多级的、单层或是多层的堆迭或薄片。氧化层502是为具有高介电常数的材料,且通常含有氧化金属或氮氧化金属。因此,氧化层502包括氧以及至少一金属,如:铪、锆、钛、钽、镧、铝其中之一或其混合物。虽然可能会出现基板的硅扩散至氧化层502的现象,但是氧化层502通常基本上不含有硅。氧化层502的组成份可为一含铪材料,如:氧化铪(HfOx或HfO2)、氮氧化铪(HfOxNy)、铝酸铪(HfAlxOy)、氧化镧铪(HfLaxOy);含锆材料,如:氧化锆(ZrOx or ZrO2)、氮氧化锆(ZrOxNy)、铝酸锆(ZrAlxOy)、氧化镧锆(ZrLaxOy);其他含铝材料或是含镧材料,如:氧化铝(Al2O3 or AlOx)、氮氧化铝(AlOxNy)、氧化镧铝(LaAlxOy)、氧化镧(LaOx or La2O3);或为其合金、或衍生物或是上述成分的组合。其他可用于氧化层502的电介质材料包括氧化钛(TiOx or TiO2)、氮氧化钛(TiOxNy)、氧化钽(TaOx or Ta2O5)以及氮氧化钽(TaOxNy)。而可用于氧化层502的电介质材料的薄膜包括有HfO2/Al2O3、La2O3/Al2O3以及HfO2/La2O3/Al2O3
于一实施例中,基板500可选择性地进行沉积后的退火(postdeposition anneal;PDA)制程。含有氧化层502的基板500转移至一退火腔中(如:加州圣克拉拉的Applied Materials公司所提供的CENTURARADIANCERTP chamber),并进行PDA制程。退火腔可与沉积腔及/或氮化腔为一集结式制程工具,藉此,基板500可在不接触周遭环境之前提下而进行退火制程。基板500可加热至温度范围为600℃~1200℃,较佳的,介于600℃~1150℃,更佳的,介于600℃~1000℃。PDA制程会持续一段时间,约1秒~5分钟,较佳的,约5秒~4分钟,更佳的,约1~4分钟。一般来说,腔室的空气中包括至少一种退火气体,如:氧(O2)、臭氧(O3)、氧原子(O)、水(H2O)、一氧化氮(NO)、氧化亚氮(N2O)、二氧化氮(NO2)、五氧化二氮(N2O5)、氮(N2)、氨(NH3)、联胺(N2H4)其中之一,或是其衍生物或混合物。通常退火气体含有氮以及至少一种含氧气体,如氧气。腔室的气压介于5~100托(Torr)之间,比方说10托。PDA制程的一实验例中,含有氧化层502的基板500于氧气中加热至600℃并持续约4分钟。
于步骤404中,氧化层502暴露于一氮化制程而使氮原子物理性地并入电介质材料中而形成氮氧化层504,如「图5B」所示,而氮化制程亦使电介质材料的密度增加。氮化制程可包括解偶惰等离子氮化(DPN)、引控式等离子氮化以及于电介质沉积过程中(如:ALD或CVD制程)以热线诱导(hot-wired induced)氮原子及氮气并入。氮氧化层504的表面通常富含氮,而氮氧化层504中氮的浓度约为5~40原子%(at%),较佳的为10~25原子%。而较佳的实施例是将氧化层502暴露于氮气等离子中,如DPN制程。
氮化制程的一实施例中,基板500是转移至DPN腔中,如CENTURADPN chamber(加州圣克拉拉的Applied Materials公司所提供),另一方面,DPN腔与用于沉积氧化层502的ALD腔属于相同的集结式制程工具,藉此,基板500则可在不暴露于周遭环境的条件下而进行氮化制程。在DPN制程中,氧化层502会受到共流氮气的氮原子,以及惰性气体等离子(如:氩)的撞击。除了氮气,其他含氮气体亦可用于形成氮气等离子,如:氨(NH3)、联胺(如:N2H4或MeN2H3)、胺(如:Me3N、Me2NH或MeNH2)、苯胺(如:C6H5NH2)以及迭氮化物(azide)。等离子制程中可能使用的气体包括氩、氦、氖、氙其中之一或其混合物。
氮化等离子包括一氮气气源以及一惰性气体,藉此,包含氮气与惰性气体的混合物则成为制程气体而导入等离子腔中,或是氮气与惰性气体会单独流入或共同流入等离子腔中。氮化等离子中氮气的浓度介于5~95体积%,较佳的则为25~70体积%,更佳的为40~60体积%,而其余的部分皆为惰性气体。通常氮化等离子中的氮气浓度约小于等于50体积%。于一实验例中,氮气浓度约50体积%,而氩浓度亦为50体积%;于另一实验例中,氮气浓度约40体积%,而氩浓度为60体积%;又,于另一实验例中,氮气浓度约25体积%,而氩浓度为75体积%。
氮气的流速介于10sccm~5slm之间,较佳则介于50~500sccm,更佳则介于100~250sccm。惰性气体的流速则介于10sccm~5slm之间,较佳为50~750sccm,更佳为100~500sccm。包含氮气与惰性气体(单独流入或共流)的制程气体会形成一结合流速,其范围介于10sccm~5slm之间,较佳为100~750sccm,更佳为200~500sccm。DPN腔中的压力介于10~80豪托。氮化制程进行的时间范围为10秒~5分钟,较佳的则介于30秒~4分钟,更佳的则介于1~3分钟。另外,进行氮化制程的等离子功率设定为500~3000瓦,较佳为700~2500瓦,更佳则为900~1800瓦。一般来说,等离子制程的工作周期约50%~100%,而脉冲频率约为10kHz。于一较佳实施例中,氮化制程是为一DPN制程,并包括由氩和氮所共流形成的等离子。
于另一实施例中,用于沉积氧化层502的制程腔亦用于氮化制程而形成氮氧化层504,而无须将基板500于制程腔之间搬移。举例来说,引控式氮气等离子可直接于安装有引控式等离子装置的制程腔(如ALD腔或CVD腔)中,与氧化层502接触而形成氮氧化层504。氮自由基化合物亦会藉由热或是热线而产生,进而可应用于氮化制程。其他用以形成氮氧化层504的氮化制程仍需仔细评估,如:于含氮环境中对基板进行退火动作,及/或于形成氮氧化层504时,于ALD循环中的额外半反应导入一氮气前驱物。举例来说,ALD循环中用以形成氧化铪的额外半反应可包括在氨的脉冲后紧接着进行净化气体的脉冲。
于步骤406中,基板500是暴露于热退火制程。于一实施例中,基板500转移至退火腔(如:加州圣克拉拉的Applied Materials公司所提供的CENTURARADIANCERTP chamber)中,并进行热退火制程。退火腔可与沉积腔及/或氮化腔属于相同的集结式制程工具,藉此,基板500在不接触周遭环境之前提下而进行退火。基板500可加热至600~1200℃,较佳的为700~1150℃,更佳的为800~1000℃。热退火制程会持续一段时间,约1~120秒,较佳的约2~60秒,更佳的约5~30秒。一般来说,腔室的空气中包括至少一种退火气体,如:氧(O2)、臭氧(O3)、氧原子(O)、水(H2O)、一氧化氮(NO)、氧化亚氮(N2O)、二氧化氮(NO2)、五氧化二氮(N2O5)、氮(N2)、氨(NH3)、联胺(N2H4)其中之一、或是其衍生物或其混合物。通常退火气体含有氮以及至少一种含氧气体,如氧气。腔室的气压介于5~100托之间,比方说10托。热退火制程的一实验例中,基板500于氧气中加热至1050℃并持续约15秒。另一实验例中,基板500于含有等体积的氮气与氧气的环境下加热至1100℃并持续约25秒。
如「图5C」所示,热退火制程将氮氧化层504转变为电介质材料或后退火层506。热退火制程修补了步骤404中等离子冲击所造成的损伤,并降低后退火层506的固定电荷。电介质材料维持非结晶形,并具有一氮浓度介于5~25原子%(at%),较佳的介于10~20原子%,比方说15原子%。后退火层506的薄膜厚度介于5~300之间,较佳为10~200,更佳则为20~100。于某些实验例中,后退火层506的厚度介于10~60之间,较佳的则介于30~40之间。
「图6A」以图表绘示皆含有氧化铪,但不暴露于或暴露于不同热制程的三基板的电容相对于电压的表现。基板A不暴露于等离子制程或热退火制程,基板B暴露于氮化等离子制程,并在500℃下暴露于热退火制程,基板C暴露于氮化等离子制程,并在1000℃下暴露于热退火制程,接着,于表面量测电容,结果显示,基板C相较于基板B具有较高的电容,而基板B亦相对基板A具有较高的电容。基板A的最大电容约1.75μF/cm2,基板B的最大电容为1.95μF/cm2,而基板C的最大电容为2.35μF/cm2。另外,经过热退火的基板B相对于基板A更具热稳定性。基板A也许在接续的制程中由于升温而结晶,而基板B则维持非结晶形。
「图6B」以图表绘示量测各表面漏电流的现象,其结果显示,基板C的电流密度相较基板A和B低两个层级,基板A和B的电流密度皆大于100A/cm2,而基板C的电流密度小于1A/cm2
另外,已进行退火的基板B和C相较于基板A更具有热稳定性,而在较高温下进行退火的基板C又较基板B更具热稳定性。基板A在接续的制程中由于升温而结晶,而基板C则维持非结晶形,基板B则当温度高于500℃时可能出现结晶的现象。
于另一实施例中,藉由本发明所提及的沉积制程而沉积的电介质材料或后退火层506通常具有1.5~3μF/cm2的电容值,较佳的为2~2.7μF/cm2,更佳的为2.2~2.5μF/cm2。于一实验例中,电介质材料含有氮,并具有小于等于2.35μF/cm2的电容值。
等效氧化层厚度(EOT)标准是用于比较具有高介电常数的电介质材料于MOS栅极中的效能与氧化硅材料于MOS栅极中的效能。藉由EOT值可了解某一厚度具有高介电常数的电介质材料能够达到与某一厚度的氧化硅材料相同的栅电容。高K电介质材料相对于氧化硅(K值约3.9)具有较高的介电常数,因此材质的厚度与K值之间的关连可藉由EOT值来评估。举例来说,K值约32且层厚度为5nm的含铪材料,其EOT值约为0.6nm。因此,提高电介质材料的K值以及加密电介质材料以降低厚度,则EOT值会降低。亦即是,电介质材料的低EOT值是部分源自高K值以及藉由加密制程而形成的较薄、较密的层。
电介质材料的沉积制程
于此所述的电介质层通常包含一氧化金属材料,包括氧化层202和502,其是藉由ALD制程、传统CVD制程或PVD制程所沉积。于一实施例中,以一原子层沉积制程而于基板上形成电介质材料的方法包括:将基板置入一制程腔中,并接着将基板暴露于氧化气体以及至少一种前驱物,如:铪前驱物、锆前驱物、硅前驱物、铝前驱物、钽前驱物、钛前驱物、镧前驱物其中之一或其混合物。而沉积制程中可能形成的电介质材料包括氧化铪、氧化锆、氧化镧、氧化钽、氧化钛、氧化铝其中之一,或其衍生物或混合物。含有水蒸气的氧化气体可藉由将氢气气源与氧气气源流入水蒸气产生器中所生成。水蒸气产生器含有催化剂,其包含有钯、铂、镍、铁、铬、钌、铑其中之一或其混合物,或为其合金。氢气气源及/或氧气气源可藉由额外气体而将其稀释的,举例来说,于氮气中含有5%氢气的混合气体可用作为氢气气源。于某些实验例中,将过多的氧气气源提供入水蒸气产生器,则可产生含有富含氧气的水蒸气的氧化气体。于某些实验例中,在沉积氧化铪材料或其他氧化金属材料之后,基板于一预浸制程中暴露于一氧化气体。
形成氧化金属材料(如:氧化层202以及502)的ALD制程通常在1~100托的压力下的制程腔中进行,较佳为1~20托,更佳为1~10托。基板的温度通常维持在70~1000℃,较佳的为100~650℃,更佳的为250~500℃。ALD制程的进一步描述是揭露于本发明受让人所受让的美国申请序号第11/127767号(2005年5月12号申请,公开号为US2005-0271813),并于此处将其整体并为参考文献,用以描述ALD制程中所采用的方法与装置。
于一实验例中,铪前驱物以5~200sccm的速率导入制程腔中,而铪前驱物通常由一载气导入,而其总流速介于50~1000sccm之间。铪前驱物亦可以0.1~10秒的速率脉冲至制程腔中,是视特殊制程条件、铪前驱物或沉积氧化铪材料的期望组成而定。于一实施例中,铪前驱物以1~5秒(如3秒)的速率脉冲至制程腔中;另一实施例中,铪前驱物以0.1~1秒(如0.5秒)的速率脉冲至制程腔中。于一实验例中,铪前驱物较佳为四氯化铪(HfCl4),而于另一实验例中,铪前驱物较佳为四(二烷胺基)铪化合物,如:四(二乙基胺基)铪((Et2N)4Hf或TDEAH)。
铪前驱物通常藉由将一载气通过一含有铪前驱物的安瓿而导入制程腔中,而安瓿包括一个安瓿瓶、一圆形罩以及一用来容纳或分散化学前驱物的管柱或容器。一个适当的安瓿,如PROE-VAPTM,是由康乃迪克州丹伯里的Advanced Technology Materials公司所提供。于一实验例中,安瓿包含有HfCl4,并维持于150~200℃之下。于另一实验例中,安瓿包含有液体前驱物(如:TDEAH,TDMAH、TDMAS或Tris-DMAS),并且为包含注射器阀系统的液体输送系统的一部份,用以将液体前驱物以加热的载气来蒸发的。一般来说,安瓿是加压于138kPa(约20psi)~414kPa(约60psi),并加热至温度小于等于100℃,较佳的温度范围则为20~60℃。
氧化气体导入制程腔的流速约0.05~1000sccm,较佳为0.5~100sccm。氧化气体脉冲至制程腔中的流速约为0.05~10秒,较佳为0.08~3秒,更佳为0.1~2秒。于一实施例中,氧化气体的脉冲速率为1~5秒(如:约1.7秒);于另一实施例中,氧化气体的脉冲速率为0.1~3秒(如:约0.5秒)。
氧化气体可由与制程腔之间可流通流体的水蒸气产生器(WVG)系统所产生。WVG系统藉由氧气气源(如:O2)与氢气气源(如:H2)于低温下(<500℃)进行催化反应而产生超高纯度的水蒸气。而氢气与氧气气源流入WVG系统的流速为5~200sccm,较佳为10~100sccm。一般来说,氧气与氢气气源的流速可被单独调整而使氧化气体的流出物存在有氧气或氧气气源以及缺少氢气或氢气气源。
可用于产生含有水蒸气的氧化气体的氧气气源包括氧(O2)、臭氧(O3)、氧原子(O)、臭氧(O3)、氧化亚氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、五氧化二氮(N2O5)、过氧化氢(H2O2)其中之一,或其衍生物或混合物。可用于产生含有水蒸气的氧化气体的氢气气源包括氢气(H2)、氢原子(H)、含氢的混合气体(N2/H2)、氨(NH3)、碳氢化合物(如:CH4)、醇(如:甲醇)其中之一,或其衍生物或混合物。载气可与氧气气源或氢气气源共流,且载气可为氮气、氦气或氩气其中之一或其混合物。较佳的,氧气气源为氧气或氧化亚氮,氢气气源为氢气或含氢的混合气体(如氮气中含有5体积%的氢气)。
氢气气源以及氧气气源可藉由载气而稀释的,藉此,于沉积制程中提供对氧化气体中水蒸气的敏感控制。于一实施例中,较低的水蒸气流速(<10sccm水蒸气)较利于完成ALD制程中形成含铪材料或其他电介质材料的化学反应。较低的水蒸气流速稀释了氧化气体中水蒸气的浓度,而稀释后的水蒸气浓度恰可氧化基板表面所吸附的前驱物,因此,较低的水蒸气流速将水蒸气暴露后所进行的净化次数降到最低,进而提升了制造生产力。另外,较低的水蒸气流速藉由避免不期望获得的共反应而降低了微粒污染物的形成。质流控制器(MFC)可用于控制氢气气源的流速为约0.5sccm,而同时产生水蒸气的气流流速为0.5sccm。然而,大多数的MFC系统无法于慢速之下提供一致的流速,因此,稀释的氢气气源(如:含氢的混合气体)可用于WVG系统中以达到较慢的水蒸气流速。于一实验例中,流速为10sccm且为含有5%氢气的混合气体的氢气气源由WVG系统送出流速为0.5sccm的水蒸气。于另一实施例中,较高的水蒸气流速(>10sccm水蒸气)较利于完成ALD制程中形成含铪材料或其他电介质材料的化学反应。举例来说,约100sccm的氢气传送约100sccm的水蒸气。
含氢的混合气体可选自其氢气浓度相对于载气(如:氩或氮)的体积百分比为1%~95%。一方面,含氢的混合气体的氢气浓度相对于载气的体积百分比为1~30%,较佳为2~20%,更佳为3~10%,举例来说,一种含氢的混合气体是含有5%氢气及95%氮气。另一方面,含氢的混合气体的氢气浓度相对于载气的体积百分比为30~95%,较佳为40~90%,更佳为50~85%,举例来说,一种含氢的混合气体是含有80%氢气及20%氮气。
于一实验例中,WVG系统接收到流速为10sccm且含5%氢气(95%氮气)的氢气气源,以及流速为10sccm的氧气气源(如:氧气),进而形成流速约为0.5sccm且含有水蒸气的氧化气体,以及流速约为9.8sccm的氧气。于另一实验例中,WVG系统接收到流速约为20sccm且含5%氢气混合气体的氢气气源,以及流速约为10sccm的氧气气源,进而形成流速约为1sccm且含有水蒸气的氧化气体,以及流速约为9sccm的氧气。又另一实验例中,WVG系统接收到氢气流速约为20sccm的氢气气源,以及流速约为10sccm的氧气气源,进而形成流速约为10sccm且含有水蒸气的氧化气体,以及流速约为9.8sccm的氧气。再者,另一实验例中,做为氧气气源的氧化亚氮与氢气气源于ALD制程中形成水蒸气。一般来说,2莫耳当量的氧化亚氮可用来取代1莫耳当量的氧气。
WVG系统含有一催化剂,如:内含催化剂的反应器或是催化剂管柱,于此,含有水蒸气的氧化气体由氢气气源与氧气气源之间的催化性化学反应生成。WVG系统不似热解产生器是藉由燃烧而产生水蒸气,且通常反应温度高于1000℃。WVG系统含有催化剂,且通常于低温下(约100~500℃)产生水蒸气,较佳为小于等于350℃。催化剂反应器中的催化剂可为金属或合金,如:钯、铂、镍、铁、铬、钌、铑其中之一,或其合金或混合物。于本发明中,超高纯度的水为ALD制程的理想所需,于一实施例中,为避免未反应的氢顺流而下,则氧气气源可于WVG系统中流洗5秒,接着,氢气气源再进入反应器5秒。氧气与氢气气源(如H2和O2)之间的催化反应会生成水蒸气。调控氧气与氢气气源的流动可获得对含有水蒸气的氧化气体中氧气与氢气浓度的正确控制。水蒸气中可能含有残留的氢气气源、氧气气源其中之一或其混合物。适合的WVG系统是可购得,如:加州圣克拉拉的Fujikin of America公司的Water Vapor Generator(WVG)system,或是加州蒙罗公园市的Ultra Clean Technology的CatalystSteam Generator System(CSGS)。
净化气体或载气(较佳为氩或氮)的脉冲于ALD循环中铪前驱物、氧化气体或其他前驱物的每次脉冲后,连续地导入制程腔。净化气体或载气的脉冲的流速通常约为2~22slm,较佳为10slm。每一次制程循环的发生时间范围约为0.01~20秒,于一实验例中,制程循环持续约10秒;其他的实验例中,制程循环持续约2秒。持续约10秒的较长制程循环步骤可沉积绝佳的氧化铪薄膜,但却降低了生产率。特殊的净化气体流速与制程循环的持续时间是透过实验而决定的。一实验例中,于相同的持续时间下,300nm直径的晶片相对于200mm直径的晶片需要两倍的流速,藉此才可维持相近的生产效率。
于一实施例中,氢气作为载气、净化气体及/或反应气体以减少卤素对沉积材料的污染。含有卤素原子(如:HfCl4、ZrCl4和TaF5)之前驱物会很快地污染已沉积的电介质材料。氢气为还原剂,并产生卤化氢(如:HCl或HF),其是具挥发性且为可移除的副产物。因此,在前驱物化合物(如:铪前驱物)的存在下,氢气可作为载气或反应气体,并可再包括另一载气(如:氩气或氮气)。于一实验例中,水/氢混合物于温度范围约100~500℃下用于降低卤素浓度并增加已沉积材料的氧气浓度。于一实验例中,水/氢混合物源自将过量的氢气气源导入WVG系统而形成富含氢的水蒸气。
于此处所提及的用以沉积材料的部分实施例中,可采用另一种氧化气体(如:传统的氧化剂)而取代由WVG系统所生成的含有水蒸气的氧化气体。另一种氧化气体是自含有水的氧气气源而导入制程腔中,而此氧气气源并非源自WVG系统、氧(O2)、臭氧(O3)、氧原子(O)、过氧化氢(H2O2)、氧化亚氮(N2O)、一氧化氮(NO)、五氧化二氮(N2O5)、二氧化氮(NO2)其中之一,或其衍生物或混合物。本发明的实施例所提供的制程是藉由来自WVG系统所形成的含有水蒸气的氧化气体,而亦有其他实施例所提供的制程是于形成含铪材料或其他电介质材料的沉积制程中,利用另一种的氧化气体或传统的氧化剂。
多种前驱物皆列于本发明的可用于沉积电介质材料的实施例范围中。前驱物的一种重要特征需具有适合的蒸汽压力,前驱物于室温及室压下可能为气体、液体或是固体,然而,ALD腔中需使用挥发的前驱物。有机金属化合物含有至少一种金属原子以及至少一种含有机物的官能基团,如:酰胺(amide)、烷基(alkyl)、烷氧基(alkoxyl)、烷基酰胺(alkylamido)或苯胺(anilide)。前驱物可能包括有机金属、无机或卤化物化合物。
铪前驱物的范例包括含有配位基(如:卤化物、烷基酰胺、环戊二烯基、烷基、烷氧化物其中之一,或其衍生物或混合物)的铪化合物。可用作为铪前驱物的卤化铪化合物包括HfCl4、Hfl4和HfBr4;可用作为铪前驱物的烷基酰胺铪包括(RR’N)4Hf,其中R与R’是为独立的氢、甲基、乙基、丙基或丁基。而可用作为沉积含铪材料的铪前驱物包括有(Et2N)4Hf、(Me2N)4Hf、(MeEtN)4Hf、(tBuC5H4)2HfCl2、(C5H5)2HfCl2、(EtC5H4)2HfCl2、(Me5C5)2HfCl2、(Me5C5)HfCl3、(iPrC5H4)2HfCl2、(iPrC5H4)HfCl3、(tBuC5H4)2HfMe2、(acac)4Hf、(hfac)4Hf、(tfac)4Hf、(thd)4Hf,(NO3)4Hf、(tBuO)4Hf、(iPrO)4Hf、(EtO)4Hf、(MeO)4Hf,或其衍生物。其中,于沉积制程中所使用的铪前驱物较佳为HfCl4、(Et2N)4Hf或(Me2N)4Hf。
于另一实施例中,多种的氧化金属或是氮氧化金属可藉由将金属前驱物与源自WVG系统的含水蒸气的氧化气体进行连续脉冲所得。此处所揭露的ALD制程可略做修改,是以其他金属前驱物取代铪前驱物,以形成额外的电介质材料,如:铝酸铪、铝酸钛、氮氧化钛、氧化锆、氮氧化锆、铝酸锆、氧化钽、氮氧化钽、氧化钛、氧化铝、氮氧化铝、氧化镧、氮氧化镧、铝酸镧其中之一,或其合金、衍生物或混合物。于一实施例中,两个以上的ALD制程是共同进行以将层沉积于另一层上。举例来说,一个组合式制程包括形成第一电介质材料的第一ALD制程,以及形成第二电介质材料的第二ALD制程,上述组合式制程是用于产生多样的含铪材料,例如:硅酸铝铪、或是氮氧化硅铝铪。于一实验例中,一电介质堆迭是包括于基板上沉积第一含铪材料之后,再接续于上沉积第二含铪材料,而第一、第二含铪材料在成分上可为不相同,因此一层可能含有氧化铪,而另一层则可能含有硅酸铪。一方面,通常较下方的层含有硅。ALD制程中所使用的其他金属前驱物包括:ZrCl4、Cp2Zr、(Me2N)4Zr、(Et2N)4Zr、TaF5,TaCl5、(tBuO)5Ta、(Me2N)5Ta、(Et2N)5Ta、(Me2N)3Ta(NtBu)、(Et2N)3Ta(NtBu)、TiCl4、Til4、(iPrO)4Ti、(Me2N)4Ti、(Et2N)4Ti、AlCl3、Me3Al、Me2AlH、(AMD)3La、((Me3Si)(tBu)N)3La、((Me3Si)2N)3La、(tBu2N)3La、(iPr2N)3La其中之一,或其衍生物或混合物。
此处所使用的「基板表面」是指基板上方进行薄膜制程的基板或材料表面,举例来说,制程进行的基板表面成分包括有:硅、氧化硅、应变硅、绝缘层上覆硅(SOI)、掺杂碳的氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石,以及其他物质如:金属、氮化金属、金属合金以及其他传导物质,是视其应用而定。障壁层以及基板表面的金属或氮化金属包括钛、氮化钛、钽以及氮化钽。基板不但具有多种尺寸(如:200mm或300mm直径的晶片)以及矩形或正方形的栅格(panes)。除非特别注明,此处所提及的实施例以及实验例较佳以直径200mm或300mm的基板为例,且更佳为300mm。此处所揭露的制程实施例是将含铪材料沉积于多种基板与表面,而本发明的基板可利用于但不限于半导体晶片,如:结晶硅(如:Si<100>或Si<111>)、氧化硅、应变硅、硅锗、掺杂或不掺杂的多晶硅、掺杂或不掺杂的硅晶片以及产品或控片晶片。基板可进行前处理制程以对基板的表面执行磨光、蚀刻、还原、氧化、羟化及/或退火处理。
「原子层沉积」或「循环性沉积」于此处是指连续导入两种以上的反应化合物以将材料层沉积于基板表面。二、三或多种反应化合物可选择性地导入制程腔的反应区中,通常将每一个反应化合物之间以时间作一区分,而使每一个化合物能吸附及/或与基板表面反应。一方面,第一前驱物或是化合物A脉冲进入反应区后,接续一段第一缓冲时间,之后,第二前驱物或化合物B脉冲进入反应区,并同样接续一段第二缓冲时间。于每段缓冲时间内,净化气体(如氮气)会导入制程腔以净化反应区或是移除反应区中任何残留的反应化合物或是副产物。另外,净化气体亦可于沉积制程中持续流动,因此在反应化合物脉冲之间的缓冲时间仅有净化气体在流动。反应化合物亦可选择性地脉冲,直到已达到基板表面所需的薄膜厚度。另一情况是,ALD制程中的脉冲化合物A、净化气体、脉冲化合物B以及净化气体是为一循环,而循环可由化合物A或B开始并接续循环,直到已达到基板表面所需的薄膜厚度。另一实施例,第一前驱物包含化合物A,第二前驱物包含化合物B,第三前驱物包括化合物C,且三者分别脉冲入制程腔,其中,第一前驱物的脉冲亦可与第二前驱物的脉冲时间重迭,而第三前驱物的脉冲则不与第一或第二前驱物的脉冲时间重迭。
「脉冲」是指一特殊的化合物于间歇地或非连续地导入制程腔的反应区中,而特殊化合物于每次脉冲的量随时间会有不同,是视脉冲的持续时间而定,而每一次脉冲的持续时间是依据多个因子而有不同,如:制程腔的体积容量、与制程腔所结合的真空系统以及特殊化合物本身的挥发度/反应度。「半反应」于此处是指前驱物脉冲步骤后,再接续一个净化步骤。
实验例
实验例1~10是于CENTURA基台上进行,包括有TEMPESTTM湿式清洗系统、ALD腔、CENTURADPN(解偶等离子氮化)腔,以及CENTURARADIANCERTP(热退火)腔,上述所有的器材皆可自加州圣克拉拉的Applied Materials公司获得。实验于直径300mm的基板上进行,且基板表面暴露于HF-last溶液以移除自然氧化物,并接续置入湿式清洗系统以形成厚度约为5的化学氧化层。数个与WVG系统连接的ALD腔是进一步描述于本发明受让人所受让及同时另案待审的美国申请序号第11/127753号(2005年5月12号申请,而公开号为US2005-0271812),于此处将其整体并为参考文献,用以描述ALD制程所使用的方法与装置。另一个可采用的ALD腔是进一步描述于本发明受让人所受让的美国专利公告第6916398号,并同样于此处将其整体并为参考文献,用以描述ALD制程所使用的方法与装置。WVG系统所使用的金属催化剂是自加州圣克拉拉的Fujikin of America公司所获得,而WVG系统是将一氢气气源(含5体积%氢气的氮气)以及一氧气气源(氧气)产生一氧化气体。
实验例1-HfOx沉积-将含有化学氧化物表面的基板置入ALD腔内,藉由将基板循序暴露于铪前驱物(HfCl4)与含有水蒸气的氧化气体中,而使得于ALD制程中形成一氧化铪层。ALD循环包括接连脉冲HfCl4与水蒸气,并以一氮气净化循环分隔每一个前驱物,而重复ALD循环以得到厚度约40的氧化铪层。基板转移至DPN腔中,并暴露于惰性等离子制程(含有氩气等离子),惰性等离子制程包括流速约200sccm的氩气流,并进行90秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz,而用以加密氧化铪层。基板接着转移至热退火腔,并于维持在15托压力的氧气/氮气环境下,于1000℃下加热约15秒。
实验例2-HfOx沉积-将含有化学氧化物表面的基板置入ALD腔内,藉由将基板循序暴露于铪前驱物(TDEAH)与含有水蒸气的氧化气体中,而使得于ALD制程中形成一氧化铪层。ALD循环包括接连脉冲TDEAH与水蒸气,并以一氮气净化循环分隔每一个前驱物,而重复ALD循环以得到厚度约50的氧化铪层。基板转移至DPN腔中,并暴露于惰性等离子制程(含有氩气等离子),惰性等离子制程包括流速约200sccm的氩气流,并进行90秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz,而用以加密氧化铪层。基板接着转移至热退火腔,并于维持在15托压力的氧气/氮气环境下,于1000℃下加热约15秒。
实验例3-TaOx沉积-将含有化学氧化物表面的基板置入ALD腔内,于ALD制程中利用钽前驱物(TaCl5)与水而在基板表面形成氧化钽层。ALD循环包括接连脉冲TaCl5与水蒸气,并以一氮气净化循环分隔每一个前驱物,而重复ALD循环以得到厚度约100的氧化钽层。基板转移至DPN腔中,并暴露于惰性等离子制程(含有氩气等离子),惰性等离子制程包括流速约200sccm的氩气流,并进行60秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz,而用以加密氧化钽层。基板接着转移至热退火腔,并于维持在10托压力的氧气/氮气环境下,于1000℃下加热约15秒。
实验例4-ZrOx沉积-将含有化学氧化物表面的基板置入ALD腔内,藉由将基板循序暴露于锆前驱物(ZrCl4)与含有水蒸气的氧化气体中,而使得于ALD制程中形成一氧化锆层。ALD循环包括接连脉冲ZrCl4与水蒸气,并以一氮气净化循环分隔每一个前驱物,而重复ALD循环以得到厚度约60的氧化锆层。基板转移至DPN腔中,并暴露于惰性等离子制程(含有氩气等离子),惰性等离子制程包括流速约200sccm的氩气流,并进行2分钟,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz,而用以加密氧化锆层。基板接着转移至热退火腔,并于维持在25托压力的氧气/氮气环境下,于950℃下加热约30秒。
实验例5-HfOxNy沉积-将含有化学氧化物表面的基板置入ALD腔内,藉由将基板循序暴露于铪前驱物(HfCl4)与含有水蒸气的氧化气体中,而使得于ALD制程中形成一氧化铪层。ALD循环包括接连脉冲HfCl4与水蒸气,并以一氮气净化循环分隔每一个前驱物,而重复ALD循环以得到厚度约40的氧化铪层。基板转移至DPN腔中,并暴露于氮化等离子制程,用以加密氧化铪层,并将氮原子并入氧化铪层中以形成氮氧化铪材料。氮化制程包括流速约40sccm的氮气流,并进行180秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz。基板接着转移至热退火腔,并于维持在15托压力的氧气/氮气环境下,于1000℃下加热约15秒。
实验例6-HfOxNy沉积-将含有化学氧化物表面的基板置入ALD腔内,藉由将基板循序暴露于铪前驱物(TDEAH)与含有水蒸气的氧化气体中,而使得于ALD制程中形成一氧化铪层。ALD循环包括接连脉冲TDEAH与水蒸气,并以一氮气净化循环分隔每一个前驱物,而重复ALD循环以得到厚度约50的氧化铪层。基板转移至DPN腔中,并暴露于氮化等离子制程,用以加密氧化铪层,并将氮原子并入氧化铪层中以形成氮氧化铪材料。氮化制程包括流速约160sccm的氩气流以及流速约40sccm的氮气流,并进行180秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz。基板接着转移至热退火腔,并于维持在15托压力的氧气/氮气环境下,于1050℃下加热约12秒。
实验例7-TaOxNy沉积-将含有化学氧化物表面的基板置入ALD腔内,于ALD制程中利用钽前驱物(TaCl5)与水而在基板表面形成氧化钽层。ALD循环包括接连脉冲TaCl5与水蒸气,并以一氮气净化循环分隔每一个前驱物,而重复ALD循环以得到厚度约100的氧化钽层。基板转移至DPN腔中,并暴露于氮化等离子制程,用以加密氧化钽层,并将氮原子并入氧化钽层中以形成氮氧化钽材料。氮化制程包括流速约120sccm的氩气流以及流速约80sccm的氮气流,并进行120秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz,而用以加密氧化钽层。基板接着转移至热退火腔,并于维持在10托压力的氧气/氮气环境下,于1000℃下加热约15秒。
实验例8-ZrOxNy沉积-将含有化学氧化物表面的基板置入ALD腔内,藉由将基板循序暴露于锆前驱物(ZrCl4)与含有水蒸气的氧化气体中,而使得于ALD制程中形成一氧化锆层。ALD循环包括接连脉冲ZrCl4与水蒸气,并以一氮气净化循环分隔每一个前驱物,而重复ALD循环以得到厚度约60的氧化锆层。基板转移至DPN腔中,并暴露于氮化等离子制程,用以加密氧化锆层,并将氮原子并入氧化锆层中以形成氮氧化锆材料,氮化制程包括流速约100sccm的氩气流以及流速约100sccm的氮气流,并进行60秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz。基板接着转移至热退火腔,并于维持在25托压力的氧气/氮气环境下,于950℃下加热约30秒。
实验例9-「图3A」的HfOx沉积-氧化铪层于相同的制程条件下沉积于基板A和B上,基板A转移至DPN腔并暴露于氮化等离子制程,氮化制程包括流速约160sccm的氩气流以及流速约40sccm的氮气流,并进行180秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz。基板B转移至DPN腔并暴露于惰性等离子制程(含有氩气等离子),惰性等离子制程包括流速约200sccm的氩气流,并进行90秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz,而用以加密氧化铪层。基板A与B接着转移至热退火腔,并于维持在15托压力的氧气/氮气环境下,于1000℃下加热约15秒。
量测二表面的电容,结果显示基板B相对于基板A具有较高的电容,基板A的最大电容约为2.35μF/cm2,而基板B的最大电容为2.55μF/cm2
实验例10-「图6A~6B」的HfOx沉积-氧化铪层于相同的制程条件下沉积于基板A、B和C上。基板A并未暴露于惰性等离子制程或热退火制程,基板B和C则转移至DPN腔,并分别地暴露于相同的氮化等离子制程,而加密氧化铪层,并将氮原子并入氧化铪层中以形成氮氧化铪材料。氮化制程包括流速约160sccm的氩气流以及流速约40sccm的氮气流,并进行180秒,等离子功率为1800瓦,工作周期50%,脉冲频率10kHz。基板B转移至热退火腔,并于维持在15托压力的氧气/氮气环境(约0.1体积%)下,于500℃下加热约15秒。基板C转移至热退火腔,并于维持在15托压力的氧气/氮气环境(约0.1体积%)下,于1000℃下加热约15秒。
量测各表面的电容,结果显示基板C相对于基板B具有较高的电容,且基板B亦相较于基板A有较高的电容(「图6A」)。基板A的最大电容为1.75μF/cm2,基板B的最大电容为1.95μF/cm2,而基板C的最大电容为2.35μF/cm2
亦量测各表面的漏电流情形,结果显示基板C的电流密度相较基板A和B低两个层级(「图6B」),基板A和B的电流密度皆大于100A/cm2,而基板C的电流密度小于1A/cm2
于一实验例中,「表1」显示含有氧化铪的基板在不经过等离子制程或是热退火制程者,相较于进行该些制程的相似基板而具有较低的电容。虽然二基板皆进行氮化等离子制程,但进行高温热退火制程(如:相对于500℃而较高温的1000℃)的基板具有较高的电容。另外,虽然二基板皆于1000℃下进行热退火制程,但进行惰性等离子制程(如:含氩)的基板相对于进行氮化等离子制程的基板具有较高的电容。
                           表1
  实验例及基板   等离子制程   热退火(℃)   电容(μF/cm2)
  实验例9-基板A   氮气   1,000   2.35
  实验例9-基板B   氩气   1,000   2.55
  实验例10-基板A   无   无   1.75
  实验例10-基板B   氮气   500   1.95
  实验例10-基板C   氮气   1,000   2.35
惟本发明虽以较佳实施例说明如上,然其并非用以限定本发明,任何熟习此技术人员,在不脱离本发明的精神和范围内所作的更动与润饰,仍应属本发明的技术范畴。

Claims (60)

1.一种于基板上形成电介质材料的方法,包括:
将该基板置于制程腔中;
将氢气气源以及氧气气源流入水蒸气产生器中,以形成包含水蒸气的氧化气体;
于原子层沉积制程中,使该基板循序暴露于该氧化气体以及至少一含金属前驱物之中,而于该基板上形成电介质材料;
使该基板暴露于惰性气体等离子,而于惰性等离子制程中加密该电介质材料;以及
使该基板暴露于热退火制程之下。
2.如权利要求1所述的方法,其中该氢气气源为氢气或含氢的混合气体,而该氧气气源为氧气或氧化亚氮。
3.如权利要求2所述的方法,其中该至少一含金属前驱物是选自于由铪前驱物、锆前驱物、铝前驱物、钽前驱物、钛前驱物、镧前驱物以及其混合物所组成的群组。
4.如权利要求3所述的方法,其中该电介质材料包括至少一材料,其是选自于由氧化铪、氧化锆、氧化镧、氧化钽、氧化钛、氧化铝、其合金、其衍生物以及其混合物所组成的群组。
5.如权利要求4所述的方法,其中该基板于形成该电介质材料之前,使该基板进行湿式清洗制程以形成厚度小于等于10的氧化层。
6.如权利要求1所述的方法,其中该惰性气体等离子包括气体,其是选自于由氩、氦、氖以及其混合物所组成的群组。
7.如权利要求6所述的方法,其中该惰性气体等离子包括氩,且不含有或基本上不含有氮。
8.如权利要求7所述的方法,其中该基板暴露于具有功率输出为500~3000瓦的该惰性气体等离子下,持续时间为30秒~5分钟。
9.如权利要求8所述的方法,其中该功率输出为900~1800瓦,而持续时间为1~3分钟。
10.如权利要求7所述的方法,其中该热退火制程的进行时间为1~120秒,进行温度为600~1200℃。
11.如权利要求10所述的方法,其中该进行时间为5~30秒,而该进行温度为800~1100℃。
12.如权利要求11所述的方法,其中该基板于该热退火制程中是暴露于含氧环境下。
13.如权利要求4所述的方法,其中该电介质材料的厚度为5~100。
14.如权利要求13所述的方法,其中该电介质材料包括氧化铪,且厚度为10~60。
15.如权利要求13所述的方法,其中该基板于进行该原子层沉积制程之后,以及该惰性等离子制程之前,进行沉积后的退火制程。
16.如权利要求14所述的方法,其中该含铪材料的电容至少为2.4μF/cm2
17.一种于基板上形成电介质材料的方法,包括:
于原子层沉积制程中,使该基板循序暴露于至少含金属前驱物以及氧化气体下,而于该基板上形成氧化金属材料;
使该基板暴露于惰性气体等离子,而于惰性等离子制程中加密该氧化金属材料;以及
使该基板暴露于热退火制程之下。
18.如权利要求17所述的方法,其中该原子层沉积制程更包括将氢气气源以及氧气气源流入水蒸气产生器中,以形成该氧化气体以及包含水蒸气的该氧化气体。
19.如权利要求18所述的方法,其中该氢气气源为氢气或含氢的混合气体,而该氧气气源为氧气或氧化亚氮。
20.如权利要求19所述的方法,其中该至少一含金属前驱物是选自于由铪前驱物、锆前驱物、铝前驱物、钽前驱物、钛前驱物、镧前驱物以及其混合物所组成的群组。
21.如权利要求20所述的方法,其中该氧化金属材料包括至少一材料,其是选自于由氧化铪、氧化锆、氧化镧、氧化钽、氧化钛、氧化铝、其合金、其衍生物以及其混合物所组成的群组。
22.如权利要求17所述的方法,其中该惰性气体等离子包括气体,其是选自于由氩、氦、氖以及其混合物所组成的群组。
23.如权利要求22所述的方法,其中该基板暴露于具有功率输出为500~3000瓦的该惰性气体等离子,持续时间为30秒~5分钟。
24.如权利要求23所述的方法,其中该功率输出为900~1800瓦,而持续时间为1~3分钟。
25.如权利要求22所述的方法,其中该惰性气体等离子包括氩,且不含有或基本上不含有氮。
26.如权利要求25所述的方法,其中该热退火制程的进行时间为1~120秒,进行温度为600~1200℃。
27.如权利要求26所述的方法,其中该进行时间为5~30秒,而该进行温度为800~1100℃。
28.如权利要求26所述的方法,其中该基板于该热退火制程中暴露于含氧环境下。
29.如权利要求25所述的方法,其中该氧化金属材料包括至少一元素,其是选自于由铪、钽、钛、铝、锆、镧以及其混合物所组成的群组。
30.如权利要求29所述的方法,其中该氧化金属材料的厚度为5~100。
31.如权利要求30所述的方法,其中该氧化金属材料包括氧化铪,且厚度为10~60。
32.如权利要求30所述的方法,其中该氧化金属材料的电容至少为2.4μF/cm2
33.如权利要求29所述的方法,其中该基板于形成该电介质材料之前,该基板进行湿式清洗制程以形成厚度小于等于10的氧化层。
34.如权利要求33所述的方法,其中该基板于进行该原子层沉积制程之后,以及该惰性等离子制程之前,进行沉积后的退火制程。
35.一种于基板上形成含铪材料的方法,包括:
使该基板暴露于沉积制程下,而于该基板上形成含氧化铪的电介质材料;
使该基板暴露于惰性气体等离子,而于惰性等离子制程中加密该电介质材料,其中该惰性气体等离子包括氩,且不含有或基本上不含有氮;以及
使该基板暴露于包含有氧气的热退火制程下。
36.如权利要求35所述的方法,其中该含铪材料的电容至少为2.4μF/cm2
37.如权利要求35所述的方法,其中用以形成该电介质材料的沉积制程为原子层沉积制程,包括将该基板循序暴露于氧化气体以及含铪前驱物下,以形成该含有氧化铪的电介质材料,其中该氧化气体包含水蒸气,且源自将氢气气源以及氧气气源流入水蒸气产生器中所形成。
38.如权利要求37所述的方法,其中该氢气气源为氢气或含氢的混合气体,而该氧气气源为氧气或氧化亚氮。
39.一种于基板上形成电介质材料的方法,包括:
使该基板暴露于沉积制程下,而于该基板上形成氧化金属层;
使该基板暴露于氮化等离子制程下,而于该基板上形成氮氧化金属层;以及
使该基板暴露于热退火制程下,以形成该电介质材料。
40.如权利要求39所述的方法,其中该氮化等离子制程的进行时间为1~3分钟,且功率输出为900~1800瓦。
41.如权利要求40所述的方法,其中该氮化等离子制程包括氮气浓度小于等于50体积%的制程气体。
42.如权利要求41所述的方法,其中该电介质材料的氮浓度为5~25原子%。
43.如权利要求42所述的方法,其中该氧化金属层基本上不含有硅。
44.如权利要求39所述的方法,其中该氧化金属层包括至少一元素,其是选自于由铪、钽、钛、铝、锆、镧以及其混合物所组成的群组。
45.如权利要求44所述的方法,其中该热退火制程的进行时间为5~30秒,进行温度为800~1100℃。
46.如权利要求45所述的方法,其中该基板于该热退火制程中是暴露于含氧环境下。
47.如权利要求39所述的方法,其中该电介质材料的厚度为5~100。
48.如权利要求47所述的方法,其中该电介质材料包括氮氧化铪,且厚度为10~60。
49.如权利要求48所述的方法,其中该电介质材料的电容至少为2.4μF/cm2
50.如权利要求39所述的方法,其中该氧化金属层是由原子层沉积制程所形成。
51.如权利要求50所述的方法,其中该基板于进行该原子层沉积制程之前,该基板进行湿式清洗制程以形成厚度小于等于10的氧化层。
52.如权利要求51所述的方法,其中该基板于进行该原子层沉积制程之后,以及该氮化等离子制程之前,进行沉积后的退火制程。
53.如权利要求50所述的方法,其中该原子层沉积制程包括将该基板循序暴露于氧化气体以及至少一含金属前驱物下,而在该基板上形成该氧化金属层。
54.如权利要求53所述的方法,其中该氧化气体包含水蒸气,且源自将氢气气源以及氧气气源流入水蒸气产生器中所形成。
55.如权利要求54所述的方法,其中该氢气气源为氢气或含氢的混合气体,而该氧气气源为氧气或氧化亚氮。
56.如权利要求55所述的方法,其中该含金属前驱物是选自于由铪前驱物、锆前驱物、铝前驱物、钽前驱物、钛前驱物、镧前驱物以及其混合物所组成的群组。
57.一种于一基板上形成一含铪材料的方法,包括:
使该基板暴露于沉积制程下,而于该基板上形成含氧化铪的电介质材料;
使该基板暴露于氮化等离子制程,而使氧化铪形成氮氧化铪;以及
使该基板暴露于包含有氧气的热退火制程下。
58.如权利要求57所述的方法,其中该含铪材料的电容至少为2.4μF/cm2
59.如权利要求57所述的方法,其中用以形成该电介质材料的沉积制程为原子层沉积制程,包括将该基板循序暴露于氧化气体以及含铪前驱物,以形成含氧化铪的该电介质材料,其中该氧化气体包含水蒸气,且源自将氢气气源以及氧气气源流入水蒸气产生器中所形成。
60.如权利要求59所述的方法,其中该氢气气源为氢气或含氢的混合气体,而该氧气气源为氧气或氧化亚氮。
CNA2006800226567A 2005-06-24 2006-06-13 电介质材料的等离子处理 Pending CN101248212A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US11/167,070 2005-06-24
US11/167,070 US20060019033A1 (en) 2004-05-21 2005-06-24 Plasma treatment of hafnium-containing materials

Publications (1)

Publication Number Publication Date
CN101248212A true CN101248212A (zh) 2008-08-20

Family

ID=37084595

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800226567A Pending CN101248212A (zh) 2005-06-24 2006-06-13 电介质材料的等离子处理

Country Status (6)

Country Link
US (1) US20060019033A1 (zh)
JP (1) JP2008544091A (zh)
KR (1) KR20080011236A (zh)
CN (1) CN101248212A (zh)
TW (1) TW200702475A (zh)
WO (1) WO2007001832A1 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108531890A (zh) * 2018-04-27 2018-09-14 华南理工大学 一种金属氧化物透明导电薄膜的制备方法及其产品和用途
CN110379709A (zh) * 2019-07-25 2019-10-25 上海华力集成电路制造有限公司 氧化铪薄膜的制造方法

Families Citing this family (443)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7405158B2 (en) * 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US7101795B1 (en) 2000-06-28 2006-09-05 Applied Materials, Inc. Method and apparatus for depositing refractory metal layers employing sequential deposition techniques to form a nucleation layer
US6551929B1 (en) * 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6852167B2 (en) * 2001-03-01 2005-02-08 Micron Technology, Inc. Methods, systems, and apparatus for uniform chemical-vapor depositions
US8026161B2 (en) 2001-08-30 2011-09-27 Micron Technology, Inc. Highly reliable amorphous high-K gate oxide ZrO2
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US7204886B2 (en) * 2002-11-14 2007-04-17 Applied Materials, Inc. Apparatus and method for hybrid chemical processing
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US7589029B2 (en) * 2002-05-02 2009-09-15 Micron Technology, Inc. Atomic layer deposition and conversion
US7067439B2 (en) * 2002-06-14 2006-06-27 Applied Materials, Inc. ALD metal oxide deposition process using direct oxidation
US20050252449A1 (en) 2004-05-12 2005-11-17 Nguyen Son T Control of gas flow and delivery to suppress the formation of particles in an MOCVD/ALD system
US8119210B2 (en) * 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
US7601649B2 (en) 2004-08-02 2009-10-13 Micron Technology, Inc. Zirconium-doped tantalum oxide films
US7081421B2 (en) 2004-08-26 2006-07-25 Micron Technology, Inc. Lanthanide oxide dielectric layer
US20060125030A1 (en) * 2004-12-13 2006-06-15 Micron Technology, Inc. Hybrid ALD-CVD of PrxOy/ZrO2 films as gate dielectrics
US7235501B2 (en) * 2004-12-13 2007-06-26 Micron Technology, Inc. Lanthanum hafnium oxide dielectrics
US7560395B2 (en) * 2005-01-05 2009-07-14 Micron Technology, Inc. Atomic layer deposited hafnium tantalum oxide dielectrics
US7235492B2 (en) * 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US7508648B2 (en) * 2005-02-08 2009-03-24 Micron Technology, Inc. Atomic layer deposition of Dy doped HfO2 films as gate dielectrics
US7374964B2 (en) * 2005-02-10 2008-05-20 Micron Technology, Inc. Atomic layer deposition of CeO2/Al2O3 films as gate dielectrics
US7687409B2 (en) 2005-03-29 2010-03-30 Micron Technology, Inc. Atomic layer deposited titanium silicon oxide films
US7365027B2 (en) * 2005-03-29 2008-04-29 Micron Technology, Inc. ALD of amorphous lanthanide doped TiOx films
US7390756B2 (en) * 2005-04-28 2008-06-24 Micron Technology, Inc. Atomic layer deposited zirconium silicon oxide films
US7662729B2 (en) * 2005-04-28 2010-02-16 Micron Technology, Inc. Atomic layer deposition of a ruthenium layer to a lanthanide oxide dielectric layer
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7927948B2 (en) 2005-07-20 2011-04-19 Micron Technology, Inc. Devices with nanocrystals and methods of formation
US7402534B2 (en) * 2005-08-26 2008-07-22 Applied Materials, Inc. Pretreatment processes within a batch ALD reactor
US20070065578A1 (en) * 2005-09-21 2007-03-22 Applied Materials, Inc. Treatment processes for a batch ALD reactor
TWI329135B (en) 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
US7972974B2 (en) 2006-01-10 2011-07-05 Micron Technology, Inc. Gallium lanthanide oxide films
US7709402B2 (en) 2006-02-16 2010-05-04 Micron Technology, Inc. Conductive layers for hafnium silicon oxynitride films
US7645710B2 (en) * 2006-03-09 2010-01-12 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7678710B2 (en) * 2006-03-09 2010-03-16 Applied Materials, Inc. Method and apparatus for fabricating a high dielectric constant transistor gate using a low energy plasma system
US7837838B2 (en) * 2006-03-09 2010-11-23 Applied Materials, Inc. Method of fabricating a high dielectric constant transistor gate using a low energy plasma apparatus
US20070252299A1 (en) * 2006-04-27 2007-11-01 Applied Materials, Inc. Synchronization of precursor pulsing and wafer rotation
US20070259111A1 (en) * 2006-05-05 2007-11-08 Singh Kaushal K Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
KR101019875B1 (ko) * 2006-06-30 2011-03-04 어플라이드 머티어리얼스, 인코포레이티드 나노결정 형성
US7727908B2 (en) 2006-08-03 2010-06-01 Micron Technology, Inc. Deposition of ZrA1ON films
US7544604B2 (en) 2006-08-31 2009-06-09 Micron Technology, Inc. Tantalum lanthanide oxynitride films
US7776765B2 (en) 2006-08-31 2010-08-17 Micron Technology, Inc. Tantalum silicon oxynitride high-k dielectrics and metal gates
US7605030B2 (en) 2006-08-31 2009-10-20 Micron Technology, Inc. Hafnium tantalum oxynitride high-k dielectric and metal gates
US7432548B2 (en) * 2006-08-31 2008-10-07 Micron Technology, Inc. Silicon lanthanide oxynitride films
US7759747B2 (en) * 2006-08-31 2010-07-20 Micron Technology, Inc. Tantalum aluminum oxynitride high-κ dielectric
US7563730B2 (en) 2006-08-31 2009-07-21 Micron Technology, Inc. Hafnium lanthanide oxynitride films
US20080057659A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Hafnium aluminium oxynitride high-K dielectric and metal gates
JP5590886B2 (ja) * 2006-09-26 2014-09-17 アプライド マテリアルズ インコーポレイテッド 欠陥パシベーションのための高kゲート積層構造に対するフッ素プラズマ処理
US7521379B2 (en) * 2006-10-09 2009-04-21 Applied Materials, Inc. Deposition and densification process for titanium nitride barrier layers
US8986456B2 (en) 2006-10-10 2015-03-24 Asm America, Inc. Precursor delivery system
DE102007002962B3 (de) * 2007-01-19 2008-07-31 Qimonda Ag Verfahren zum Herstellen einer dielektrischen Schicht und zum Herstellen eines Kondensators
US20080207007A1 (en) * 2007-02-27 2008-08-28 Air Products And Chemicals, Inc. Plasma Enhanced Cyclic Chemical Vapor Deposition of Silicon-Containing Films
US7910446B2 (en) * 2007-07-16 2011-03-22 Applied Materials, Inc. Integrated scheme for forming inter-poly dielectrics for non-volatile memory devices
US7678298B2 (en) * 2007-09-25 2010-03-16 Applied Materials, Inc. Tantalum carbide nitride materials by vapor deposition processes
US7585762B2 (en) * 2007-09-25 2009-09-08 Applied Materials, Inc. Vapor deposition processes for tantalum carbide nitride materials
US7824743B2 (en) * 2007-09-28 2010-11-02 Applied Materials, Inc. Deposition processes for titanium nitride barrier and aluminum
JP5264163B2 (ja) * 2007-12-27 2013-08-14 キヤノン株式会社 絶縁膜の形成方法
US9136545B2 (en) * 2008-02-27 2015-09-15 GM Global Technology Operations LLC Low cost fuel cell bipolar plate and process of making the same
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
US8076237B2 (en) * 2008-05-09 2011-12-13 Asm America, Inc. Method and apparatus for 3D interconnect
US8208241B2 (en) * 2008-06-04 2012-06-26 Micron Technology, Inc. Crystallographically orientated tantalum pentoxide and methods of making same
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8035165B2 (en) * 2008-08-26 2011-10-11 Taiwan Semiconductor Manufacturing Company, Ltd. Integrating a first contact structure in a gate last process
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US8770142B2 (en) 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
US8257799B2 (en) 2009-02-23 2012-09-04 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8481433B2 (en) * 2009-03-31 2013-07-09 Applied Materials, Inc. Methods and apparatus for forming nitrogen-containing layers
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8071452B2 (en) * 2009-04-27 2011-12-06 Asm America, Inc. Atomic layer deposition of hafnium lanthanum oxides
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8877655B2 (en) 2010-05-07 2014-11-04 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US8883270B2 (en) 2009-08-14 2014-11-11 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen—oxygen species
JP5475807B2 (ja) * 2010-01-22 2014-04-16 株式会社東芝 半導体装置及びその製造方法
US8580698B2 (en) * 2010-04-14 2013-11-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating a gate dielectric layer
WO2012012381A1 (en) * 2010-07-22 2012-01-26 Synos Technology, Inc. Treating surface of substrate using inert gas plasma in atomic layer deposition
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
US8643115B2 (en) 2011-01-14 2014-02-04 International Business Machines Corporation Structure and method of Tinv scaling for high κ metal gate technology
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9653327B2 (en) * 2011-05-12 2017-05-16 Applied Materials, Inc. Methods of removing a material layer from a substrate using water vapor treatment
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US9054048B2 (en) 2011-07-05 2015-06-09 Applied Materials, Inc. NH3 containing plasma nitridation of a layer on a substrate
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9341296B2 (en) 2011-10-27 2016-05-17 Asm America, Inc. Heater jacket for a fluid line
US9096931B2 (en) 2011-10-27 2015-08-04 Asm America, Inc Deposition valve assembly and method of heating the same
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9167625B2 (en) 2011-11-23 2015-10-20 Asm Ip Holding B.V. Radiation shielding for a substrate holder
US9005539B2 (en) 2011-11-23 2015-04-14 Asm Ip Holding B.V. Chamber sealing member
JP5852459B2 (ja) * 2012-02-10 2016-02-03 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US9202727B2 (en) 2012-03-02 2015-12-01 ASM IP Holding Susceptor heater shim
WO2013145404A1 (ja) 2012-03-28 2013-10-03 株式会社豊田中央研究所 オフ角を備えているシリコン単結晶とiii族窒化物単結晶の積層基板
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US9029253B2 (en) 2012-05-02 2015-05-12 Asm Ip Holding B.V. Phase-stabilized thin films, structures and devices including the thin films, and methods of forming same
US8728832B2 (en) 2012-05-07 2014-05-20 Asm Ip Holdings B.V. Semiconductor device dielectric interface layer
US8933375B2 (en) 2012-06-27 2015-01-13 Asm Ip Holding B.V. Susceptor heater and method of heating a substrate
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9117866B2 (en) 2012-07-31 2015-08-25 Asm Ip Holding B.V. Apparatus and method for calculating a wafer position in a processing chamber under process conditions
US9169975B2 (en) 2012-08-28 2015-10-27 Asm Ip Holding B.V. Systems and methods for mass flow controller verification
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337103B2 (en) 2012-12-07 2016-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method for removing hard mask oxide and making gate structure of semiconductor devices
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US8894870B2 (en) 2013-02-01 2014-11-25 Asm Ip Holding B.V. Multi-step method and apparatus for etching compounds containing a metal
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9396934B2 (en) 2013-08-14 2016-07-19 Asm Ip Holding B.V. Methods of forming films including germanium tin and structures and devices including the films
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR20160125947A (ko) * 2013-12-18 2016-11-01 야마가타 유니버시티 산화물 박막의 형성 방법 및 장치
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9633839B2 (en) * 2015-06-19 2017-04-25 Applied Materials, Inc. Methods for depositing dielectric films via physical vapor deposition processes
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10510545B2 (en) 2016-06-20 2019-12-17 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10103027B2 (en) 2016-06-20 2018-10-16 Applied Materials, Inc. Hydrogenation and nitridization processes for modifying effective oxide thickness of a film
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US20190057860A1 (en) * 2017-08-18 2019-02-21 Lam Research Corporation Methods for improving performance in hafnium oxide-based ferroelectric material using plasma and/or thermal treatment
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
TWI635539B (zh) 2017-09-15 2018-09-11 金巨達國際股份有限公司 高介電常數介電層、其製造方法及執行該方法之多功能設備
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
KR102470206B1 (ko) * 2017-10-13 2022-11-23 삼성디스플레이 주식회사 금속 산화막의 제조 방법 및 금속 산화막을 포함하는 표시 소자
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
JP7206265B2 (ja) 2017-11-27 2023-01-17 エーエスエム アイピー ホールディング ビー.ブイ. クリーン・ミニエンバイロメントを備える装置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
WO2019158960A1 (en) 2018-02-14 2019-08-22 Asm Ip Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
TW202129058A (zh) * 2019-07-07 2021-08-01 美商應用材料股份有限公司 使用原位蒸氣產生技術(issg)的金屬氧化物的熱原子層沉積
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210078405A (ko) 2019-12-17 2021-06-28 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 층을 형성하는 방법 및 바나듐 나이트라이드 층을 포함하는 구조
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
WO2021150625A1 (en) 2020-01-23 2021-07-29 Applied Materials, Inc. Method of cleaning a structure and method of depositiing a capping layer in a structure
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
JP7222946B2 (ja) * 2020-03-24 2023-02-15 株式会社Kokusai Electric 半導体装置の製造方法、基板処理装置、およびプログラム
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
US11542597B2 (en) 2020-04-08 2023-01-03 Applied Materials, Inc. Selective deposition of metal oxide by pulsed chemical vapor deposition
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR102383410B1 (ko) * 2020-07-23 2022-04-05 연세대학교 산학협력단 금속 산화물 박막의 전기적 특성 향상 방법
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US11830728B2 (en) 2021-10-13 2023-11-28 Applied Materials, Inc. Methods for seamless gap filling of dielectric material

Family Cites Families (98)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5294286A (en) * 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2764472B2 (ja) * 1991-03-25 1998-06-11 東京エレクトロン株式会社 半導体の成膜方法
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3181171B2 (ja) * 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5449716A (en) * 1994-06-30 1995-09-12 Dow Corning Corporation Functional polyorganosiloxane emulsions from dihydrolyzable silanes and photocurable compositions therefrom
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6043177A (en) * 1997-01-21 2000-03-28 University Technology Corporation Modification of zeolite or molecular sieve membranes using atomic layer controlled chemical vapor deposition
US5879459A (en) * 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (ja) * 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
TWI278932B (en) * 1997-03-05 2007-04-11 Hitachi Ltd Manufacturing method of semiconductor integrated circuit device
US6037273A (en) * 1997-07-11 2000-03-14 Applied Materials, Inc. Method and apparatus for insitu vapor generation
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6348376B2 (en) * 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) * 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6025627A (en) * 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100287180B1 (ko) * 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
DE19843151C2 (de) * 1998-09-21 2001-03-08 Alfing Montagetechnik Gmbh Bearbeitungsvorrichtung mit mindestens einem Bearbeitungswerkzeug
KR100327328B1 (ko) * 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6200893B1 (en) * 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
JP4786035B2 (ja) * 1999-04-13 2011-10-05 浜松ホトニクス株式会社 半導体装置
KR100347379B1 (ko) * 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
US7094284B2 (en) * 1999-10-07 2006-08-22 Advanced Technology Materials, Inc. Source reagent compositions for CVD formation of high dielectric constant and ferroelectric metal oxide thin films and method of using same
US6475276B1 (en) * 1999-10-15 2002-11-05 Asm Microchemistry Oy Production of elemental thin films using a boron-containing reducing agent
US6203613B1 (en) * 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
US6524934B1 (en) * 1999-10-28 2003-02-25 Lorimer D'arcy H. Method of manufacture for generation of high purity water vapor
CA2390465A1 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
AU2001245388A1 (en) * 2000-03-07 2001-09-17 Asm America, Inc. Graded thin films
US6630413B2 (en) * 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6482733B2 (en) * 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
KR100427423B1 (ko) * 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
DE10034003A1 (de) * 2000-07-07 2002-01-24 Infineon Technologies Ag Grabenkondensator mit Isolationskragen und entsprechendes Herstellungsverfahren
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
KR100396879B1 (ko) * 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6348386B1 (en) * 2001-04-16 2002-02-19 Motorola, Inc. Method for making a hafnium-based insulating film
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) * 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) * 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
US20030049931A1 (en) * 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
US6936906B2 (en) * 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) * 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
WO2003081667A1 (en) * 2002-03-26 2003-10-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device and production method therefor
US7164165B2 (en) * 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
JP4614639B2 (ja) * 2002-06-10 2011-01-19 アイメック Hf含有組成物の誘電率(k値)増進
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6915592B2 (en) * 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
US6921702B2 (en) * 2002-07-30 2005-07-26 Micron Technology Inc. Atomic layer deposited nanolaminates of HfO2/ZrO2 films as gate dielectrics
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US20040029321A1 (en) * 2002-08-07 2004-02-12 Chartered Semiconductor Manufacturing Ltd. Method for forming gate insulating layer having multiple dielectric constants and multiple equivalent oxide thicknesses
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6960538B2 (en) * 2002-08-21 2005-11-01 Micron Technology, Inc. Composite dielectric forming methods and composite dielectrics
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US7112485B2 (en) * 2002-08-28 2006-09-26 Micron Technology, Inc. Systems and methods for forming zirconium and/or hafnium-containing layers
US6958300B2 (en) * 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
US7115530B2 (en) * 2003-12-03 2006-10-03 Texas Instruments Incorporated Top surface roughness reduction of high-k dielectric materials using plasma based processes
US7135361B2 (en) * 2003-12-11 2006-11-14 Texas Instruments Incorporated Method for fabricating transistor gate structures and gate dielectrics thereof
US8323754B2 (en) * 2004-05-21 2012-12-04 Applied Materials, Inc. Stabilization of high-k dielectric materials

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108531890A (zh) * 2018-04-27 2018-09-14 华南理工大学 一种金属氧化物透明导电薄膜的制备方法及其产品和用途
CN110379709A (zh) * 2019-07-25 2019-10-25 上海华力集成电路制造有限公司 氧化铪薄膜的制造方法

Also Published As

Publication number Publication date
US20060019033A1 (en) 2006-01-26
TW200702475A (en) 2007-01-16
JP2008544091A (ja) 2008-12-04
KR20080011236A (ko) 2008-01-31
WO2007001832A1 (en) 2007-01-04

Similar Documents

Publication Publication Date Title
CN101248212A (zh) 电介质材料的等离子处理
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
CN1926668B (zh) 在高介电常数的介电材料上的硅的氮氧化物层的形成
US20060062917A1 (en) Vapor deposition of hafnium silicate materials with tris(dimethylamino)silane
TWI740848B (zh) 實施原子層沉積以得閘極介電質
US9178031B2 (en) Methods of atomic-layer deposition of hafnium oxide/erbium oxide bi-layer as advanced gate dielectrics
US7629270B2 (en) Remote plasma activated nitridation
TWI426547B (zh) 用於批次原子層沈積反應器之處理製程
US20050260357A1 (en) Stabilization of high-k dielectric materials
US20120098107A1 (en) Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
TW201346056A (zh) 由金屬脒鹽前驅物製造介電膜的方法
TW200832551A (en) Film formation method and apparatus for semiconductor process
TW201041037A (en) Method for forming a high-k gate stack with reduced effective oxide thickness
TW200927981A (en) Preparation of metal-containing film via ALD or CVD processes
TW202000971A (zh) 薄氧化鉿膜中摻雜劑濃度之可調性
TW200404911A (en) Metal organic chemical vapor deposition and atomic layer deposition of metal oxynitride and metal silicon oxynitride
US20050170665A1 (en) Method of forming a high dielectric film
TW201443274A (zh) 使用二矽氧烷先質之膜的沉積
TW201330113A (zh) 矽化鉭內的摻雜鋁
JP2004104025A (ja) 膜形成方法
Consiglio Metallorganic chemical vapor deposition and atomic layer deposition approaches for the growth of hafnium-based thin films from dialkylamide precursors for advanced CMOS gate stack applications

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20080820