CN101278380B - 利用沉积刻蚀序列的间隙填充处理 - Google Patents

利用沉积刻蚀序列的间隙填充处理 Download PDF

Info

Publication number
CN101278380B
CN101278380B CN2006800309381A CN200680030938A CN101278380B CN 101278380 B CN101278380 B CN 101278380B CN 2006800309381 A CN2006800309381 A CN 2006800309381A CN 200680030938 A CN200680030938 A CN 200680030938A CN 101278380 B CN101278380 B CN 101278380B
Authority
CN
China
Prior art keywords
gap
deposition
substrate
gas
film
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800309381A
Other languages
English (en)
Other versions
CN101278380A (zh
Inventor
曼杰·维莱卡尔
赫曼特·P·芒格卡
扬·S·李
奥野康利
汤浅浩
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Matsushita Electric Industrial Co Ltd
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Matsushita Electric Industrial Co Ltd, Applied Materials Inc filed Critical Matsushita Electric Industrial Co Ltd
Publication of CN101278380A publication Critical patent/CN101278380A/zh
Application granted granted Critical
Publication of CN101278380B publication Critical patent/CN101278380B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • H01L21/31608Deposition of SiO2
    • H01L21/31612Deposition of SiO2 on a silicon body
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]

Abstract

提供了一种在置于衬底处理室中的衬底上沉积膜的方法所述衬底具有形成在相邻的凸起表面之间的间隙将第一前驱体沉积气体流提供到所述衬底处理室。由所述第一前驱体沉积气体流形成第一高密度等离子体,以利用同时具有沉积和溅射分量的第一沉积处理在所述衬底上和所述间隙内沉积所述膜的第一部分,直到所述间隙闭合之后为止。将所述膜的所述第一部分回蚀足够的部分,以使得所述间隙重新开口。将第二前驱体沉积气体流提供到所述衬底处理室。由所述第二前驱体沉积气体流形成第二高密度等离子体,以利用同时具有沉积和溅射分量的第二沉积处理在所述衬底上和所述重新开口的间隙内沉积所述膜的第二部分。

Description

利用沉积刻蚀序列的间隙填充处理
背景技术
在半导体技术的发展中所面临的一个持久挑战是希望增加衬底上的电路元件和互连的密度而不会引入它们之间的寄生相互作用。一般通过提供填充有电绝缘材料的间隙或沟槽来物理地和电地隔离元件,防止所不希望出现的相互作用。然而,随着电路密度的增大,这些间隙的宽度减小,从而增大了其深宽比并使得逐渐更难以在不留下空洞(void)的前提下填充这些间隙。当间隙未被完全填充时所形成的空洞是不希望出现的,因为它们可能例如通过捕获绝缘材料内的杂质的方式不利地影响完成后的器件的操作。
用于这种间隙填充应用的常用技术是化学气相沉积(“CVD”)技术。常规的热CVD处理向衬底表面提供反应气体,在衬底表面发生热诱导(heat-induced)化学反应以产生期望的膜。等离子体增强CVD(“PECVD”)技术通过向接近衬底表面的反应区域施加射频(“RF”)能量促进了反应气体的激发和/或解离,从而生成等离子体。相比于常规的热CVD处理,等离子体物质的高反应率减少了发生化学反应所需的能量,从而降低了这种CVD处理所需的温度。这些优点在高密度等离子体(“HDP”)CVD技术中可得到进一步的体现,在该技术中,在低真空压强下形成密集的等离子体从而使等离子体物质更具有活性。尽管广义上这些技术中的每一种都落入“CVD技术”的范畴,但是每种都有其特征属性,从而使其更适于或更不适于某种特定应用。
在某些间隙具有大深宽比和窄宽度的示例中,利用“沉积/刻蚀/沉积”处理,通过顺序地沉积材料、刻蚀沉积材料的一部分并且再沉积另外的材料来以热CVD技术填充间隙。刻蚀步骤用来对部分填充的间隙进行重整形,在其上开口使得更多的材料可以在其闭合并留下内部空洞之前沉积进去。也可以用PECVD技术来进行这种沉积/刻蚀/沉积处理,但是即使通过循环进行沉积和刻蚀步骤,某些热和PECVD技术仍然不能填充具有非常大的深宽比的间隙。
通常在本领域技术人员看来,沉积和刻蚀步骤的循环在HDP-CVD处理的环境中是无用的。这是因为和PECVD处理非常不同的是,HDP-CVD处理期间等离子体的高密度离子化物质使得即使在沉积的同时亦会导致对膜的溅射。这种在沉积处理期间材料溅射和沉积的同时进行试图在沉积期间保持间隙开口,因此被认为使分离的中间刻蚀步骤多余。这种流行观点被证明是部分正确的,因为利用HDP-CVD处理可以填充具有比利用PECVD沉积/刻蚀/沉积处理可填充的更大深宽比的间隙。然而,在KentRossman 1998年3月20日提交的美国专利No.6,194,038中,获得了意想不到的结果,即通过在某些HDP-CVD处理条件下使用沉积/刻蚀/沉积处理,可以进一步改进间隙填充。该结果随后在George D.Papasouliotis等人1998年5月5日提交的美国专利No.6,030,881中得到了证实。
现在发现即使使用HDP-CVD处理的沉积和溅射组合,当填充窄宽度大深宽比的结构时,间隙仍然容易闭合。HDP处理中所使用的沉积/刻蚀/沉积技术因此还是采用沉积/刻蚀/沉积技术的传统路线,即沉积足够的材料以部分填充间隙,然后为了进一步沉积而进行刻蚀以对间隙进行重整形。特征尺寸的不断减小目前正面临其中这类技术的实用性正在接近极限的阶段。这对于某些可能具有窄的间隙和宽松区域两者的结构几何形状(诸如浅沟槽隔离(“STI”)结构)尤其如此。随着间隙变得更加窄深,为了填充间隙,已经发现需要不断增加循环次数,结果每个沉积步骤通过沉积更少量的材料而对间隙填充更小的程度。因此,相应小的量的材料被沉积在宽松区域中,结果后续的刻蚀步骤往往去除宽松区域中的材料薄层中的太多材料,损伤了下面的结构。
因此,在本领域中仍然需要改进HDP-CVD沉积/刻蚀/沉积处理,以适应窄的大深宽比的结构。
发明内容
因此,本发明的实施例提供了使用交替的沉积和刻蚀部分序列的间隙填充方法,其中,初始沉积步骤闭合该间隙,之后应用刻蚀来回蚀沉积材料,并使得间隙重新开口。在第一组实施例中,提供了一种在置于衬底处理室中的衬底上沉积膜的方法。所述衬底具有形成在相邻的凸起表面之间的间隙。将第一前驱体沉积气体流提供到所述衬底处理室。由所述第一前驱体沉积气体流形成第一高密度等离子体,以利用同时具有沉积和溅射分量的第一沉积处理在所述衬底上和所述间隙内沉积所述膜的第一部分,直到所述间隙闭合之后为止。将所述膜的所述第一部分回蚀足够的部分,以使得所述间隙重新开口。将第二前驱体沉积气体流提供到所述衬底处理室。由所述第二前驱体沉积气体流形成第二高密度等离子体,以利用同时具有沉积和溅射分量的第二沉积处理在所述衬底上和所述重新开口的间隙内沉积所述膜的第二部分。
在一些实施例中,通过在所述处理室中由对所述膜具有腐蚀性的刻蚀剂气体形成第三高密度等离子体,将所述膜的所述第一部分回蚀足够的部分。还可以对所述衬底施加电偏压。例如,所述第一和第二前驱体沉积气体可以每个包含含硅气体和含氧气体,从而所述膜包括氧化硅膜;在这样的示例中,所述刻蚀剂气体可以包含含卤素气体,诸如含氟气体。在一些实施例中,所述第一和第二前驱体沉积气体还可以包含含掺杂剂气体。此外,所述第一和第二前驱体沉积气体可以包含分子氢H2,分子氢H2可以以超过500sccm的流率提供到所述处理室。
在一些实施例中,所述膜的所述第一部分以超出闭合所述间隙的临界厚度大于所述临界厚度的5%的厚度沉积在所述衬底上和所述间隙内。在其它实施例中,所述膜的所述第一部分以超出闭合所述间隙的临界厚度大于所述临界厚度的10%的厚度沉积在所述衬底上和所述间隙内。在特定实施例中,所述间隙的深宽比为至少5∶1,宽度小于100nm,或者所述间隙的深宽比为至少6∶1,宽度小于65nm。所述间隙还可以包括多个形成在相邻凸起表面之间的间隙,第一部分的所述间隙的宽度为第二部分的所述间隙的宽度的至少5倍。
所述膜的所述第二部分被沉积在所述衬底上和所述重新开口的间隙内,直到所述间隙再次闭合之后为止。在这样的实施例中,可以将所述膜的所述第二部分回蚀足够的部分,以再次使得所述间隙重新开口。将第三前驱体沉积气体流提供到所述衬底处理室。由所述第三前驱体沉积气体流形成第三高密度等离子体,以利用同时具有沉积和溅射分量的第三沉积处理在所述衬底上和所述重新开口的间隙内沉积所述膜的第三部分。
在第二组实施例中,提供了一种在置于衬底处理室中的衬底上沉积氧化硅膜的方法。所述衬底具有多个分布在所述衬底上的宽松区域和密集区域中的间隙。所述密集区域中的至少一个间隙的深宽比大于5∶1,宽度小于100nm。所述宽松区域中的至少一个间隙的宽度为所述密集区域中的所述至少一个间隙的宽度的至少5倍。将SH4、O2和第一流动气体的第一流提供到所述衬底处理室。由所述SH4、O2和第一流动气体的第一流形成第一高密度等离子体,以利用同时具有沉积和溅射分量的第一沉积处理在所述衬底上和所述间隙内沉积所述氧化硅膜的第一部分,直到所述密集区域中的至少一个间隙闭合之后为止。将含氟气体的第一流提供到所述衬底处理室。由所述含氟气体的第一流形成第二高密度等离子体,以将所述氧化硅膜的所述第一部分回蚀足够的部分,以使得所述间隙重新开口。在回蚀所述氧化硅膜的所述第一部分的同时,向所述衬底施加电偏压。将SH4、O2和第二流动气体的第二流提供到所述衬底处理室。由所述SH4、O2和第二流动气体的第二流形成第三高密度等离子体,以利用同时具有沉积和溅射分量的第二沉积处理在所述衬底上和所述间隙内沉积所述氧化硅膜的第二部分。
在一些这样的实施例中,所述第一和第二流动气体分别包含分子氢H2,所述分子氢H2以超过500sccm的流率提供到所述处理室。在其它实施例中,所述氧化硅膜的所述第一部分以超出闭合所述间隙的临界厚度大于所述临界厚度的5%或10%的厚度沉积在所述衬底上和所述间隙内。
所述氧化硅膜的所述第二部分可以被沉积在所述衬底上和所述重新开口的间隙内,直到所述间隙再次闭合之后为止。在这样的实施例中,将含氟气体的第二流提供到所述衬底处理室。由所述含氟气体的第二流形成第四高密度等离子体,以将所述氧化硅膜的所述第二部分回蚀足够的部分,以再次使得所述间隙重新开口。将SH4、O2和第三流动气体的第三流提供到所述衬底处理室。由所述SH4、O2和第三流动气体的第三流形成第五高密度等离子体,以利用同时具有沉积和溅射分量的第二沉积处理在所述衬底上和所述间隙内沉积所述氧化硅膜的第三部分。
通过参考附图和说明书的余下部分,可以进一步理解本发明的特征和优点。
附图说明
图1A和1B的示意性剖视图图示了间隙填充处理期间空洞的形成;
图2是包括多个浅沟槽隔离结构的部分完成的集成电路的简化剖视图;
图3A和图3B是分别图示了结构中的密集布置区域和宽松区域的间隙填充特性的示意图;
图4A和图4B图示了表征沉积/刻蚀/沉积工艺空间的参数的定义;
图5是是图示了在本发明的一个实施例中窄间隙内沉积膜的方法的流程图;
图6A-图6C提供了不同条件下的沉积/刻蚀/沉积工艺空间的图示比较;
图7A是可以实现本发明的方法的高密度等离子体化学气相沉积系统的一个实施例的简化图;以及
图7B是可以与图7A的示例性处理系统结合使用的气体环的简化剖视图。
具体实施方式
1.简介
本发明的实施例涉及用于在衬底表面中的间隙中沉积膜的方法和设备。如将通过下面的讨论变得清楚的,下面所提供的描述集中在对在本领域中具有广泛应用的氧化硅膜的沉积上,但是本发明并不限于任何特定的膜组成。根据本发明的技术沉积的膜具有优异的间隙填充能力,并且能够填充在例如STI结构中遇到的大的深宽比间隙。通过本发明的方法沉积的膜适用于制造各种集成电路,并且尤其可用于100nm(0.100μm)技术或者更小特征尺寸技术(诸如65nm(0.065μm)或更小)中的间隙填充。
在图1A和1B中以剖视图的形式示意性地示出了本发明实施例所要解决的间隙填充问题。图1A示出了具有特征层120的衬底110的垂直横截面,衬底110例如可由半导体晶片来提供。相邻的特征限定了要被填充以介电材料118的间隙114,间隙侧壁116由特征120的表面限定。随着沉积的进行,介电材料118在特征120的表面以及衬底110上积累,并在特征120的角部124处形成悬臂122。随着介电材料118沉积的继续,悬臂122一般以特性面包条方式生长,其生长速度快于间隙114。最终,悬臂122生长在一起,形成图1B中所示的介电层126,从而阻止了到内部空洞128的沉积。
图2提供了部分完成的集成电路200的简化剖视图,图2图示了可以根据本发明的实施例填充而不会有上述的空洞的多种结构。此集成电路被形成在包括多个STI结构的衬底204上,每一个STI结构一般通过如下方式来产生:在衬底204的表面上形成薄的衬垫氧化物层220,然后在衬垫氧化物层220上形成氮化硅层216。然后利用标准的光刻技术图案化氮化物层和氧化物层,沟槽224刻蚀穿过氮化物/氧化物叠层到达衬底204中。图2示出了集成电路可以包括相对密集地布置有晶体管或其他有源器件的区域208,并且可以包括被相对隔离的宽松区域212。宽松区域212中的有源器件彼此间隔开的距离可以比密集布置区域208中的间隔大一个数量级,但是本文所用的“宽松区域”被认为是其中间隙的宽度为“密集区域”中的间隙的宽度的至少5倍的区域。
本发明的实施例提供了利用具有良好的间隙填充性能的沉积工艺采用诸如二氧化硅的电绝缘材料填充沟槽224的方法。由下述方法提供的间隙填充特性有利地在宽松和密集区域中都具有良好的间隙填充能力,使得这样的技术对某些应用是尤其有价值的。在某些示例中,在间隙填充处理之前,初始衬层作为原位汽生(“ISSG”)或其它热氧化层,或者可能是氮化硅层,被沉积在衬底上。在填充沟槽224之前沉积这样的衬层的一个好处是提供适当的角部倒圆,这可以有助于避免诸如被形成的晶体管中的过早栅极击穿之类的效果。此外,这样的衬层可以有助于在CVD沉积之后消除应力。
如在此所使用的,高密度等离子体处理是同时包括沉积和溅射分量并且使用1011个离子/cm3或更大的量级的离子密度的等离子体的等离子体CVD处理。高密度等离子体的组合沉积和溅射特性的相对水平可以取决于下面的因素,如用来提供气体混合物的流率、施加以维持等离子体的源功率电平、施加到衬底的偏压功率等等。这些因素的组合可以用“沉积/溅射比”(有时表示为D/S)来方便地加以量化以表征所述处理工艺:
Figure GSB00000666456800071
沉积/溅射比随着沉积的增加而增大,随着溅射的增加而减小。在D/S的定义中,“净沉积速率”指在沉积和溅射同时发生时所测得的沉积速率。“均厚溅射速率”是在如下情况下测量的溅射速率:处理工艺在不加沉积气体的情况下运行;处理室内的压强被调节到沉积期间的压强;以及在均厚的热氧化物上进行测量。
如同本领域技术人员所公知的,可以使用其他等价测量值来量化HDP处理中沉积和溅射的相对贡献。一种通用的替代比率是“刻蚀/沉积比”:
其随着溅射的增加而增大,随着沉积的增加而减小。如在E/D的定义中所使用的,“净沉积速率”还是指在沉积和溅射同时发生时所测得的沉积速率。然而,“单源沉积速率”指在没有溅射的情况下运行处理工艺时所测得的沉积速率。本文以D/S比描述了本发明的实施例。尽管D/S和E/D不是精确的倒数关系,但是它们确实存在着反比的关系,且两者之间的转换是本领域技术人员所理解的。
对于HDP-CVD处理中的给定步骤来说,所希望的D/S比通常是通过包括前驱体气体流和在某些示例中的流动气体流来实现的,这些气体流也可以充当溅射剂。前驱体气体所包括的元素反应形成具有期望组分的膜。例如,为了沉积二氧化硅膜,前驱体气体可以包括诸如硅烷SiH4之类的含硅气体和诸如分子氧02之类的氧化剂气体反应物。通过包括具有期望掺杂物的前驱体气体还可以向膜中加入掺杂物,例如:通过包括SiF4流以使膜含氟,通过包括PH3流以使膜含磷,通过包括B2H6流以使膜含硼,通过包括N2流以使膜含N等等。流动气体可以具有H2流或惰性气体流,惰性气体流包括He流、或更重的惰性气体(如Ne流、Ar或Xe)流。不同的流动气体所提供的溅射水平与其原子量(在H2的情形中是分子量)呈相反关系,但是,H2产生的溅射比He小。有时,可以提供多种气体流,诸如提供H2流和He流两者,其在HDP-CVD室中混合。或者,有时气体可以被预混合,从而将H2/He流以混合状态提供到处理室。还可以提供更高质量的气体的单独的流,或者可以在预混物中包含更高质量气体。
在大深宽比结构中,较之更传统的对诸如Ar的流动气体的使用,对较高流率的低质量流动气体的使用已被发现通常提高了间隙填充能力。这被认为是由于如下原因:使用He和H2作为流动气体使得再沉积减少,从而使得间隙的闭合不那么快。然而,在具有密集和宽松区域两者的结构中所面临的挑战在于,不同区域中的沉积特性不同。这可以通过参考图3A和图3B来理解,图3A和图3B示出了HDP处理的溅射分量分别对于密集布置区域中的间隙和宽松区域中的间隙的效果。
具体地,图3A中的间隙304是大深宽比间隙,其中,利用HDP-CVD处理所沉积的材料在水平表面上形成特征尖端结构308。当由于沿着路径316的等离子体离子的撞击从尖端溅射出材料312时,发生再沉积。被溅射出的材料312遵循与间隙304的相反一侧上的侧壁324相遇的路径320。此效应是对称的,因此当材料被从间隙的左侧溅射到右侧时,材料也被从间隙的右侧溅射到左侧。材料的再沉积防止了导致角部裁剪的过度溅射的发生。
如图3B所示的宽松区域结构330所示明的,这样的对称性不出现在宽松区域中。在此示例中,沉积导致相似的尖端308′的形成,但是当材料312′由于沿着路径316′的等离子体离子的撞击沿着路径320′被溅射出时,间隙的相反一侧距离太远而使得再沉积不能予以保护。图3B中的结构的角部受到与图3A的结构的角度相同的材料溅出,而不存在接受从间隙的相反一侧溅射出的材料的补偿效应。当相对较薄的膜被沉积以适应间隙的窄度时,这样的差异的一个后果是明显的:当暴露于刻蚀步骤过程中所提供的腐蚀性化学物质时,在密集区域的间隙内可以存在充足的保护性材料,而宽松区域可能没有充足的保护性材料。
2.沉积/刻蚀/沉积处理
本发明的实施例在如下的工艺空间中操作:沉积/刻蚀/沉积处理的初始沉积沉积厚度超过闭合间隙的临界厚度的膜。这样的后果是在所沉积的材料内可以形成中间空洞,但是这样的空洞通过后续的刻蚀步骤暴露出来,并最终被沉积/刻蚀循环中的下一或稍后的沉积步骤填充。虽然在现有技术中传统上认为沉积到超过对于给定间隙的临界厚度的厚度是不期望的,因为这形成空洞,但是本发明人已经发现本文所述的技术所得到的更大工艺空间的可行性对于抵消处理空洞的需要是足够有利的。
下面以参考图4A和4B所定义的参数描述沉积/刻蚀/沉积技术和所得的工艺空间,图4A和4B分别提供了STI结构的密集区域和参考区域中的间隙的剖视图。STI结构404包括具有衬层418和衬垫层408的衬底,其中间隙被限定在密集区域以及宽松区域中、相邻的凸起表面之间。如图4A所示,初始沉积在衬底上和间隙中的材料得到具有由沉积厚度D1表征的膜截面形状412。因为所沉积的膜的厚度可能不是均一的,所以用于表征沉积的沉积厚度D1可以是特定位置处(诸如相邻的凸起特征中的一个的正上方)的厚度,或者可以是诸如平均厚度的衍生量。因为此沉积厚度大于临界沉积厚度Dc,所以中间空洞420可以形成在所沉积的膜内。沉积厚度D1超出临界沉积厚度Dc的量在不同实施例中可以变化,在不同的实施例中,其可以超出临界沉积厚度Dc 5%、10%、20%、50%、或100%。
如随后的刻蚀步骤之后的沉积层416的截面形状所清楚示出的,中间空洞420由于这样的刻蚀而暴露。所述刻蚀可以由在刻蚀过程中去除的材料的厚度E1来表征。类似于对沉积的表征,所去除的材料的厚度可能是不均一的,因此E1可以是特定位置处(诸如相邻的凸起特征中的一个的正上方)所去除的厚度,或者可以是诸如平均厚度的衍生量。
量D1和E1都沿衬底上方基本垂直的方向测量。在一些情况下,特别是在宽松区域中,沉积和刻蚀厚度也可以沿其它方向定义。一种方便的测量是水平测量,如图4B中的T(open) dep和T(open) etch所举例说明的。在宽松区域430内在衬底上所沉积的材料可以由所沉积的材料的垂直厚度D1和/或沉积截面形状438从凸起表面之一延伸到宽松区域中的水平厚度T(open) dep来表征。类似地,在宽松区域430内发生的刻蚀的量可以由在制造截面形状442中去除的材料的水平厚度E1或由根据截面形状442从宽松区域内去除的材料的水平厚度T(open) etch来表征。同样,沉积之后和刻蚀之后的材料的截面形状438和442可以是不均一的。参数T(open) dep和T(open) etch可以相应地在特定位置处(诸如衬底402与衬垫层408交接的地方)测定,或可以是诸如平均值的衍生量。在附图中,这些参数在衬底402与衬垫层408交接处测定,图中分开图示仅仅是为了适应绘图的限制。
仅仅作为示例,对于约30的D/S比,70nm宽和500nm深的间隙(即具有约7∶1的深宽比)在达到总沟槽高度的60%时闭合。在这样的示例中的临界沉积厚度Dc因此为约3000埃,并且在具体实施例中,合适的初始沉积量D1可以为约3200埃。在具体实施例中,合适的回蚀量E1可以为约500埃,以使得间隙重新开口。
图5提供了可以如何填充间隙的方法的概述,图5是总结了本发明的各种实施例的流程图。在方框504,具有形成在相邻凸起表面之间的间隙的衬底被装入处理室中。在一些实施例中,衬底具有宽松区域中的间隙和密集区域中的间隙,宽松区域中的间隙的宽度为密集区域中的间隙的宽度的至少5倍。虽然可以适用不同的间隙几何尺寸,但是在一些实施例中,间隙具有小于100nm或小于65nm的宽度,并且具有大于5∶1或大于6∶1的深宽比。
通过在方框508处由提供到处理室的沉积气体流形成高密度等离子体,进行初始沉积。如上所讨论的,可以利用包括SiH4、O2的沉积气体以及流动气体(在将沉积掺杂层的情况下还可能包括掺杂剂气体),方便地沉积氧化硅层。在方框512,该膜被沉积在衬底上、间隙内,至少直到间隙被闭合之后为止,即使得层的沉积厚度D1大于闭合间隙所需的临界沉积厚度Dc
在初始沉积之后,在方框516,在处理室中形成具有对于所沉积的材料有腐蚀性的化学物质的等离子体。卤素基化学物质适用于刻蚀氧化硅材料,并且可以由含卤素气体流(诸如通过含氟气体)提供到处理室。合适的含氟气体包括F2、NF3、CF4、C3F8等。在这提供用于回蚀所沉积的层的化学机制的同时,高密度等离子体的溅射特性也可以被用于提供用于回蚀所沉积的层的力学机制,特别是当如方框520所示衬底被电偏压时。这样的偏压的施加是可选的,并且在本发明的某些实施例中不被使用。这样的偏压的施加通过将等离子体的带电物质各向异性地吸引到衬底,增大了溅射效应。在方框524,这样的化学和/或机械刻蚀处理的应用被如此使用,以使得间隙重新开口,暴露可能已经形成在沉积层内的任何中间空洞。
通过在方框528处由到处理室的沉积气体流形成高密度等离子体,以类似于初始沉积的方式进行后续沉积。通常,对于两个沉积阶段,沉积气体的组成将是相似的,但是具体的沉积特性可以不同,这体现于在不同的沉积阶段具有不同的D/S比,表现出间隙形状的差异。如果两个沉积阶段足够了,则可以在方框532填充间隙的剩余部分,但是其它实施例可以循环更多次数的沉积和刻蚀阶段。一旦间隙已经被填充,则在方框536,衬底被转移出处理室。
仅仅作为示例,一种用于在300mm直径的硅晶片上沉积非掺杂氧化硅层的示例性方法利用由45sccm SiH4流、60sccm O2流以及1000sccm H2流形成的高密度等离子体沉积初始层。此初始沉积在提供约30的D/S比的处理条件下进行。此沉积被执行到沉积厚度D1为临界沉积厚度Dc的约1.2倍。此后,利用由80sccm F2流形成的等离子体以及施加到衬底的600W的偏压,刻蚀所沉积的材料。后续沉积使用由具有与初始沉积相同的流特性的气体但是在提供约10的D/S比的处理条件下形成的高密度等离子体。
本发明的实施例所采用的工艺空间可以参考图6A-6C来理解。工艺空间可以由沉积厚度和刻蚀厚度之间的关系来表征。例如,传统的沉积/刻蚀/沉积处理被示于图6A中,其具有由刻蚀厚度-沉积厚度坐标平面中的三个区域的交集所限定的工艺空间。首先,执行传统的沉积,以提供小于Dc的沉积厚度D1,这由坐标平面的D1处的垂直线的左侧的部分示出。附图示出,对于D1<Dc,间隙保持开口,但是当D1>Dc时,间隙闭合。其次,执行传统的刻蚀以去除足以使得间隙重新开口期望量的材料量,这由坐标平面的E1处的水平直线之上的部分示出。
第三约束条件可以被理解如下,加入刻蚀应小于将宽松大面积区域覆盖率减小到零的量的条件。宽松大面积区域覆盖率T(open) dep近似地与垂直沉积厚度D成比例:
T dep ( open ) ≅ R dep D
其中,Rdep是正的比例实常数。均厚膜刻蚀量E和宽松大面积区域刻蚀量T(open) etch类似地近似成比例:
T etch ( open ) ≅ R etcj E
其中,Retch也是正的比例实常数。当T(open) etch=T(open) dep时,即当
E = R dep R etch D ,
刻蚀将宽松大面积区域覆盖率减小到零。于是,在图中,刻蚀应小于将宽松大面积区域覆盖率减小到零的量的约束条件由坐标平面的在斜率为Rdep/Retch的直线下方的部分限定。
因此,传统沉积/刻蚀/沉积处理的工艺空间是图6A中的表示由这三个约束条件限定的区域的交集的区域A。在这样的传统方法中,深宽比的增大和/或宽度的减小导致临界沉积厚度Dc的减小。这导致初始沉积厚度D1的减小,同时导致刻蚀量E1的相应减小,这是因为较少的材料被沉积,仅仅很薄的量可以用于回蚀。这被示于图6B中,其中,新的较低的临界沉积厚度被表示为Dc′,并且相应的初始沉积厚度和刻蚀厚度被分别表示为D1′和E1′。所得到的工艺空间A′更小,并且随着需要在更大的深宽比的更窄间隙中进行沉积的应用的开发,工艺空间A′不断变得更小。区域A以点绘阴影示于图6B中,以与区域A′进行比较。
图6C示出了根据本发明的实施例当约束条件D1<Dc被放松(参见图5的方框512)时对工艺空间的影响。在此情况下,较大的沉积厚度被表示为D1″,并且大于Dc′(因此也大于D1′)。这允许更大厚度可以被回蚀,该厚度表示为E1″。所得的工艺空间被表示为A″,并且大于工艺空间A(其为了比较而被复制在图6C中)。在一些实施例中,工艺空间A″可以限定类似于或者甚至大于工艺空间A(即利用在传统方案下适于具有较大宽度和/或较小深宽比的间隙的处理条件)的处理条件区域。
3.示例性衬底处理系统
本发明人已经利用California,Santa Clara的应用材料公司制造的ULTIMATM系统实现了本发明的实施例,对于这种系统的一般性描述见于在1996年7月15日提交的列有共同发明人Fred C.Redeker、FarhadMoghadam、Hirogi Hanawa、Tetsuya Ishikawa、Dan Maydan、ShijianLi、Brian Lue、Robert Steger、Yaxin Wang、Manus Wong和AshokSinha的题为“Symmetric Tunable Inductively-Coupled HDP-CVD Reactor”的共同转让美国专利No.6,170,428中,这里通过引用并入其公开内容。下面结合图7A和7B提供了对该系统的概述。图7A示意性地图示了一个实施例中的这种HDP-CVD系统710的结构。系统710包括室713、真空系统770、源等离子体系统780A、偏压等离子体系统780B、气体传送系统733和远程等离子体清洁系统750。
室713的上面部分包括圆顶714,圆顶714由陶瓷介电材料(如氧化铝或氮化铝)制成。圆顶714限定了等离子体处理区域716的上边界。等离子体处理区域716的底部边界由衬底717和衬底支撑构件718的上表面限定。
加热板723和冷却板724在顶上,并且热耦合到圆顶714。加热板723和冷却板724允许将圆顶温度在约100℃到200℃的范围内控制在约±10℃内。这允许对于各种处理优化圆顶温度。例如,对于清洁或刻蚀处理而言,相比于沉积处理,可能希望将圆顶维持在更高的温度处。圆顶温度的精确控制还减少了室内的碎屑或粒子数,并提高了沉积层和衬底之间的粘附力。
室713的下面部分包括体构件722,体构件722将室结合到真空系统。衬底支撑构件718的基座部分721被安装在体构件722上,并与体构件722形成了连续的内表面。衬底通过机械刃片(未示出)经由室713侧壁上的插入/取出开口(未示出)移入和移出室713。抬升销(未示出)在马达(也未示出)的控制下提升并随后下降,以将衬底从上加载位置757处的机械刃片移动到下处理位置756,在下处理位置756处,衬底被放置在衬底支撑构件718的衬底接收部分719上。衬底接收部分719包括静电卡盘720,静电卡盘720在衬底处理期间将衬底固定到衬底支撑构件718。在优选实施例中,衬底支撑构件718由氧化铝或铝陶瓷材料制成。
真空系统770包括节流体725,节流体725容纳有双叶片节流阀726,并且附接到门阀727和涡轮分子泵728。应当注意,节流体725对气体流提供最小的阻碍,并且允许对称泵吸。门阀727可以将泵728与节流体725隔离,并且当节流阀726完全打开时还可以通过限制排出流能力来控制室的压强。节流阀、门阀和涡轮分子泵的这种配置允许精确稳定地控制室的压强,控制范围从约1mTorr到约2Torr。
源等离子体系统780A包括安装在圆顶714上的顶部线圈729和侧线圈730。对称的接地屏蔽(未示出)减少了线圈之间的电耦合。顶部线圈729由顶部源RF(SRF)发生器731A加电,而侧线圈730由侧SRF发生器731B加电,这允许每个线圈的功率电平和操作频率独立。这种双线圈系统允许控制室713中的径向离子密度,从而提高了等离子体的均匀性。侧线圈730和顶部线圈729一般是感应驱动的,其不需要互补电极。在特定实施例中,顶部源RF发生器731A在额定2MHz下提供高至2500W的RF功率,侧SRF发生器731B在额定2MHz下提供高至5000W的RF功率。顶部和侧RF发生器的操作频率可以偏离额定操作频率(例如分别偏离到1.7-1.9MHz和1.9-2.1MHz)以提高等离子体产生效率。
偏压等离子体系统780B包括偏压RF(“BRF”)发生器731C和偏压匹配网络732C。偏压等离子体系统780B将衬底部分717电容性地耦合到体构件722,体构件722充当互补电极。偏压等离子体系统780B用来增强将由源等离子体系统780A产生的等离子体物质(例如离子)到衬底表面的传输。在特定实施例中,如在下面进一步讨论的,偏压RF发生器在小于5MHz的频率下提供高至10000W的RF功率。
RF发生器731A和731B包括数控合成器,并且在约1.8-约2.1MHz之间的频率范围内工作。每个发生器包括RF控制电路(未示出),RF控制电路测量从室和线圈反射回发生器的功率,并调整操作频率以获得最低的反射功率,这是本领域普通技术人员所能够理解的。RF发生器一般被设计为作为具有50Ω特征阻抗的负载工作。RF功率可以从具有与发生器不同的特征阻抗的负载反射。这可以减小传送到负载的功率。另外,从负载反射回发生器的功率可能过载并损坏发生器。由于等离子体阻抗的范围取决于等离子体离子密度和其他因素可以从小于5Ω到高于900Ω,并且由于反射功率可以是频率的函数,所以根据反射功率调整发生器频率增大了从RF发生器传送到等离子体的功率并保护了发生器。另一种减少反射功率并提高效率的方法是利用匹配网络。
匹配网络732A和732B通过随着负载变化而改变匹配网络内的电容器的值以使发生器与负载相匹配,来将发生器731A和731B的输出阻抗与其各自的线圈729和730相匹配。RF控制电路可以在从负载反射回发生器的功率超过某一极限时调谐匹配网络。一种提供恒定匹配并有效地禁止RF控制电路调谐匹配网络的方法是将反射功率极限设为高于反射功率的任何期望值。通过将匹配网络保持在其最近的条件下,可帮助稳定某些条件下的等离子体。
其他措施也可以帮助稳定等离子体。例如,RF控制电路可用来确定传送到负载(等离子体)的功率,并且可以增大或减小发生器输出功率以在层的沉积期间保持所传送的功率基本恒定。
气体传送系统733经由气体传送管道738(只示出了其中一部分)从多个源734A-734E向室提供气体以处理衬底。本领域技术人员所能够理解的是,用于源734A-734E的实际源和传送管道738到室713的实际连接根据室713内所执行的沉积和清洁处理而变化。气体经由气体环737和/或顶部喷嘴745被引入到室713中。图7B是示出气体环737的附加细节的室713的简化的部分剖视图。
在一个实施例中,第一和第二气体源734A和734B以及第一和第二气体流控制器735A′和735B′经由气体传送管道738(只示出了其中的一部分)向气体环737中的环增压室736提供气体。气体环737有多个源气体喷嘴739(出于示例目的只示出了其中的一个),其向衬底上提供均匀的气体流。可以改变喷嘴长度和喷嘴角度,以允许对各个室内的具体处理的均匀性分布特性和气体利用效率进行设计。在优选实施例中,气体环737有12个以氧化铝陶瓷制成的源气体喷嘴。
气体环737还有多个氧化剂气体喷嘴740(只示出了其中的一个),在优选实施例中氧化剂气体喷嘴740与源气体喷嘴739是共平面的,并且比源气体喷嘴739短,在一个实施例中喷嘴740从体增压室741接收气体。在一些实施例中,在将源气体和氧化剂气体喷射到室713中之前不希望将其混合。在其他实施例中,可以在将氧化剂气体和源气体喷射到室713中之前将其混合,这是通过在体增压室741和气体环增压室736之间提供小孔(未示出)来实现的。在一个实施例中,第三、第四和第五气体源734C、734D和734D′以及第三和第四气体流控制器735C和735D′经由气体传送管道738向体增压室提供气体。诸如734B(其他的阀未示出)之类的附加的阀可以切断从流控制器到室的气体。在实现本发明的某些实施例时,源734A包括硅烷SH4源,源734B包括分子氧O2源,源734C包括硅烷SH4源,源734D包括氦He源,源734D′包括分子氢H2源。
在使用易燃、有毒或有腐蚀性气体的实施例中,可能希望消除沉积后残留在气体传送管道中的气体。例如,这可以利用诸如阀734B之类的三通阀来实现,三通阀将室713与传送管道738A相隔离,并将传送管道738A内的气体排出到真空前级管道744。如图7A中所示,其他类似的阀(如743A和743C)可以结合到其他的气体传送管道。这种三通阀实际中可以放置得离室713尽可能的近,以使未排气的气体传送管道(三通阀和室之间)的容积最小。另外,两通(开-关)阀(未示出)可以放置在质量流控制器(“MFC”)和室之间,或者放置在气体源和MFC之间。
再参考图7A,室713还具有顶部喷嘴745和顶部排气口746。顶部喷嘴745和顶部排气口746允许独立控制气体的顶部流和侧流,这提高了膜的均匀性,并且允许精细地调节膜的沉积和掺杂参数。顶部排气口746是围绕顶部喷嘴745的环形开口。在一个实施例中,第一气体源734A供应源气体喷嘴739和顶部喷嘴745。源喷嘴MFC 735A′控制传送到源气体喷嘴739的气体量,顶部喷嘴MFC 735A控制传送到顶部气体喷嘴745的气体量。类似地,两个MFC 735B和735B′可用来控制从单个氧气源(如源734B)到顶部排气口746和氧化剂气体喷嘴740的氧气流。在一些实施例中,氧气不被从任何侧喷嘴供应到室。供应到顶部喷嘴745和顶部排气口746的气体可以在流入室713之前保持分离,或者可以在流入室713之前在顶部增压室748中进行混合。分离的同种气体源可用来供应室的各个部分。
远程微波生成等离子体清洁系统750被提供来从室组件中周期性地清洁沉积残留物。清洁系统包括远程微波发生器751,其由反应器腔753内的清洁气体源734E(例如,分子氟、三氟化氮、其他碳氟化合物或等同物)中创建等离子体。从该等离子体得到的反应性物质经由施加管755经过清洁气体供给端口754提供到室713。用来包含清洁等离子体的材料(例如,腔753和施加管755)必须能经受得住等离子体的轰击。反应器腔753和供给端口754之间的距离应尽可能的短,因为所期望等离子体物质的浓度将随着离反应器腔753的距离而下降。在远程腔中生成清洁等离子体允许使用高效的微波发生器,并且不会使室组件受到温度、辐射或流放电的轰击的影响,而这些影响可能存在于原地形成的等离子体中。因此,相对敏感的组件(如静电卡盘720)不需要覆盖有伪晶片,或受到其他保护,而这在原地等离子体清洁处理中是需要的。在图7A中,等离子体清洁系统750被示为布置在室713上方,但是也可以采用其它位置。
折流板761可以靠近顶部喷嘴设置,以引导通过顶部喷嘴供应到室中的源气体流,以及引导远程创建的等离子体流。通过顶部喷嘴745提供的源气体被引导通过中央通路762到达室中,而通过清洁气体供给端口754提供的远程创建的等离子体物质由折流板761引导到室713的侧边。
本领域技术人员应当认识到,对于不同的处理室和不同的处理条件,处理参数可以有所不同,这不脱离本发明的精神。其他的变化对于本领域技术人员也是很清楚的。这些等同物和替换都包含在本发明的范围内。因此,本发明的范围不应当限于所描述的实施例,而是应当由所附权利要求限定。

Claims (19)

1.一种在置于衬底处理室中的衬底上沉积膜的方法,所述衬底具有形成在相邻的凸起表面之间的间隙,所述方法包括:
将第一前驱体沉积气体流提供到所述衬底处理室;
由所述第一前驱体沉积气体流形成第一高密度等离子体,以利用同时具有沉积和溅射分量的第一沉积处理在所述衬底上和所述间隙内沉积所述膜的第一部分,直到所述间隙闭合之后为止;
将所述膜的所述第一部分回蚀足够的部分,以使得所述间隙重新开口;
将第二前驱体沉积气体流提供到所述衬底处理室;以及
由所述第二前驱体沉积气体流形成第二高密度等离子体,以利用同时具有沉积和溅射分量的第二沉积处理在所述衬底上和重新开口的所述间隙内沉积所述膜的第二部分。
2.如权利要求1所述的方法,其中,将所述膜的所述第一部分回蚀足够的部分的操作包括在所述衬底处理室中由对所述膜具有腐蚀性的刻蚀剂气体形成第三高密度等离子体。
3.如权利要求2所述的方法,其中,将所述膜的所述第一部分回蚀足够的部分的操作还包括对所述衬底施加电偏压。
4.如权利要求2所述的方法,其中:
所述第一和第二前驱体沉积气体每个包含含硅气体和含氧气体,从而所述膜包括氧化硅膜;以及
所述刻蚀剂气体包含含卤素气体。
5.如权利要求4所述的方法,其中,所述含卤素气体包含含氟气体。
6.如权利要求4所述的方法,其中,所述第一和第二前驱体沉积气体中的至少一种还包含含掺杂剂气体。
7.如权利要求4所述的方法,其中,所述第一和第二前驱体沉积气体中的至少一种包含分子氢H2
8.如权利要求7所述的方法,其中,分子氢H2以超过500sccm的流率提供到所述衬底处理室。
9.如权利要求1所述的方法,其中,所述膜的所述第一部分以比闭合所述间隙的临界厚度大5%的厚度沉积在所述衬底上和所述间隙内。
10.如权利要求1所述的方法,其中,所述膜的所述第一部分以比闭合所述间隙的临界厚度大10%的厚度沉积在所述衬底上和所述间隙内。
11.如权利要求1所述的方法,其中,所述第一沉积之前的所述衬底包括多个所述形成在相邻凸起表面之间的间隙,所述多个间隙中的第一部分间隙的宽度为所述多个间隙中的第二部分间隙的宽度的至少5倍。
12.如权利要求1所述的方法,其中,所述第一沉积之前的所述间隙的深宽比为至少5∶1,宽度小于100nm。
13.如权利要求1所述的方法,其中,所述第一沉积之前的所述间隙的深宽比为至少6∶1,宽度小于65nm。
14.如权利要求1所述的方法,其中,所述膜的所述第二部分被沉积在所述衬底上和重新开口的所述间隙内,直到重新开口的所述间隙再次闭合之后为止,所述方法还包括:
将所述膜的所述第二部分回蚀足够的部分,以再次使得再次闭合的所述间隙重新开口;
将第三前驱体沉积气体流提供到所述衬底处理室;以及
由所述第三前驱体沉积气体流形成第三高密度等离子体,以利用同时具有沉积和溅射分量的第三沉积处理在所述衬底上和再次重新开口的所述间隙内沉积所述膜的第三部分。
15.一种在置于衬底处理室中的衬底上沉积氧化硅膜的方法,所述衬底具有多个分布在所述衬底上的宽松区域和密集区域中的间隙,所述密集区域中的至少一个间隙的深宽比大于5∶1,宽度小于100nm,所述宽松区域中的至少一个间隙的宽度为所述密集区域中的所述至少一个间隙的宽度的至少5倍,所述方法包括:
将第一组SH4、O2和第一流动气体提供到所述衬底处理室;
由所述第一组SH4、O2和第一流动气体形成第一高密度等离子体,以利用同时具有沉积和溅射分量的第一沉积处理在所述衬底上和所述多个间隙内沉积所述氧化硅膜的第一部分,直到所述密集区域中的至少一个间隙闭合之后为止;
将第一组含氟气体提供到所述衬底处理室;
由所述第一组含氟气体形成第二高密度等离子体,以将所述氧化硅膜的所述第一部分回蚀足够的部分,以使得所述多个间隙重新开口;
在回蚀所述氧化硅膜的所述第一部分的同时,向所述衬底施加偏压;
将第二组SH4、O2和第二流动气体提供到所述衬底处理室;以及
由所述第二组SH4、O2和第二流动气体形成第三高密度等离子体,以利用同时具有沉积和溅射分量的第二沉积处理在所述衬底上和重新开口的所述多个间隙内沉积所述氧化硅膜的第二部分。
16.如权利要求15所述的方法,其中,所述第一和第二流动气体分别包含分子氢H2,所述分子氢H2以超过500sccm的流率提供到所述衬底处理室。
17.如权利要求15所述的方法,其中,所述氧化硅膜的所述第一部分以比闭合所述多个间隙的临界厚度大5%的厚度沉积在所述衬底上和所述多个间隙内。
18.如权利要求15所述的方法,其中,所述氧化硅膜的所述第一部分以比闭合所述多个间隙的临界厚度大10%的厚度沉积在所述衬底上和所述多个间隙内。
19.如权利要求15所述的方法,其中,所述氧化硅膜的所述第二部分被沉积在所述衬底上和重新开口的所述多个间隙内,直到重新开口的所述多个间隙再次闭合之后为止,所述方法还包括:
将第二组含氟气体提供到所述衬底处理室;
由所述第二组含氟气体形成第四高密度等离子体,以将所述氧化硅膜的所述第二部分回蚀足够的部分,以再次使得再次闭合的所述多个间隙重新开口;
将第三组SH4、O2和第三流动气体提供到所述衬底处理室;以及
由所述第三组SH4、O2和第三流动气体形成第五高密度等离子体,以利用同时具有沉积和溅射分量的第三沉积处理在所述衬底上和再次重新开口的所述多个间隙内沉积所述氧化硅膜的第三部分。
CN2006800309381A 2005-06-24 2006-06-15 利用沉积刻蚀序列的间隙填充处理 Expired - Fee Related CN101278380B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/166,357 US7329586B2 (en) 2005-06-24 2005-06-24 Gapfill using deposition-etch sequence
US11/166,357 2005-06-24
PCT/US2006/023311 WO2007001878A2 (en) 2005-06-24 2006-06-15 Gapfill using deposition-etch sequence

Publications (2)

Publication Number Publication Date
CN101278380A CN101278380A (zh) 2008-10-01
CN101278380B true CN101278380B (zh) 2012-05-23

Family

ID=37568134

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800309381A Expired - Fee Related CN101278380B (zh) 2005-06-24 2006-06-15 利用沉积刻蚀序列的间隙填充处理

Country Status (4)

Country Link
US (1) US7329586B2 (zh)
JP (1) JP5225081B2 (zh)
CN (1) CN101278380B (zh)
WO (1) WO2007001878A2 (zh)

Families Citing this family (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7442620B2 (en) * 2006-06-13 2008-10-28 Macronix International Co., Ltd. Methods for forming a trench isolation structure with rounded corners in a silicon substrate
US20080299775A1 (en) * 2007-06-04 2008-12-04 Applied Materials, Inc. Gapfill extension of hdp-cvd integrated process modulation sio2 process
KR20090022557A (ko) * 2007-08-31 2009-03-04 삼성전자주식회사 고밀도 플라즈마 화학 기상 증착 장치 및 그를 이용한절연막 형성 방법
US7745350B2 (en) * 2007-09-07 2010-06-29 Applied Materials, Inc. Impurity control in HDP-CVD DEP/ETCH/DEP processes
US7867921B2 (en) * 2007-09-07 2011-01-11 Applied Materials, Inc. Reduction of etch-rate drift in HDP processes
US7989262B2 (en) 2008-02-22 2011-08-02 Cavendish Kinetics, Ltd. Method of sealing a cavity
US7993950B2 (en) * 2008-04-30 2011-08-09 Cavendish Kinetics, Ltd. System and method of encapsulation
US7972968B2 (en) * 2008-08-18 2011-07-05 Applied Materials, Inc. High density plasma gapfill deposition-etch-deposition process etchant
JP5514420B2 (ja) * 2008-09-17 2014-06-04 ルネサスエレクトロニクス株式会社 半導体集積回路装置の製造方法
US7910491B2 (en) * 2008-10-16 2011-03-22 Applied Materials, Inc. Gapfill improvement with low etch rate dielectric liners
JP5568244B2 (ja) * 2009-03-23 2014-08-06 ラピスセミコンダクタ株式会社 半導体装置の製造方法
JP2012004542A (ja) * 2010-05-20 2012-01-05 Tokyo Electron Ltd シリコン膜の形成方法およびその形成装置
US8497211B2 (en) 2011-06-24 2013-07-30 Applied Materials, Inc. Integrated process modulation for PSG gapfill
CN103681459B (zh) * 2012-09-05 2016-03-30 中芯国际集成电路制造(上海)有限公司 提高金属前介质层空隙填充能力的方法
US9087542B1 (en) * 2012-12-10 2015-07-21 Western Digital (Fremont), Llc Method for providing a structure having reduced voids in a magnetic recording transducer
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20150206803A1 (en) * 2014-01-19 2015-07-23 United Microelectronics Corp. Method of forming inter-level dielectric layer
US9425078B2 (en) * 2014-02-26 2016-08-23 Lam Research Corporation Inhibitor plasma mediated atomic layer deposition for seamless feature fill
US9502238B2 (en) 2015-04-03 2016-11-22 Lam Research Corporation Deposition of conformal films by atomic layer deposition and atomic layer etch
GB201620680D0 (en) * 2016-12-05 2017-01-18 Spts Technologies Ltd Method of smoothing a surface
JP7203515B2 (ja) * 2017-06-06 2023-01-13 アプライド マテリアルズ インコーポレイテッド 連続した堆積-エッチング-処理方法を使用した酸化ケイ素及び窒化ケイ素のボトムアップ成長

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923993A (en) * 1997-12-17 1999-07-13 Advanced Micro Devices Method for fabricating dishing free shallow isolation trenches
US6265269B1 (en) * 1999-08-04 2001-07-24 Mosel Vitelic Inc. Method for fabricating a concave bottom oxide in a trench
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures

Family Cites Families (79)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
DE3205345A1 (de) 1982-02-15 1983-09-01 Philips Patentverwaltung Gmbh, 2000 Hamburg "verfahren zur herstellung von fluordotierten lichtleitfasern"
JPS61276977A (ja) 1985-05-30 1986-12-06 Canon Inc 堆積膜形成法
US4690746A (en) 1986-02-24 1987-09-01 Genus, Inc. Interlayer dielectric process
US5000113A (en) 1986-12-19 1991-03-19 Applied Materials, Inc. Thermal CVD/PECVD reactor and use for thermal chemical vapor deposition of silicon dioxide and in-situ multi-step planarized process
US4892753A (en) 1986-12-19 1990-01-09 Applied Materials, Inc. Process for PECVD of silicon oxide using TEOS decomposition
US4960488A (en) 1986-12-19 1990-10-02 Applied Materials, Inc. Reactor chamber self-cleaning process
US4872947A (en) 1986-12-19 1989-10-10 Applied Materials, Inc. CVD of silicon oxide using TEOS decomposition and in-situ planarization process
KR910006164B1 (ko) 1987-03-18 1991-08-16 가부시키가이샤 도시바 박막형성방법과 그 장치
US4851370A (en) 1987-12-28 1989-07-25 American Telephone And Telegraph Company, At&T Bell Laboratories Fabricating a semiconductor device with low defect density oxide
JPH0258836A (ja) 1988-08-24 1990-02-28 Matsushita Electric Ind Co Ltd 半導体装置の製造方法
US4894352A (en) 1988-10-26 1990-01-16 Texas Instruments Inc. Deposition of silicon-containing films using organosilicon compounds and nitrogen trifluoride
US5013691A (en) 1989-07-31 1991-05-07 At&T Bell Laboratories Anisotropic deposition of silicon dioxide
JP2960466B2 (ja) 1990-03-19 1999-10-06 株式会社日立製作所 半導体デバイスの配線絶縁膜の形成方法及びその装置
US5089442A (en) 1990-09-20 1992-02-18 At&T Bell Laboratories Silicon dioxide deposition method using a magnetic field and both sputter deposition and plasma-enhanced cvd
JP2640174B2 (ja) 1990-10-30 1997-08-13 三菱電機株式会社 半導体装置およびその製造方法
JP3206916B2 (ja) 1990-11-28 2001-09-10 住友電気工業株式会社 欠陥濃度低減方法、紫外線透過用光学ガラスの製造方法及び紫外線透過用光学ガラス
EP0519079B1 (en) 1991-01-08 1999-03-03 Fujitsu Limited Process for forming silicon oxide film
JP2697315B2 (ja) 1991-01-23 1998-01-14 日本電気株式会社 フッ素含有シリコン酸化膜の形成方法
JPH04341568A (ja) 1991-05-16 1992-11-27 Toshiba Corp 薄膜形成方法及び薄膜形成装置
EP0584252B1 (en) 1991-05-17 1998-03-04 Lam Research Corporation A PROCESS FOR DEPOSITING A SIOx FILM HAVING REDUCED INTRINSIC STRESS AND/OR REDUCED HYDROGEN CONTENT
JP2699695B2 (ja) 1991-06-07 1998-01-19 日本電気株式会社 化学気相成長法
US5279865A (en) 1991-06-28 1994-01-18 Digital Equipment Corporation High throughput interlevel dielectric gap filling process
JPH05226480A (ja) 1991-12-04 1993-09-03 Nec Corp 半導体装置の製造方法
JP2773530B2 (ja) 1992-04-15 1998-07-09 日本電気株式会社 半導体装置の製造方法
JP2792335B2 (ja) 1992-05-27 1998-09-03 日本電気株式会社 半導体装置の製造方法
JP3688726B2 (ja) 1992-07-17 2005-08-31 株式会社東芝 半導体装置の製造方法
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5624582A (en) 1993-01-21 1997-04-29 Vlsi Technology, Inc. Optimization of dry etching through the control of helium backside pressure
US5302233A (en) 1993-03-19 1994-04-12 Micron Semiconductor, Inc. Method for shaping features of a semiconductor structure using chemical mechanical planarization (CMP)
US5416048A (en) 1993-04-16 1995-05-16 Micron Semiconductor, Inc. Method to slope conductor profile prior to dielectric deposition to improve dielectric step-coverage
JPH07161703A (ja) 1993-12-03 1995-06-23 Ricoh Co Ltd 半導体装置の製造方法
US5416046A (en) * 1994-01-18 1995-05-16 Wang; Ping-Lung Method for making semiconductor heat-cooling device having a supporting mesh
US5468342A (en) 1994-04-28 1995-11-21 Cypress Semiconductor Corp. Method of etching an oxide layer
US5563105A (en) 1994-09-30 1996-10-08 International Business Machines Corporation PECVD method of depositing fluorine doped oxide using a fluorine precursor containing a glass-forming element
US5571576A (en) 1995-02-10 1996-11-05 Watkins-Johnson Method of forming a fluorinated silicon oxide layer using plasma chemical vapor deposition
US6039851A (en) 1995-03-22 2000-03-21 Micron Technology, Inc. Reactive sputter faceting of silicon dioxide to enhance gap fill of spaces between metal lines
US5719085A (en) 1995-09-29 1998-02-17 Intel Corporation Shallow trench isolation technique
US5599740A (en) 1995-11-16 1997-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Deposit-etch-deposit ozone/teos insulator layer method
DE69623651T2 (de) 1995-12-27 2003-04-24 Lam Res Corp Verfahren zur füllung von gräben auf einer halbleiterscheibe
US5679606A (en) 1995-12-27 1997-10-21 Taiwan Semiconductor Manufacturing Company, Ltd. method of forming inter-metal-dielectric structure
US6191026B1 (en) 1996-01-09 2001-02-20 Applied Materials, Inc. Method for submicron gap filling on a semiconductor substrate
US5872052A (en) 1996-02-12 1999-02-16 Micron Technology, Inc. Planarization using plasma oxidized amorphous silicon
US5648175A (en) 1996-02-14 1997-07-15 Applied Materials, Inc. Chemical vapor deposition reactor system and integrated circuit
US5858876A (en) 1996-04-01 1999-01-12 Chartered Semiconductor Manufacturing, Ltd. Simultaneous deposit and etch method for forming a void-free and gap-filling insulator layer upon a patterned substrate layer
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5661093A (en) 1996-09-12 1997-08-26 Applied Materials, Inc. Method for the stabilization of halogen-doped films through the use of multiple sealing layers
US5939831A (en) 1996-11-13 1999-08-17 Applied Materials, Inc. Methods and apparatus for pre-stabilized plasma generation for microwave clean applications
US5953635A (en) 1996-12-19 1999-09-14 Intel Corporation Interlayer dielectric with a composite dielectric stack
US5913140A (en) 1996-12-23 1999-06-15 Lam Research Corporation Method for reduction of plasma charging damage during chemical vapor deposition
US5800621A (en) 1997-02-10 1998-09-01 Applied Materials, Inc. Plasma source for HDP-CVD chamber
US5990000A (en) 1997-02-20 1999-11-23 Applied Materials, Inc. Method and apparatus for improving gap-fill capability using chemical and physical etchbacks
US6059643A (en) 1997-02-21 2000-05-09 Aplex, Inc. Apparatus and method for polishing a flat surface using a belted polishing pad
US5850105A (en) 1997-03-21 1998-12-15 Advanced Micro Devices, Inc. Substantially planar semiconductor topography using dielectrics and chemical mechanical polish
US5968610A (en) 1997-04-02 1999-10-19 United Microelectronics Corp. Multi-step high density plasma chemical vapor deposition process
US6204200B1 (en) 1997-05-05 2001-03-20 Texas Instruments Incorporated Process scheme to form controlled airgaps between interconnect lines to reduce capacitance
US6189483B1 (en) 1997-05-29 2001-02-20 Applied Materials, Inc. Process kit
US6136685A (en) 1997-06-03 2000-10-24 Applied Materials, Inc. High deposition rate recipe for low dielectric constant films
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US5872058A (en) 1997-06-17 1999-02-16 Novellus Systems, Inc. High aspect ratio gapfill process by using HDP
US6194038B1 (en) 1998-03-20 2001-02-27 Applied Materials, Inc. Method for deposition of a conformal layer on a substrate
US6395150B1 (en) 1998-04-01 2002-05-28 Novellus Systems, Inc. Very high aspect ratio gapfill using HDP
US6030881A (en) 1998-05-05 2000-02-29 Novellus Systems, Inc. High throughput chemical vapor deposition process capable of filling high aspect ratio structures
US6037018A (en) 1998-07-01 2000-03-14 Taiwan Semiconductor Maufacturing Company Shallow trench isolation filled by high density plasma chemical vapor deposition
KR100319185B1 (ko) * 1998-07-31 2002-01-04 윤종용 반도체 장치의 절연막 형성 방법
US6203863B1 (en) 1998-11-27 2001-03-20 United Microelectronics Corp. Method of gap filling
US6197705B1 (en) 1999-03-18 2001-03-06 Chartered Semiconductor Manufacturing Ltd. Method of silicon oxide and silicon glass films deposition
US6503843B1 (en) 1999-09-21 2003-01-07 Applied Materials, Inc. Multistep chamber cleaning and film deposition process using a remote plasma that also enhances film gap fill
US6335261B1 (en) * 2000-05-31 2002-01-01 International Business Machines Corporation Directional CVD process with optimized etchback
US6335288B1 (en) 2000-08-24 2002-01-01 Applied Materials, Inc. Gas chemistry cycling to achieve high aspect ratio gapfill with HDP-CVD
US6740601B2 (en) 2001-05-11 2004-05-25 Applied Materials Inc. HDP-CVD deposition process for filling high aspect ratio gaps
KR100403630B1 (ko) * 2001-07-07 2003-10-30 삼성전자주식회사 고밀도 플라즈마를 이용한 반도체 장치의 층간 절연막 형성방법
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
JP3975099B2 (ja) * 2002-03-26 2007-09-12 富士通株式会社 半導体装置の製造方法
US6908862B2 (en) * 2002-05-03 2005-06-21 Applied Materials, Inc. HDP-CVD dep/etch/dep process for improved deposition into high aspect ratio features
US7628897B2 (en) * 2002-10-23 2009-12-08 Applied Materials, Inc. Reactive ion etching for semiconductor device feature topography modification
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
US7205240B2 (en) * 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
US7078312B1 (en) * 2003-09-02 2006-07-18 Novellus Systems, Inc. Method for controlling etch process repeatability
US7163896B1 (en) * 2003-12-10 2007-01-16 Novellus Systems, Inc. Biased H2 etch process in deposition-etch-deposition gap fill

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5923993A (en) * 1997-12-17 1999-07-13 Advanced Micro Devices Method for fabricating dishing free shallow isolation trenches
US6265269B1 (en) * 1999-08-04 2001-07-24 Mosel Vitelic Inc. Method for fabricating a concave bottom oxide in a trench
US6846745B1 (en) * 2001-08-03 2005-01-25 Novellus Systems, Inc. High-density plasma process for filling high aspect ratio structures

Also Published As

Publication number Publication date
WO2007001878A3 (en) 2007-08-09
JP2008547224A (ja) 2008-12-25
CN101278380A (zh) 2008-10-01
JP5225081B2 (ja) 2013-07-03
WO2007001878A2 (en) 2007-01-04
US7329586B2 (en) 2008-02-12
US20060292894A1 (en) 2006-12-28

Similar Documents

Publication Publication Date Title
CN101278380B (zh) 利用沉积刻蚀序列的间隙填充处理
CN100483646C (zh) 用于改进间隙填充应用的高产能hdp-cvd处理
US20140187045A1 (en) Silicon nitride gapfill implementing high density plasma
US6808748B2 (en) Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US6660662B2 (en) Method of reducing plasma charge damage for plasma processes
TWI342899B (en) Gas distribution system for improved transient phase deposition
US6802944B2 (en) High density plasma CVD process for gapfill into high aspect ratio features
US7972968B2 (en) High density plasma gapfill deposition-etch-deposition process etchant
CN100564590C (zh) 具有侧壁磁体的感应等离子体系统
US20070029046A1 (en) Methods and systems for increasing substrate temperature in plasma reactors
US7867921B2 (en) Reduction of etch-rate drift in HDP processes
CN103329250A (zh) 通过高密度等离子体化学气相沉积(hdp-cvd)形成的多晶硅薄膜
US7745350B2 (en) Impurity control in HDP-CVD DEP/ETCH/DEP processes
US20030203637A1 (en) Method for high aspect ratio HDP CVD gapfill
CN101388341B (zh) 在hdp-cvd沉积/蚀刻/沉积工艺中的杂质控制
CN114402417A (zh) 沉积介电材料的方法与设备
US20080299775A1 (en) Gapfill extension of hdp-cvd integrated process modulation sio2 process
US11655537B2 (en) HDP sacrificial carbon gapfill
US20050260356A1 (en) Microcontamination abatement in semiconductor processing

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
ASS Succession or assignment of patent right

Free format text: FORMER OWNER: MATSUSHITA ELECTRIC INDUSTRIAL CO, LTD.

Effective date: 20130918

C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20130918

Address after: American California

Patentee after: Applied Materials Inc.

Address before: American California

Patentee before: Applied Materials Inc.

Patentee before: Matsushita Electric Industrial Co., Ltd.

CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120523

Termination date: 20140615

EXPY Termination of patent right or utility model