CN101297390B - 用于光刻法的系统、掩模和方法 - Google Patents

用于光刻法的系统、掩模和方法 Download PDF

Info

Publication number
CN101297390B
CN101297390B CN2006800399528A CN200680039952A CN101297390B CN 101297390 B CN101297390 B CN 101297390B CN 2006800399528 A CN2006800399528 A CN 2006800399528A CN 200680039952 A CN200680039952 A CN 200680039952A CN 101297390 B CN101297390 B CN 101297390B
Authority
CN
China
Prior art keywords
function
mask pattern
pattern
photomask
dissimilar
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Fee Related
Application number
CN2006800399528A
Other languages
English (en)
Other versions
CN101297390A (zh
Inventor
D·艾布拉姆斯
彭丹平
S·奥谢尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Dino technology acquisition Ltd.
Original Assignee
Luminescent Technologies Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Luminescent Technologies Inc filed Critical Luminescent Technologies Inc
Publication of CN101297390A publication Critical patent/CN101297390A/zh
Application granted granted Critical
Publication of CN101297390B publication Critical patent/CN101297390B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/36Masks having proximity correction features; Preparation thereof, e.g. optical proximity correction [OPC] design processes
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/70Adapting basic layout or design of masks to lithographic process requirements, e.g., second iteration correction of mask patterns for imaging
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F1/00Originals for photomechanical production of textured or patterned surfaces, e.g., masks, photo-masks, reticles; Mask blanks or pellicles therefor; Containers specially adapted therefor; Preparation thereof
    • G03F1/68Preparation processes not covered by groups G03F1/20 - G03F1/50
    • G03F1/72Repair or correction of mask defects
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70491Information management, e.g. software; Active and passive control, e.g. details of controlling exposure processes or exposure tool monitoring processes

Abstract

使用通过掩模函数定义的轮廓来表示光掩模图案。给出目标图案,将轮廓最优化,以便当在光刻法工艺中使用所定义的光掩模时,印刷晶片图案忠实于目标图案。最优化利用“效益函数”,用于对以下方面进行编码:光刻法工艺、关于得到的图案(例如,约束为直线型图案)的优选选项、抗工艺变化的鲁棒性、以及关于光掩模的实际和经济制造性而实施的约束。

Description

用于光刻法的系统、掩模和方法
技术领域
本技术领域涉及在光刻法工艺中使用的掩模(也称作光掩模),更具体地,涉及用于找寻最优化光掩模图案的方法,以便允许生产具有最小失真或是假象的晶片图案,以及允许能够将得到的轮廓限制在直线型图案。
背景技术
光刻法处理代表用于制造集成电路(IC)以及微电子机械系统(MEMS)的一项重要技术。光刻法技术用以将图案、几何形状、特征、形状等(“图案”)定义到集成电路管芯或者半导体晶片或芯片之上,在这里所述图案通常由轮廓、线、边界、边缘、曲线等(“轮廓”)的集合来定义,所述轮廓通常围绕、包围和/或定义构成图案的各种区域的边界。
对于在管芯和晶片上增加特征密度的需求已经导致了具有不断减小的最小化维度的电路的设计。然而,由于光的波本性,当维度逼近了可与在光刻法工艺中所使用的光的波长可比较的大小时,生成的晶片图案偏离相应的光掩模图案,并且伴随有不希望的失真和假象。
诸如光学邻近效应修正(OPC)的技术尝试通过将光掩模图案适当预失真来解决此问题。然而,这种方案没有考虑到可能的光掩模图案的全部范围,以及由此导致次优的设计。得到的图案可能根本不能正确印刷,或者不能鲁棒地印刷。因而,需要用于生成最优化光掩模图案的系统和方法,其得到忠于目标图案的晶片图案的鲁棒产生。
发明内容
本发明的一个方面可以提供一种方法,用于确定最优化的光掩模图案以便用于在工件上产生图案,所述工件诸如半导体晶片、驱动头、光学组件或者其它对象。使用效益函数可以相对于期望的目标图案来将图案最优化。
本发明的方面可以提供用于以分层次的多边形表示(诸如GDSII或者Oasis)来提供初始光掩模图案或者目标图案,以及用于以分层次的多边形表示(诸如GDSII或者Oasis)来提供输出光掩模图案。本发明的方面可以提供针对上述图案的任意一个在图案的全部或者部分的多边形表示以及函数性表示之间进行转换。
本发明的方面提供用于使用掩模函数来表示上述图案的任意一个的全部或者部分。在一个示例实施方式中,可以使用二维函数表示轮廓。例如,所述函数可以是具有表示到图案轮廓的距离的值的函数。在某些示例中,函数可以是水平集函数。
本发明的方面可以提供用于存储为处于越过光掩模图案的全部或者部分表面的多点处的函数值的表示,所述多点诸如点的栅格。例如,表示可以存储为存储器中的阵列。本发明的方面可以提供具有多于三个输出值的函数值、或者表示光掩模图案的不同区域的输出值的多个范围。例如,函数值可以用以指示具有高于栅格大小的分辨率的轮廓的位置,诸如当轮廓落在函数所估计的栅格点之间的时候。
本发明的方面可以提供用于将光掩模图案或者目标图案划分成为块用于处理。例如,可以将多边形表示划分成为块。例如,可以使用从1微米乘1微米至10微米乘10微米或者更大的块尺寸,或者其中包含的任何范围的块尺寸,尽管这可以根据重复结构或者图案中的其它设计特征的尺寸而有所变化。本发明的方面可以提供用于在块中包括重叠的晕圈区域。例如,可以基于光刻法使用的光的波长(诸如,193nm波长或者其它波长)来确定晕圈区域。例如,晕圈区域可以提供用于在数个波长的数量级而沿每个方向重叠。在某些实施方式中,沿每个方向的重叠可以处于0.5微米至2微米的范围或者其中包含的任意范围中。在某些实施方式中,对于晕圈区域的距离可以处于块的宽度或者长度的5%至10%的范围之中、或者在其中包含的任意范围之中。上文是示例而在其它实施方式中可以使用其它范围。在示例实施方式中,光掩模图案可以具有多于一百万、或者甚至多于一千万个栅极,并且可以划分成为多于一百万个块。
本发明的方面可以提供用于将块从多边形表示转换至函数表示用于优化。本发明的方面可以提供用于在估计效益函数或者效益函数的一方面中使用的图案的全部或者部分的函数性表示,诸如效益函数的导数或者梯度。可以确定变化函数并且将其添加至图案的函数性表示。例如,变化函数可以提供小的变化值,所述小的变化值将在图案的全部或者部分的每个栅格处被添加至函数性表示。本发明的方面提供用于迭代地修改的函数。本发明的方面提供用于,当选择最终掩模图案时,针对每个迭代、或者周期性地针对所选择的迭代、或者在结束时进行正则化或者直线型投影。本发明的方面提供用于继续迭代,直到达到关于效益函数的期望阈值、直到变化函数充分小、直到执行了期望数目的迭代、或者这些或其它标准的某种结合。
本发明的方面可以提供用于使用上述方法的任意一种来处理的块。在某些实施方式中,可以使用多处理器、刀片或者加速器卡来并行处理块。本发明的方面可以提供用于在处理之后将块进行结合,以便提供半导体器件或者其它工件的整个层的掩模图案。这些方面可以提供用于有效的整个芯片最优化。
本发明的方面可以提供一种方法,用于根据上述方法的任意一个所确定的光掩模图案来制造光掩模。本发明的方面可以提供具有由上述方法的任意一个所确定的图案的光掩模。
本发明的方面提供一种方法,用于通过使用上述任意一种的光掩模来制造半导体晶片或者集成电路器件。本发明的方面可以提供用于通过使用上述任意一种的掩模来在半导体晶片或者其它工件上的光刻胶中显影图案,以及基于这种区域来蚀刻、掺杂或者沉积材料以形成集成电路或者其它结构。本发明的方面可以提供用于,将这种晶片或者其它工件划线成为管芯以及封装以形成集成电路器件或者其它器件。本发明的方面可以提供用于使用上述任意一种方法或者光掩模来制造半导体晶片或者集成电路器件。
本发明的方面可以在存储器中提供存储有上述任意一种图案表示的设计文件或者数据结构。
本发明的方面可以提供一种具有指令的计算机可读介质,所述指令用于上述任意一种方法或者方法步骤、或者用于存储或处理上述任意一个图案、表示、文件或者数据结构。
本发明的方面可以提供一种具有执行指令的处理器的计算机系统,所述指令用于上述任意一个方法或者方法步骤、以及用于存储或者处理上述任意一个图案、表示、文件或者数据结构。在某些实施方式中,该计算机系统可以包括处理器、加速器板、存储器、存储设备以及网络接口的一个或者多个。本发明的方面可以提供用于根据上述任意一个方法或者方法步骤,将上述任意一个图案、表示、文件或者数据结构的存储在存储器或存储设备中、以及由一个或者多个处理器或者加速器来对其进行处理。本发明的方面提供具有多个计算机系统、服务器刀片、处理器或者加速器的系统,用于如上所述地并行处理或者在块中处理光掩模图案的全部或者部分,所述块可以包括重叠的晕圈区域。本发明的方面可以用于初始计算机系统或者处理器来将光掩模图案或者设计文件如上所述地划分成为块用于并行处理,以及用于结合已处理的块来生成用于半导体器件或者其它工件的整个层的掩模图案的光掩模图案或者设计文件。
应该理解,可以单独使用本发明的上述每个方面,或者与本发明的一个或者多个其它方面任意结合使用。上述方面仅仅是示例而并非旨在于限制下文的说明书或者权利要求集。
附图说明
图1是示出根据本发明一个实施方式的使用光刻法工艺来在晶片上印刷的简单示例目标图案的图示;
图2是示出根据本发明一个实施方式的使用光刻法工艺来在晶片上印刷的较为复杂的示例目标图案的图示;
图3是示出根据本发明一个实施方式的使用光刻法工艺在晶片上印刷的图2的示例目标图案的细节的图示;
图4是示出根据本发明一个实施方式的在(x,y)平面中的包括区域的示例光掩模图案的图示;
图5是显示根据本发明一个实施方式的示例晶片图案的图示,其中示出了在光刻法工艺中使用图4的示例光掩模图案在晶片上所印刷出的内容;
图6是示出根据本发明一个实施方式的包括区域的更复杂的示例光掩模图案的图示;
图7是显示根据本发明一个实施方式的示例晶片图案的图示,其中示出了在光刻法工艺中使用图6的示例光掩模图案在晶片上所印刷出的内容;
图8a是示出根据本发明一个实施方式的函数的图示,所述函数通过定义包围光掩模图案中的区域的轮廓来表示图4的示例光掩模图案;
图8b是示出根据本发明一个实施方式的函数的图示,所述函数通过定义包围光掩模图案中的区域的轮廓来表示图6的示例光掩模图案;
图8c是根据本发明一个实施方式示出图8a的函数与平行于(x,y)平面的零平面相交的图示;
图9是示出根据本发明一个实施方式的用于光掩模图案的随时间演进的轮廓以便将汉密尔顿函数最小化的方法的流程图;
图10a是示出根据本发明一个实施方式的光掩模图案的图示;
图10b是示出根据本发明一个实施方式的与由算法输出的最终函数相对应的光掩模图案的图示;
图10c是示出在光刻法工艺中使用图10b的光掩模图案产生的晶片图案的图示;
图10d是示出根据本发明一个实施方式的基于与图6中所示初始光掩模相对应的图8b中所示的初始函数的由算法输出的最终函数的图示;
图10e是示出根据本发明一个实施方式的与图10d的函数相对应的光掩模图案的图示;
图10f是示出根据本发明一个实施方式的在光刻法工艺中使用图10e的光掩模图案产生的晶片图案的图示;
图10g是示出根据本发明一个实施方式的基于图6中所示初始光掩模的由算法输出的直线型光掩模图案的图示;
图10h是示出根据本发明一个实施方式的在光刻法工艺中使用图10g的直线型光掩模图案产生的晶片图案的图示;
图11是示出根据本发明一个实施方式的函数的m维解空间的2维子空间,其中将汉密尔顿函数H示为ψ(x1,y1);
图12是示出根据本发明一个实施方式的环绕光掩模图案的块的晕圈的图示;
图13是示出根据本发明一个实施方式的示例计算机系统;
图14是示出根据本发明一个实施方式的示例网络化计算机系统;
图15是示出根据本发明一个实施方式的晶片图案和用于产生晶片图案的光掩模图案的图示;
图16是示出根据本发明一个实施方式的晶片图案和用于产生晶片图案的光掩模图案的图示;
图17是示出根据本发明一个实施方式的晶片图案和用于产生晶片图案的光掩模图案的图示;
图18A是示出根据本发明一个实施方式的晶片图案的图示,以及图18B、图18C以及图18D示出了用于产生晶片图案的光掩模图案;
图19A是示出根据本发明一个实施方式的晶片图案的图示,以及图19B、图19C、图19D、图19E以及图19F示出了用于产生晶片图案的光掩模图案;以及
图20A是示出根据本发明一个实施方式的晶片图案的图示,以及图20B、图20C、图20D以及图20E示出了用于产生晶片图案的光掩模图案。
具体实施方式
在此应该理解,术语“晶片图案”应理解为包括任意多边形(直线型或者非直线型)或者将要在半导体或者其它材料衬底上形成的其它形状或者图案,例如数字或者模拟电路结构或者互联。
图1是示出使用光刻法工艺来在晶片上印刷的示例目标图案100的图示。目标图案100包括由轮廓102所围绕的区域101。在一个示例实施方式中,区域101中的区表示光刻胶,以及区域101以外的区表示不存在光刻胶。
图2是示出使用光刻法工艺在晶片上印刷的较为复杂的示例目标图案200的图示。目标图案200的复杂性是用于表示集成电路设计的图案的进一步的示意。
图3是示出根据本发明一个实施方式的使用光刻法工艺来在晶片上印刷的来自图2的示例目标图案200的细节目标图案300的图示。
图4是在(x,y)平面中示出在光刻法工艺中用于印刷晶片图案的包括区域401的示例光掩模图案400的图示。在一个实施方式中,区域401中的区表示铬,而区域401以外的区表示光掩模上的玻璃。可替换地,区域401中的区表示铬以外的材料,而区域401以外的区表示光掩模上玻璃以外的材料。
图5是显示示例晶片图案500的图示,其中示出了在光刻法工艺中使用光掩模图案400在晶片上所印刷出的内容。在一个示例实施方式中,区域501中的区表示光刻胶,而区域501以外的区表示不存在光刻胶。注意,由于光刻法工艺所产生的失真和假象,晶片图案500不同于目标图案100。图6是示出根据本发明一个实施方式的包括区域的更复杂的示例光掩模图案600的图示。图7是显示根据本发明一个实施方式的示例晶片图案700的图示,其中示出了在光刻法工艺中使用图6的示例光掩模图案600可能在晶片上所印刷出的内容。示例实施方式可以生成这样的光掩模图案,当在光刻法工艺中使用所述光掩模图案时,可产生更为忠于相应目标图案的晶片图案,所述晶片图案具有较少的不期望的失真和假象。
由于我们使用轮廓来在光掩模图案中定义区域,我们使用这种轮廓的数学描述。图8a示出函数ψ(x,y)800,所述函数ψ(x,y)800通过定义包围光掩模图案400中的区域的轮廓来表示示例光掩模图案400。图8b是示出函数ψ(x,y)801的图示,所述函数ψ(x,y)801通过定义包围光掩模图案600中的区域的轮廓来表示图6的示例光掩模图案600。从使用二维函数来描述轮廓集的意义上来说,ψ(x,y)可以是隐含地定义了轮廓的函数。通常,认为函数ψ(x,y)是实数值函数,其根据沿轮廓的函数值来定义轮廓。例如,在一个实施方式中,掩模函数ψ(x,y)具有如下属性,其中ψ(x,y):
1.沿区域的边界处的所有位置处,ψ(x,y)=0;
2.区域“内部”(例如,对应于掩模的铬部分的那些区域)处,ψ(x,y)>0;
3.在区域“外部”(例如,对应于掩模的透明石英部分的那些区域)ψ(x,y)<0、或者为负值。
在此情况下由“水平集”来定义轮廓,即,(x,y)平面中的那些使得ψ(x,y)=0的值。图8c通过水平集函数800与平行于(x,y)平面的零平面802相交示出出了水平集。我们还可以将这种光掩模的表示称作基于区的表示,以及在某些实施方式中,称作基于像素的表示。
在其它实施方式中,可以使用上述以外的各种光掩模函数性表示来表示光掩模,以及这将落入本发明的范围之中。我们将定义光掩模轮廓的二维函数称作光掩模函数或者掩模函数。
本发明的一个方面在于,对于给定的目标图案,找到一个函数ψ(x,y),以便ψ(x,y)=0限定一个轮廓集,当将其解译为光掩模上图案的区域边界时,所述函数ψ(x,y)对应于产生晶片图案的光掩模的设计,所述晶片图案相对于目标图案具有较小的失真和假象,其中所述晶片图案是使用所述光掩模来由光刻法工艺而生成。使用称为“效益函数”的泛函数(functional)(在此也称作“汉密尔顿函数”H)来计算通过掩模函数ψ(x,y)定义的轮廓集的优化程度。掩模函数ψ(x,y)的汉密尔顿函数H是所印刷的晶片图案和期望的目标图案之间的相似性程度的指示,通过使用由ψ(x,y)定义的轮廓给出的光掩模来由光刻法工艺生成所印刷的图案。(借助类似于在经典动力学或者量子力学中使用的汉密尔顿函数的方式,我们将“效益函数”称作“汉密尔顿函数”)。
在数学上,汉密尔顿函数H是将函数映射至实数的泛函数:
可选地,汉密尔顿函数还依赖于实参数的数目,或者如下所述,是多个掩模函数的泛函数。选择汉密尔顿函数以便最优化解具有最小值,即,我们希望找到将汉密尔顿函数最小化的掩模函数。接下来,一旦指定了适当的汉密尔顿函数,则找寻最优化设计的光掩模的问题等效于找寻将实数汉密尔顿函数最小化的掩模函数的问题。接下来,适当的汉密尔顿函数的指定是应用本发明的原理的一个重要步骤,假定汉密尔顿泛函数的形式将直接确定从最优化问题得出的轮廓。
注意到我们以将汉密尔顿函数最小化的形式进行的问题描述是用于说明性目的而并非用于限制性目的。对于一个本领域普通技术人员,基于所给出的描述,等效的可替换方式是可用的。例如,此问题可以用公式表示为最大化问题而不是最小化问题。可替换地,可以选择不同的掩模函数表示,例如,通过将在所包围区域内部的点的函数值指定为负值、以及将所包围区域外部的点指定为正值。
可替换地,可以使用值ψ(x,y)=0来描述铬区域,而使用ψ(x,y)=1来描述玻璃区域,以及可以使用中间值来描述由玻璃所覆盖的给定像素区的小部分。另一个可替换方式是,选择零水平集以外的水平集来指定轮廓,或者使得表示轮廓定位的函数值在光掩模上变化,这可以根据定义指示轮廓定位的值的其它函数来进行。
图9是示出根据本发明一个实施方式的、为了将汉密尔顿函数最小化的用于光掩模图案的随时间演进的轮廓的方法流程图。图9描述了用以找寻针对给定目标图案来定义最优化光掩模的函数的步骤。该函数通过迭代地精细化初始猜想而得以发现,从而精细化结果逐步导致更好的“效益”值,即减小汉密尔顿函数H,其中H依赖于目标图案、考虑的特定光刻法工艺、对于光掩模制造的约束以及将在下文中详细描述的其它因素。在提供细节之前,我们在图9中概括描述所述步骤。
由一组初始输入来开始901,所述初始输入可以包括目标图案、描述考虑中的特定光刻法工艺的参数、掩模制造约束以及将在下文描述的其它因素。初始化902i=0,并且选择903初始掩模函数ψi(x,y)=ψ0(x,y)。确定904ψi(x,y)是否可接受(在下文中描述关于确定的细节)。如果确定905ψi(x,y)可接受,则输出906ψi(x,y)作为最小化的结果并且结束。否则907,将i加一并且选择909下一个ψi(x,y)以便获得改进(将在下文描述关于选择下一ψi的细节),进行重复直到确定907ψi(x,y)具有可接受的“效益”,并且通过将最终的ψi(x,y)输出906为最小化的结果而结束。因为初始掩模函数ψ0通过每次迭代有所变化,因而可以认为随着时间而演进,并且将每个后续函数ψi(x,y)认为是空间和时间(t表示时间)的“依赖于时间的掩模函数”ψi(x,y,t)的连续演进的离散瞬态图是很方便的。
图10a示出了与在大约算法的500次迭代之后的掩模函数ψi(x,y)相对应的光掩模图案1002。图10b示出了与由上述最优化算法输出的最终掩模函数相对应的光掩模图案1003。图10c示出了在光刻法工艺中使用图10b的光掩模图案1003产生的晶片图案1004。
图10d示出了由上述最优化算法输出的最终函数ψi(x,y)1005,其中所述最优化算法是基于与图6中所示初始光掩模600相对应的图8b中所示的初始函数801。图10e示出了与图10d的函数1005相对应的光掩模图案1006。图10f示出了在光刻法工艺中使用图10e的光掩模图案1006产生的晶片图案1007。
在一个实施方式中,通过向当前掩模函数ψi(x,y)增加一个小的变化量Δi(x,y)来选择后续函数ψi+1(x,y),其中Δi(x,y)是在与ψi(x,y)相同的域之上的另一函数:
        ψi+1(x,y)=ψi(x,y)+Δi(x,y)       (1)
在一个实施方式中,通过首先向当前掩模函数ψi(x,y)增加一个小的变化量Δi(x,y)来选择后续函数ψi+1(x,y),以及然后将得到的和投影至子空间上,其将轮廓约束为直线型(在下文将描述关于投影的细节)。图10g示出了基于图4中所示初始光掩模400的由上述算法输出的直线型光掩模图案1008。图10h示出了在光刻法工艺中使用图10g的直线型光掩模图案1008产生的晶片图案1009。
在本发明的一个实施方式中,Δi(x,y)计算如下:
Δ i ( x , y ) = Δt · { δH δψ | ψ = ψ i + R ( ψ i ) } · | Δψ i | - - - ( 2 )
其中Δt一个小常数,在下文中称作“时间步长”,
Figure S2006800399528D00112
是汉密尔顿函数H的Frechet导数,R(ψ)是“正则化项”,用以轻微地修改掩模函数的演进以便改进数字稳定性,以及|▽ψi|是函数ψi(x,y)的梯度范数。将在下文中更为详细地描述这些项中的每个以及投影操作。
在本发明的另一实施方式中,使用上述等式(2)的连续时间版本,以及根据等式(3)来时间上演进所述依赖于时间的水平集函数:
∂ ∂ t ψ ( x , y , t ) = { δH δψ + R ( ψ ) } · | Δψ | - - - ( 3 )
其可以使用与上述等式(2)中所描述的离散化不同的各种技术来计算地实现,然而,这对于一个本领域普通技术人员是已知的。
在一个实施方式中,为了便于计算,由基于(x,y)平面中的m个点的集合的m个函数值的离散集来表示掩模函数ψi(x,y)。在一个实施方式中,m个点的集合包括跨越了表示光掩模的区的栅格,在此情况下其可以被认为是像素。可替换地,根据表示光掩模的区中的不同设置来选择m个点的集合。从这一角度,掩模函数ψi(x,y)以及“小变化量”函数Δi(x,y)是通过在m个点集合处的它们的值来确定,并且由此可以认为是在“解空间”中的m维矢量。图11是针对表示掩模函数的m维矢量的最初两个分量的可能值,即,示出了解空间的2维子空间。在示出的子空间中,将H绘制为函数ψ(x1,y1)以及ψ(x2,y2)。对于此示例,ψ(x1,y1)以及ψ(x2,y2)两者均可以在-1和+1之间变化。在此示例中,发现在ψ(x1,y1)=0.3以及ψ(x2,y2)=-0.2处出现最小值。由在函数ψ0(x,y)处的初始猜想开始,通过沿“最陡下降”方向前进一个小步长(在步骤509中)来获取更为接近最小值的新定位,从而逼近最小值。通过重复此处理来快速到达最小值。除了整体“解空间”的维度(例如,可以等于离散版本中的栅格点m的数目,或者在连续版本中是无限的)远大于2以外,根据上述优选实施方式的时间演进的函数类似于上文。
从上述讨论中可以看出,可以找出最小值而不必实际计算汉密尔顿函数。然而,计算汉密尔顿函数是有用的,以便确定当前掩模函数的“效益”。例如,这可以合理地停止迭代,如果已经找到了足够胜任的解,则甚至可以在算法收敛于最优化解之前停止迭代。类似地,可能希望偶尔(每隔数个迭代)、或者仅在当看似已经找到足够胜任解的那些时间(诸如,当掩模函数演进仅在后续掩模函数中生成小的变化量时),来检查汉密尔顿函数。
就这一点,下面将更为详细地回顾图9的流程图的步骤:
输入
算法由一组输入开始901,所述输入中以特定格式(“图案I/O格式”)给出了目标图案。可以以各种格式来呈现目标图案,其中包括但不限于:
1.图像格式,诸如位图、JPEG(联合照片专家组)或者其它图像格式;
2.半导体工业格式,诸如GIF、GDSII、Oasis、OpenAccess;或者
3.专有格式,诸如电子设计自动化(EDA)布局数据库。
目标图案其自身可以是各种类型内容的表示,例如(但不局限于):
1.针对特定IC类型的IC设计的一个或者多个层面;
2.针对非IC应用(例如,MEMS设备、或者盘驱动头或者光学组件)的图案;
3.可以用作较大设计的一部分的图案,诸如标准单元或者DRAM比特单元。
算法还接受一个或者多个约束作为输入,包括(但不局限于)目标图案或者掩模图案的约束,这可以指定为规则(诸如,临界维度、容差等);以及指定为附加图像(以“图案I/O格式”)的目标图案或者掩模图案约束,其指定例如将要被覆盖的最大或者最小区、或者临界区域等。
期望的是,可以使用本发明的教导而精细化通过其它处理所确定的光掩模图案,以及本发明的方法的输出可以作为输入来馈送至用于最优地提供光掩模的其它技术或者方法中,或者以其它方式使用。通常,迭代处理旨在于通过一系列转换来给出掩模图案,其中在本发明的教导下完成那些转换的子集。
还可以出于各种可能的目的,使用各种可能的输入图案来利用本发明的教导,包括(例如但不限于)存储器应用(诸如DRAM、SRAM、PROM、EPROM、闪存、EEPROM等)、微型外围应用(诸如,系统支持、通信、GPU、大规模存储、视频等)、微处理器应用、数字信号处理器(“DSP”)应用、数字双极逻辑应用(通用、可编程逻辑、专用集成电路(“ASIC”)、显示驱动器、双极存储器等)、模拟应用、或者其它非IC相关的应用(例如EMES、光学设备等)。
其它可接受输入包括汉密尔顿函数的参数,包括但不限于用以模拟光刻法工艺的物理模型的参数、以及指示最终解的期望属性的参数。这些可以包括例如所利用的光掩模的数目和类型、步进机的波长、光照的类型和属性、光刻胶的类型和属性、透镜的类型和属性等。其它参数可以包括误差源的属性,诸如散焦、曝光、对准、缺陷等。
在示例实施方式中,本发明适用于各种目的,例如:
1.各种IC应用(DRAM、SRAM、微处理器等);
2.各种IC技术(CMOS、MOSFET、铜、GaAs等);
3.各种光刻法工艺(双掩模、CMP、抗蚀剂类型、大马士革(damascene)等);
4.各种波长(248nm、193nm等);或者
5.来自各种掩模写入技术(电子束、激光、光栅扫描、整形的波束等)的各种掩模技术(玻璃上的铬、PSM、CPL、Att-PSM等)。
初始化
在步骤901中接收输入以及初始化902使得i=0之后,我们将函数ψ0初始化903。理论上,几乎任意初始化都应是充分的;然而,初始化调节可以对收敛所需的时间有所影响以及由此影响工艺的成本。此外,充分差的初始条件将使得算法收敛失败。
对于本领域普通技术人员来说,用以初始化掩模函数的各种方式是显而易见的。在本发明的一个实施方式中,根据包括被包围区域(如下文所选择)的初始光掩模图案,通过以下指定来选择初始函数:
1.在光掩模图案的被包围区域中的任意位置,ψ0(x,y)的值为+1;
2.在光掩模图案的被包围区域外的的任意位置,ψ0(x,y)的值为-1;以及
3.在光掩模图案的边界(轮廓)处,ψ0(x,y)的值为0。
然而,期望的是使较为平滑以及大致连续的函数作为掩模函数。在本发明的一个实施方式中,掩模函数是“距离函数”,其中给定点的函数值表示所述点到光掩模图案中的(最近的)区域边界的(有符号)距离(在区域边界内则为正,在区域边界外为负)。这种距离函数具有各种可用属性。例如,在本发明的环境中,距离函数允许计算不仅依赖于哪些点处于区域边界内部或者处于区域边界外部,还依赖于哪些点“接近”边界,其中“接近”在函数上是基于距离。随着函数演进,其慢慢失去其作为距离函数的属性。然而,这可以通过使用“重定距离(re-distancing)”处理来校正,这对本领域普通技术人员是已知的。
接下来,在步骤903中确定初始光掩模图案,其中初始函数ψ0(x,y)的选择是基于所述初始光掩模图案。可用的不同可能选择包括(但不限于):
1.随机。这不太可能是产生最快最小化的选择,但是其非常鲁棒;
2.目标图案。尤其是针对单一铬以及玻璃掩模的情况,选择初始掩模图案以等于目标图案,这看起来执行相当好。这是由于最终掩模图案可能类似于目标图案;
3.应用于目标图案的试探法的结果。例如,OPC算法应用于目标图案,而结果用作初始掩模图案。对于多掩模工艺,一种方法是使用试探法来将所述图案划分成为多个曝光,例如分割水平线以及竖直线;
4.来自相同或者类似问题的先前解的结果。这些可能类似于期望的最终图案;或者
5.来自掩模上其它类似区域的解的结果。如上所述,这些可能产生类似的解。例如,可以想象掩模包括各个掩模区。由于将这种单独区上的图案进行最优化,因而可以将该解用作其它区的初始猜想。由于对于给定区的最优化解依赖于与相邻区的相互作用,因而解可以不相同。然而,来自一个区的最优化解可以用作为另一类似区的良好初始猜想。
在另一实施方式中,发现以下事实,在IC电路设计中存在重复图案,其中某些图案自身可以包括重复图案并且在层次中也是如此,从而首先优化层次(即,通常将最小块称作“标准单元”)底部上的光掩模部分或者区域。然后,可以将这些解的结合用作针对较大块的解的初始猜想(在步骤903中),以及可以将这些较大解的结合用作用于甚至更大块的初始猜想等。在层次处理中应用本发明的教导,这在某些情况下可以允许非常快速的收敛,尤其是当使用局部标准确定收敛时。
存在数个方式以便初始化原始目标光掩模图案。认为已经描述的先前的可能性仅仅是可能替换方案的部分列表。
在一个实施方式中,掩模函数存储为值的阵列,所述值表示在二维栅格上固定点处的函数的值。可选地,一种更为复杂的方案(称作“局部水平集”)仅存储接近边界的值;依赖于图案和分辨率,这可以更显著地有效。表示和存储掩模函数的其它方式对于本领域普通技术人员是显而易见的。
检查“效益”
如在流程图中可见,在步骤904中,算法确定其是否已经收敛于适合的边界集以便提供最优化光掩模。在一个实施方式中,在轮廓演进中的每个步骤之后执行这种检查。可替换地,在演进的两个或者多个步骤之后执行这种检查。
用以确定是否已经找到(在步骤504中)可接受解的一种简单方法是,计算汉密尔顿函数H(ψi)的值,从而得到当前解的“效益”。可替换地,基于所执行迭代的数目来认为一个解是可接受的。有利的是,使用局部定义的标准来停止在已经可接受的解处的光掩模的区中的迭代,以及在尚未达到可接受的效益水平的解的区中继续进行迭代。在此上下文中,“局部”意味着像素的水平,在小的区(例如,相互作用距离)的水平上、在掩模区的分层次的子划分的水平上、或者在其它的可替换水平上。
通过梯度的幅度(在“解空间”中)或者Frechet导数而提供了另一种收敛的指示,随着轮廓解接近最优化状态,导数降低并且接近零。类似地,从一个迭代到另一迭代中的形状的变化,提供了收敛的指示符。尽管已经描述了数个指示符,本领域普通技术人员还应该认识到其它的指示符。
时间演进的轮廓
如上所述,在一个实施方式中,掩模函数以一系列的步骤来演进,其中将经由等式(2)计算的小函数Δn(x,y)添加到其中:
Δ i ( x , y ) = Δt · { δH δψ | ψ = ψ i + R ( ψ i ) } · | ▿ ψ i |
由于解可能是非唯一的,因此示例实施方式的最优化问题在数学上被“错误应用”是普遍的。为了避免在时间演进期间固有的数字不稳定性,采用“正则化”技术,向汉密尔顿函数H中添加小的项R(ψ),以便有助于将时间演进稳定。得到的轮廓将具有较少的“噪声”并且看起来更为平滑。对本领域普通技术人员来说,存在许多添加正则化的方式,包括(但不限于):
1. R ( ψ ) = ▿ · ▿ ψ | ▿ ψ |
均曲率正则化——添加此项倾向于通过将轮廓长度最小化而降低图像中的噪声。
2. R ( ψ ) = ▿ · ▿ ψ | ▿ ψ | - ▿ · ▿ ψ | ▿ ψ | ‾ (具有指示平均值的上划线)平均均曲率——这倾向于将边界长度最小化而同时保持所包围区域的总面积固定,给出对较为平滑轮廓以及包围较大区域的轮廓的优选选择,这是由于相对于多个小区域来说,较大区域每单位面积具有较小的边界。
3. R ( ψ ) = ∂ ∂ x ( ψ x | ψ x | ) + ∂ ∂ y ( ψ y | ψ y | )
Wulf晶体正则化或者Wulf曲率。除了其优选曼哈顿几何以外,类似于曲率。可以使用Wulf正则化的其它变型,优选是曼哈顿几何中的直角边或者45度角。尽管没有确保直线型几何,但是在具有直线型轮廓的掩模设计中使用Wulf晶体正则化是有益的。
4. R ( ψ ) = ∂ ∂ x ( ψ x | ψ x | ) + ∂ ∂ y ( ψ y | ψ y | ) - ∂ ∂ x ( ψ x | ψ x | ) + ∂ ∂ y ( ψ y | ψ y | ) ‾
平均Wulf曲率——平均均曲率和Wulf曲率的结合方面,这显示出针对包围大区域的直线型轮廓的优选选择。
在所有上述正则化表达式中,在一个或者多个分数中的分母可以等于零。为了避免除以零,可以向分母加上一个小常数,或者只要分子和分母两者等于零则将所述表达式设置为等于零。
本领域普通技术人员应该认识到用于正则化的其它可能性。显然,期望的是,正则化的数量或者类型随着轮廓演进而变化,并且由此,引发正则化的可替换方式对于本领域普通技术人员是显而易见的,并且所述引发正则化的可替换方式是本发明的教导的部分。
本发明的示例实施方式的一个有利方面在于,可以将得到的轮廓的其它期望的属性结合到效益函数中。例如,期望的是,光掩模具有较小数目的较多特征,而不是较大数目的较小特征。这不能改进得到的印刷光掩模图案的质量,但是这可以较为简单地或者较为成本有效地制造出与较简单轮廓相对应的光掩模,以及由此作为如此行为的优点而提供商业可用性。可以通过向汉密尔顿函数添加附加项来解决此问题,以便增加此特性的解“效益”(例如,以便相对于具有许多详细细节的轮廓来说,缺少详细细节的轮廓是优选的)。同样,可以认为向汉密尔顿函数添加这种项是添加“正则化”。以此方式,不但使用正则化来改进数字的稳定性,还用以在得到的轮廓中产生期望的属性。关于将汉密尔顿函数的哪些方面考虑为“正则化”项、以及将哪些方面考虑为最优化问题的部分,这是个人偏好以及解释的问题。
在等式(2)中,以及在数个正则化表达式中,需要计算|▽ψ|。其中计算梯度的方式在数字稳定性方面具有重要地位。在示例实施方式中,可以使用对于本领域技术人员已知的用于计算梯度的技术,如Hamilton-Jacobi实质无波动(ENO)方案或者Hamilton-Jacobi加权实质无波动(WENO)方案。可替换地,可以使用如本领域普通技术人员所已知的计算梯度的其它方法。
在类似情况下,可以使用各种数字技术来实现依赖于时间的掩模函数的时间演进。上述一个实施方式使用了称作“一阶龙格-库塔(Runge Kutta)”的方法。可替换地,如果需要还可以使用诸如三阶龙格-库塔方法,这对本领域技术人员来说是显而易见的。
梯度降低方法包括多次迭代;通过在等式(2)中出现的“时间步长”Δt来将作为执行步骤509的部分而选择的函数Δi(x,y)进行缩放。只要时间步长没有大到超过最小值或者导致数字不稳定性,则时间步长越大,系统收敛越快。可以通过选择适当的时间步长来改进算法的收敛速度。
存在选择时间步长的Δt的多种方式。在一个实施方式中,选择足够小的时间步长,以便确保系统的稳定性。在一个可替换实施方式中,由较大的时间步长开始,并且随着算法逼近最小值而逐步降低所述时间步长。在一个可替换实施方式中,局部地以及基于每个光掩模子区域来变化时间步长。其它方法或者适用于特定状况的时间补偿的其它调整方式,这对本领域技术人员来说是已知的。
在另一实施方式中,人们可以使用已知为隐式方法的方法,所述方法可选地具有线性预处理,以便允许较大的时间步长。另外,其它变型对本领域普通技术人员是已知的。
类似于通过降低时间步长来精细化时间颗粒度,在本发明的一个实施方式中,随着算法逼近收敛,以依赖于时间的方式来调整光掩模上的点的栅格的放置或者颗粒度。通过在较大栅格上执行初始迭代,以及由于期望较大精度而随时间增加栅格点的数目,更为快速地获得了一种解。其它的这种“多-栅格”技术对本领域技术人员是已知的。其它可能性是使用适应性网眼技术,由此栅格大小局部变化。
对于时间演进处理可能的是,轮廓到达这样的配置,对于一个解来说在“解-空间”中不存在从该位置处的“下山”路径,或者在该位置处的这种路径极长或者是迂回的。在此状态下,收敛需要大量迭代。另外,算法可以在局部(不是全局)最小值处出现“陷入”。用于处理这种情况的某些示例技术如下:
1.改变汉密尔顿函数。可以对汉密尔顿函数进行各种修改以便跨接到解空间中的局部最小值;例如,有时可以出于此目的而使用正则化项;
2.添加随机泡。向掩模函数添加随机噪声将产生新的区域,然后这些新的区域可以时间演进至解。可以随机有目的地添加噪声(即,失真),或者其可以在特定区域(例如,已知的问题目标几何、或者不能自身收敛至可接受误差的区域等)中瞄准;
3.启发式泡。代替添加随机噪声的是,添加特定修改特征,这是从通常帮助系统收敛的经验而已知的;例如,如果特定区看起来演进太慢,则可以向该区中的水平集函数添加一个常数,由此使得该区中的所有特征变得“较大”;
4.上山步骤。通过执行上山移动,或者是随机地或者是特定地在适当位置处,算法可避免陷入局部最小值并且朝向全局最小值前进。适用于本发明的算法的来自离散最优化或者模拟的退火的类似技术,这对本领域普通技术人员是显而易见的。
先前示例技术的可替换方案对本领域普通技术人员是显而易见的。
投影操作符
在许多情况下,期望的是将解约束到直线型轮廓,例如改进可制造型或者降低相应掩模的成本。本发明通过使用投影操作符而加强此约束。
通过考虑所有可能轮廓的解空间、以及认识到直线型轮廓的集合是解空间的子空间,来理解投影操作符。投影操作符将轮廓的演进约束至直线型轮廓的子空间内。
投影操作符获得可能曲线轮廓的集合,并且用直线型轮廓集合对其进行大致模拟。在一个实施方式中,选择固定的栅格大小(可以对应于制造能力)以及将每个轮廓“四舍五入”至最为接近的栅格。例如,这可以通过以下来实现:如果在单一栅格单元内的多数点是正的,则将水平集函数设置为正;如果在单一栅格单元内的多数点是负的,则将其设置为负;以及将沿边界的点设置为零。投影操作符的一个可替换实施方式可以包括以水平方向和竖直方向来扫描这m个函数,查找具有大致常数值的展宽。可以将这种展宽重置为沿伸缩长度的平均值,由此使得轮廓伸直。投影操作符的可替换实现对于本领域普通技术人员是显而易见的。
在本发明的一个实施方式中,在每个时间步长迭代之后将投影操作符应用于掩模函数。以此方式,轮廓总是约束为直线型。在可替换搜索方式中,在投影操作符的应用之间,允许轮廓针对多时间步长来演进,在此情况下轮廓可以暂时偏离严格的直线型形式。投影频率可以依赖于因素,诸如计算的速度、投影操作符的选择(或者实现)或者曲线时间演进的方式。
在本发明的可替换实施方式中,可将投影操作符应用于增量函数Δi(x,y),从而直线型掩模保持直线型。在另一实施方式中,应用于增量函数Δi(x,y)的投影操作符可考虑当前的掩模函数,以便保持固定的直线型部分的数目。
还可以使用投影操作符来考虑针对光掩模的可制造性所期望的其它约束。例如,在某些情况下,期望的是,组成直线型光掩模的划分跨过光掩模排成一行,以便如果以成形的电子束写入掩模时,发射的总数保持最小(“有利于划分地(Fracture friendly)”)。在本发明的一个实施方式中,投影操作符可确定直线型轮廓集合以便最小化发射的数目。这可以通过使用上述扫描方法来实现,通过同时考虑特征两侧、以及在所述特征的两侧开始和结束划分,从而使得它们是排列成行的。在本发明的其它实施方式中,投影操作符可针对最小间距或者大小约束而校正。
通过将以下因素结合至效益函数,本发明的其它实施方式可以结合直线型掩模、破裂友好的、最小间隔、以及最小尺寸的一个或者多个目标。
效益函数/汉密尔顿函数
如所示出,通过称作汉密尔顿函数的效益函数来确定最优化问题和结构生成的轮廓。存在多个可替换方式以便在本发明的范围内选择效益函数。在一个实施方式中,汉密尔顿函数包括以下两部分的和:
1.第一部分,基于掩模轮廓其自身;以及
2.第二部分,基于在晶片或者管芯上打印的对应于掩模轮廓的光掩模的得到的图案。
汉密尔顿函数的第一部分包括一个或者多个项,从而得到的最优化的光掩模图案具有从制造的角度来看所期望的属性;例如,上述的“正则化”项可以看作是汉密尔顿函数的元素,其表现了针对与更为容易制造的掩模相对应的轮廓的优选选项。
汉密尔顿函数的第二部分考虑了光刻法工艺的模型,即一种用于计算从特定掩模图案(“前向模型”)得到的晶片图案的方法。下文描述了针对本发明的一个实施方式的示例前向模型。
在典型光刻法工艺中,光通过光掩模以及透镜,以及然后落到晶片上,在此处曝光光刻胶。为了均匀地照射,落到光刻胶上的电场是大致恒定的。掩模的透明区域通过光,而不透明区域阻挡光。遵循以下规则,刚好位于掩模之后的电场如下所示:
M ( r → ) = 0 chrome 1 glass
其中, r → = ( x , y ) 是(x,y)平面上的点。与本发明的一个实施方式相对应,其中水平集函数是正的区域指示玻璃,而其中水平集函数是负的区域指示铬(在边界或者轮廓处具有等于零的水平集),先前表达式可以写为水平集函数ψ(x,y)的函数的形式,如下所示:
M ( r → ) = h ^ ( ψ ( x , y ) )
其中,
Figure S2006800399528D00233
是Heaviside函数:
h ^ ( x ) = 1 x &GreaterEqual; 0 0 x < 0
由于将理想衍射受限的透镜用作低通滤波器,这将用作在典型光刻法工艺中使用的实际(相当好但并不非常完美)透镜的良好近似。数学地,则透镜的动作如下所示:
其中,
Figure S2006800399528D00236
表示晶片上的电场分布,f表示傅立叶变换,f1表示逆傅立叶变换,以及
Figure S2006800399528D00237
表示瞳孔截断(pupil cutoff)函数,其对于大于由透镜的数值孔径所确定的阈值的频率是零,以及另一个为:
其中,kx、ky以及kmax表示傅立叶空间中的频率坐标。
最终,确定在晶片上的光刻胶中的图像。在一个实施方式中,使用“阈值抗蚀剂”来建模此过程:在强度大于给定阈值(将其成为Ith)的区域中,考虑将抗蚀剂曝光;在低于阈值的区域中,考虑不将抗蚀剂曝光。数学地,通过Heaviside函数在此处理:
I ( r &RightArrow; ) = h ^ ( | A ( r &RightArrow; ) | 2 - I th )
结合上文,发现:
Figure S2006800399528D002310
在刚刚讨论的模型的上下文中,这是一个自包含公式,其显示了与由水平集函数所定义的光掩模图案相对应的晶片图案。应该强调,由于其相对简单,通过示例方式的选择,在本发明的范围中可以使用的前向模型仅仅是一种特殊的可能。更为复杂的前向模型也落入本发明的范围。借助于示例但并非限制性地,可以考虑这样的模型:多曝光、各种光照条件(例如,轴外、不连贯的)、与光掩模相互作用的光场的实际电磁、除了玻璃上的铬以外的各种类型的光掩模(例如,衰减的相移、强相移、其它材料等)、光场的偏振、透镜的实际属性(诸如,失常)、以及抗蚀剂的更为复杂的模型(例如,抗蚀剂中的扩散),诸如可变阈值模型、集总参数模型或者全部三维第一原则模型。模型还可以考虑电磁场的矢量特性,例如其通过系统而传播,包括进入抗蚀剂堆叠中,或者甚至考虑到麦氏方程,这是由于其适用于光刻法工艺的不同部分,包括光掩模或者抗蚀剂堆叠。前向模型可以包括使用通过将模型校准至先前已经在晶片上印刷并且测量的校准图案的集合而确定的参数。在某些实施方式中,前向模型可以考虑沉浸光刻法的影响。
由于逆算法需要前向算法的多个迭代,后者在示例实施方式中可以有效地实现。然而,作为一般规则,更为复杂的模型容易较简单模型运行得更慢。本发明的一个实施方式通过由较为简单的模型开始以及然后随着处理的收敛而逐步引入更为复杂的模型而补偿在模型速度中的这种差异,由此推迟整体复杂性直到最后的迭代。在可替换实施方式中,在某些迭代期间使用更为精确的模型,以及然后使用较为简单的模型来计算与先前步骤的差异。在一个可替换实施方式中,在不同时间步骤,在不同模型之间进行切换,这获得平均的效果。例如,这表现出一种有效方式以便探索误差参数的空间。其它变型对于本领域普通技术人员是显而易见的。
在一个实施方式中,汉密尔顿函数将来自前向模型的得到的图案与目标图案进行比较以便确定效益的数。例如,L2范数可以如下计算:
         H(ψ(x,y))=|F(ψ(x,y))-T(x,y)|2
其中T(x,y)表示目标图案。L2范数是两个图案的非重叠区域的表示。随着两个图案收敛,此效益逼近零。确定效益数的其它示例如下:
1.其它范数。这些范数可以包括差的立方或者其它多项式函数;
2.水平集差。通过将得到的图案表示为水平集函数,人们可以计算在边界距离、边界长度之上积分的距离之间的距离;
3.局部变化。当考虑来自目标图案的变化时,图像的不同部分可以具有不同的重要度。例如,通常栅极需要比互连更为精确地印刷。在一个实施方式中,权重函数向具有较高精度要求的设计部分中的非重叠区指定较大的权重。相关的方法对于曲线之间的距离测量、或者对其它量度给出了优先权;或者
4.语义学。认为特定类型的误差比其它类型的误差更重要。例如,当考虑到设计意图而并不仅仅考虑设计的几何形状时,在小的容差以内,偏离目标图案的变化是不相关的,而在某些容差以外的变化则是致命的。在一个实施方式中,使用局部权重来补偿误差。例如,考虑必须在特定容差内印刷的栅极。则权重因子针对容差以外的点变大。在容差以内,权重因子较小,以及可选地仍然非零(从而,算法仍然优选较为接近目标的设计)。将设计语义学结合到效益函数之中的其它方式,这对本领域普通技术人员是显而易见的。
汉密尔顿函数的一个期望属性是对于表示对在掩模制造或者光刻法工艺中的误差为鲁棒的掩模的轮廓的优选。这种鲁棒性称为“工艺宽容度”。误差很可能是最重要的,以及由此误差很可能考虑为包括曝光和散焦。然而,工艺宽容度的其它方面,诸如掩模缺点、对准误差、透镜像差等,都是考虑的候选。可以以各种方式将工艺宽容度与效益函数相结合。在一个实施方式中,汉密尔顿函数包括项的和,每个项表示在误差条件集合下所印刷图像的质量。在一个可替换实施方式中,汉密尔顿函数是在特定容差以内印刷图案的概率指示。将工艺宽容度与效益函数相结合的其它方式对于本领域普通技术人员是显而易见的。在示例实施方式中,效益函数允许机动性,以便确定哪些类型的误差是重要的以及所述误差如何重要。例如,当完美聚焦时,可以完美地印刷一个掩模,但是当焦距未对准时,则快速退化,而另一掩模可以较少精确地聚焦印刷,但是可以更为鲁棒地防止散焦误差。由此,在示例实施方式中,效益函数允许机动性,以便优选优于另一掩模的一个掩模。
再次,应该强调,汉密尔顿函数可考虑任何期望的光掩模属性以及光刻法工艺的任意模型。优选地,根据实际制造工艺的经验测量来向汉密尔顿函数提供调整。上述汉密尔顿函数以及如上所述的变化仅仅是借助于示例而并非限制。同样上述光刻法工艺仅仅是借助于示例;本发明的教导可以适用于可以由汉密尔顿函数建模的任何光刻法工艺。
输出
图9所示出的流程图以得到的轮廓的输出结束,所述轮廓表示适用于一种潜在光刻法应用的掩模,以及与适合“图案I/O格式”所规定的约束和规范相一致。
除了与最优化轮廓相对应的光掩模图案以外的其它输出是希望的。在一个实施方式中,输出汉密尔顿函数的最终值以指示解的效益,可选地解译为得到的工艺将在规范以内印刷的概率估计。其它输出的示例包括各种工艺宽容度参数(例如,散焦范围)、光掩模其自身的属性(例如,测量成本估计、相位指定、特征数等)、或者其它输出,这对本领域普通技术人员是显而易见的。
通用化
上述讨论频繁地考虑表示单一掩模上的轮廓的单一掩模函数,这些轮廓的内部与铬区域相对应,而外部与玻璃区域相对应。然而,在许多情况下,期望的是找到分割相同掩模上的多个不同类型的区域(例如,铬、玻璃以及相移玻璃)的轮廓,和/或可替换地或者同时找到与多掩模上的区域边界相对应的轮廓,以便在多曝光工艺中使用。这两种通用化都落入本发明的教导之中。
为允许多掩模,满足需要的是同时最优化多掩模函数,从上述讨论直接得出一种算法:每个掩模函数根据类似于(2)的方程来进行时间演进,不同之处在于在右手侧的项现在依赖于多掩模函数而不是仅仅依赖一个。
可以容易地以类似方式允许多种类型的区域,以便达到处理多掩模的程度,即具有多掩模函数。然而,在相同掩模上具有多种类型的区域,必须防止区域重叠。考虑这样的示例,其中玻璃区域与其中第一函数为正的那些区域相对应,相移区域与其中第二函数为正的那些区域相对应,以及铬区域与其中两个函数均为负的那些区域相对应。禁止相同区域位于透明玻璃以及相移玻璃两者的可能性,增加一种约束,所述约束防止两个函数在相同区中为正,例如,通过向汉密尔顿函数添加“惩罚”项,只要两个函数重叠,则惩罚项采用很大的值。由此,随着系统时间演进,轮廓移动以便保持非重叠。应该理解,可以以细微的方式来将此概念扩展至多于两个水平集以及多于三种类型的区域。可替换地,可以允许两个函数自由演进,以及将过程指定至其中的一个,例如,如果两者均为正,则定义区域为透明玻璃。表示多区域的其它方式对本领域技术人员是显而易见的,并且落入本发明的范围之中。
类似地,尽管上述讨论通常涉及仅包括铬和玻璃区域的掩模,这些类型的区域不应认为是对本发明的应用性的限制,本发明适用于任意数目的不同类型的区域。通过示例方式(但不是限制),相移区域、覆盖有铬以外其它材料的区域(例如,在衰减的相移掩模中)、以及半色调区域,这些都在本发明的教导之中。
在另一实施方式中,函数可用以表示光照光学器件的图案;如在上文多掩模的讨论中,可以与表示一个或者多个光掩模的那些同时来将此函数最优化。在又一实施方式中,以类似方式,可以与一个或者多个掩模函数同时来将汉密尔顿函数的各种参数最优化。
子栅格分辨率
在一个示例实施方式中,掩模函数可以具有以下有用的属性:
当使用像素化表示来在计算机中存储的函数时,即,作为从(x,y)平面中的栅格所采样的值的矩阵,像素化的表示可以以实质上小于像素大小的分辨率来指定轮廓的精确定位。例如,如果使用32位浮点值来在计算机中存储像素,则不是像素大小。这仅仅是一个示例,而也可以由其它分辨率来指定掩模函数的值,诸如64位值、8位值或者其它值,所述值可以不同于由像素提供的分辨率。
当通过值的离散集合来表示掩模函数时,最普通的(但不必)是在像素栅格中设置,通常是如下情况:定义掩模图案的区域之间的边界的轮廓不能恰好落在像素边界上。例如,如果选择掩模函数为具有定义边界的零水平集的水平集函数,则针对由特定像素所采样的任何离散的x,y,不会出现值ψ0(x,y)=0。在此表示中,一种可能的有益方案方法是将像素值初始化,以便其指示具有子像素分辨率的边界的位置。例如,如果掩模函数是距离函数,则可将接近轮廓的像素的值设置为到达与初始图案相对应的轮廓的有符号距离。
类似地,当确定最终掩模函数时,通常期望的是以图案I/O格式来输出结果,所述图案I/O格式不同于掩模函数的内部表示。由此,本发明的一个方面在于,当以图案I/O格式描述时,输出图案可以具有较高的精度或者分辨率,其中所述精度或者分辨率比如果所使用内部表示时的像素密度或者栅格大小、或者在更为复杂的表示中的采样点之间的距离更细。通常,将输出掩模从掩模函数表示转换成为具有子像素分辨率的图案I/O格式,这可以通过探索以下事实而实现:通常,掩模函数表示是每像素利用数个位,以及这些位指定了像素以内的轮廓的精确位置,由此允许通过具有子像素分辨率的图案I/O格式来指定图案轮廓。
以与上文类似的方式,还可以在具有如此精度或者分辨率的基于像素的栅格上表示目标图案,其中所述精度或者分辨率好于像素密度或者栅格大小。这在以有效方式计算效益函数中是有用的。
当使用多分辨率或者多栅格技术时、或者在适应性网眼方法中、或者在局部水平集方法中、或者实际上在表示具有离散值集合的连续掩模函数的任何其它方法中,也可以应用上述方法,即使所述掩模函数没有通过简单像素映射来表示。因此,可替换实施方式(诸如,解译和表示掩模函数的可替换掩模函数以及方式)也落入本发明的范围。
图案I/O格式可以用以读入目标、输出最终光掩模、或者输入或输出掩模、晶片、目标的其它图案和图像、关于光掩模(诸如,栅极区域或者其它特定区域的标记)的启发式信息、可以在迭代过程中用作初始光掩模的初始猜想、或者其它2维图案信息。在本发明的一个实施方式中,可以基于称作矢量或者多边形类型的描述来使用图案I/O格式,对此意味着图案的描述约束各种线的开始点和结束点、或者多边形的顶点、或者对不是基于像素所固有的图案的形状进行描述的其它方式。GDSII和Oasis是这种多边形类型描述的示例。本发明的一个示例实施方式的方面在于,可以将基于输入或者输出的这种图案来从多边形类型表示转换成为基于像素或者区的表示,或者从基于像素或者区的表示转换成为多边形类型表示。这种表示可以包括基于二进制像素的表示,或者可以是如先前所述的掩模函数表示,其中例如可以包括距离函数表示。当基于区的表示使用像素大小或者栅格大小时,在分辨率细于该基于区的表示的像素大小或栅格大小处进行这种格式之间的转换。
并行系统
通常的情况是,从具有大量轮廓的意义上来说,光掩模很大。可替换地,在像素化表示中,这种光掩模包括大量像素。在单CPU上一次计算针对整个光掩模的最优化设计,这对计算的要求很高。在此条件下,有益的是将图案划分成为单独的区域,并且在机器集群中的单独计算节点上处理每个区域。
存在多种方式可以实现这种并行化。在一个方法中,将平面划分成分非重叠的区域,在特定的计算节点上解决每个区域,以及每个独立节点向正在处理邻近区域的那些节点传递关于其正在处理的区域以及其边界条件的信息。此方法的一个优点在于,可以同时使用大量处理器以便解决在大的区之上的最优化掩模函数,以此方式,所获得的解将与在单一节点上实现所有工作的情况下相同。
在一个可替换方法中,将平面划分成为单独的非重叠区域,但是当在独立的计算节点上处理这种区域时,机器随着环绕给定区域固定厚度的晕圈区域一起计算针对每个区域的最优化掩模。由于光掩模的一个区域对于相同掩模的另一区域的影响随距离而降低,只要晕圈厚度足够大,则在此方法中找到的掩模函数与当在单一计算节点上处理整个掩模时几乎相同。图12示出了划分成为块阵列1200的光掩模的部分,其中包括块1202。块1202将具有指定用于集成电路或者其它工件的部分的目标图案。块的大小可以依赖于设计特征,包括重复结构的大小、提供处理效率的边界或者其它标准。在某些实施方式中,还可以指定固定的块大小。例如,在一个示例实施方式中,图12中的块的范围可以是从1微米×1微米至10微米×10微米,或者其中包含的任意范围。这仅仅是示例并且还可以使用其它块大小。如通过1204处的虚线所示,在块1202周围可以定义晕圈。此晕圈与邻近块1206、1208、1210、1212、1214、1216以及1220相重叠。晕圈延伸超过块1204,沿每个方向超出距离d。可以基于工艺参数以及来自邻近区域的期望干扰水平、以及计算复杂性(由于晕圈增加了所处理的每个区域的大小),来选择所述距离。由于晕圈的大小可以变化,在某些实施方式中,其范围可以从0.5微米至2微米或者其中包含的任意范围、或者从所述块的宽度或高度的5%至10%或者其中包含的任意范围。这仅仅是示例,以及可以使用其它的晕圈大小。
本方法的一个优点在于,可以完全独立于所有其它区域而处理每个区域及其晕圈。在另一方法中,将整个光掩模划分成为如上具有晕圈的区域,但是计算机分析这种区域以便发现重复。如果包括晕圈的目标图案的特定区域与对于目标图案的另一部分中的类似区域是同样的,则此区域仅需要处理一次,这是由于两个实例将产生相同结果。由于通常出现单一图案在整个目标设计中多次重复,则这种消除重复的方法是有利的。根据计算机架构,用以并行化处理问题的其它方法也是可行的。
在本发明的一个实施方式中,作为对计算机系统的输入集合中的一个而提供目标图案。可以通过网络来发送设计,或者可以在磁带或者在各种可移除存储介质上提供设计。计算机系统通过分析设计以及将其分割成为大量独立小块而开始。在一个实施方式中,设计存储在文件系统中,以及对所述文件系统可访问的一个或者多个服务器将执行此步骤。可替换地,文件系统对于一个或者多个服务器(诸如,本地硬驱动)可以是本地的。由于存在将设计划分成为小块的多种方式,对设计的裁切可以基于各种标准。小块可以是正方形、或者矩形、或者任意其它形状。对于小块,优选的是非重叠(不包括晕圈,如果包括晕圈则由于定义则存在重叠),这是有益的,但是这些小块不必需是非重叠的。在某些实施方式中,可以以不同于原始输入格式的中间格式来存储设计。在一个实施方式中,在处理之前,设计是“变平的”,由此将设计分割成为小块不必考虑层次。在另一实施方式中,预定义划分以便指导裁切处理,以及随着读取输入文件,根据多边形应该遵守的划分而将多边形划分成为文件。用于读取、分析以及将设计分割成为小块的其它方法可以落入本发明的范围。
一旦已经将设计分割成为多个小块,则可以将每个小块发送至一个或者多个服务器,所述服务器设计以处理小块以及解决针对每个小块的最优化光掩模。第二服务器集合可以包括服务器的原始集合,或者可以是不同的机器或者机器的集合。数据的传输可以包括通过以下方法来发送数据:以太网、Myrinet或者Infiniband或者两个或者多个计算机可以交换信息的任何其它方法。可替换地,作为将数据从原始机器集合传送至第二机器集合的一种方式,分开的小块可以写入文件系统,所述文件系统可由一个或者多个服务器独立访问。描述独立小块的数据可以是基于图案I/O格式或者其它多边形类型表示,或者可以是基于像素或者栅格表示、或者基于区的表示,诸如掩模函数或者位图。可选地,可以包括附加信息,诸如处理信息,例如波长或者数值孔径。在本发明的一个实施方式中,独立的小块包括晕圈,从而可以独立地对小块进行处理。在一个可替换实施方式中,某些小块可以不包括晕圈,或者可以包括仅关于某些边缘的晕圈,以及在小块之间共享信息,如前所述,以便解决没有由晕圈衬垫的边缘的边界问题。可以使用这样的实现,例如,在共享存储器的多CPU机器上,其中可以容易并且有效地将信息在同步运行进程之间传送。
将需要完成的处理的量最小化,这是有利的,在某些实施方式中,可选地系统可以在将要处理的设计的小块之中确定是否存在重复。一种可以实现的方式在于,针对每个小块计算签名,然后寻找具有同一签名的小块。另一方法是,直接简单地比较小块。在一个实施方式中,在将小块发送用于处理之前,在第一步骤期间分析此重复。可替换地,在第二步骤中,在服务器开始处理小块之前,可以查看先前是否已经处理了同一小块。其它方法也是可以的并且落入本发明的范围之中。因为关于如何裁切小块的决定将影响重复的量,在某些实施方式中,期望的是在裁切步骤期间考虑设计中的可能重复。例如,如果设计是基于单元的,则可以选择小块以便与独立单元相对应,这可能出现在一个或者多个位置。另一可能性在于,可以选择与这种单元的组相对应的矩形。另一实施方式沿一个方向将设计任意裁切成为条带,但是在每个条带中,选择与设计的单元边界或者其它元素对准的矩形小块,以此方式来将发现重复的可能性最大化。
一旦处理了所有独立小块,则将结果传送至第三服务器集合,所述第三服务器集合可以是一个或者多个服务器、以及可以包括来自第一和第二集合的一个或者多个服务器、或者不包括来自第一和第二集合的一个或者多个服务器。如上所述,当将小块最初发送至第二机器集合,通过各种方式以及以各种格式来进行数据传送。最终,第三机器集合将小块装配回到一起成为完整的光掩模设计。在一个实施方式中,将最优化光掩模的独立小块结合成为单一的GDSII文件。在其它实施方式中,输出可以是多个文件,并且在某些实施方式中针对每个小块可以具有单独的文件。
可能的是,可以在一个或者多个机器上并行执行上述概括的一个或者多个步骤;例如,当处理输入设计时,可以将独立的小块发送至计算最优化掩模的另一机器,以及然后将小块转发至开始将块装配到一起的第三机器。可以认为这种方法是管线化架构。类似地,可以在一个或者多个服务器上实现一个或者多个步骤的部分,同时在其它服务器执行一个或者多个其它步骤。在某些实施方式中,可以在单一机器或者在单一的多处理器机器(即,具有多CPU的单一机器)上执行所有步骤。
在上文的讨论中,上述服务器可以是大量不同计算设备中的任意一种。一种可能性是标准刀片服务器;另一可能性是标准的1U支架安装的服务器、或者各种尺寸的支架安装的服务器,或者另一可能性是标准桌面机器或者支撑塔的集群。在一个实施方式中,可以在通用微处理器(也称作GPU或者CPU)上进行处理。例如,可以使用因特尔公司的奔腾或者AMD公司的速龙处理器。在其它实施方式中,可以在FPGA、DSP或者ASIC上进行计算。在一个实施方式中,可以在GPU上进行某些工作,以及可以在另一设备上进行所述工作的其它部分。例如,GPU可以用以处理多边形、传送数据、读写文件、转换格式以及各种常规任务,以及FPGA可以用以执行单独的最优化步骤。可能的是,可以指定FPGA或者ASIC以执行关于掩模函数的操作。一个方法是,在处理用以表示掩模函数的像素值的大阵列中,使用FPGA、DSP或者ASIC以进行内在并行化。例如,服务器可以包括标准GPU以及附接至服务器的特殊加速器板,其包括一个或者多个FPGA、DSP或者ASIC以及本地的板上存储器。可以将设计的小块传递至GPU用于处理,所述GPU可以如上所述地执行不同性质的任务,以及然后将阵列传送至包含专用处理器的板,所述板然后执行关于掩模函数的计算。然后,将得到的最优化掩模函数传送回到母板的主存储器,允许GPU来执行任何附加的后处理,和/或将结果传送回到其它服务器或者服务器的集合用于装配,如上述第三步骤中的那样。也可能是,设计系统以便GPU对加速器板的存储器以及其自身存储器可访问,以及在某些实施方式中,GPU可以执行关于掩模函数的操作,其间穿插有由FPGA、DSP或者ASIC来执行的工作。
示例系统架构
图13是示出根据本发明的一个实施方式的示例计算机系统的架构的框图,所述架构由1300来泛指。如图13的示例性实施方式中所示出,计算机系统可以包括用于处理指令的处理器1302,诸如因特尔奔腾TM处理器、AMD速龙TM处理器或者其它处理器。处理器1302通过处理器总线1306连接至芯片集1304。芯片集1304通过存储器总线1310而连接至随机存取存储器(RAM)1308,以及通过处理器1302来管理对RAM 1308的访问。芯片集还连接至外围总线1312。外围总线例如可以是PCI、PCI-X、PCI Express或者其它外围总线。在某些实施方式中,加速器卡1318可以连接至外围总线1312。加速器卡1318可以包括ASIC或者用于加速处理的其它硬件1320。加速器卡1318还可以包括板上存储器1322。计算机系统1300还包括连接至外围总线的一个或者多个网络接口卡(NIC),用于对网络提供网络接口。诸如盘阵列或者其它非易失性存储的外部存储装置1316也连接至外围总线1312。
用于执行上述方法的任意一个的软件指令可以存储在存储器1308、存储装置1316、板上存储器1322以及其它计算机可读介质中,并且可以通过处理器1302或者加速器卡1318来处理。上述目标图案、光掩模图案或者块或部分的表示、以及其它输入和输出也存储在存储器1308、存储装置1316、板上存储器1322以及其它计算机可读介质中,并且可以通过处理器1302或者加速器卡1318来处理。这些项可以存储在数据结构或者文件中,或者以其它形式存储。在某些实施方式中,如上所述,可以在阵列中存储光掩模图案的函数性表示或者光掩模图案的部分,其中在阵列中存储跨过图案区的栅格点处的函数值。在某些实施方式中,处理器可以处理采用多边形表示(诸如,GDSII或者Oasis)的光掩模图案或者光掩模图案的部分,以及将其转换至使用栅格或者像素表示的函数性表示。然后,将其提供至板上存储器1322并且由加速器卡1318来处理。加速器卡1318可以包括有效处理函数性表示、效益函数以及变化函数以及可用于如上所述迭代型地调整图案的专用硬件1320。
图14是示出根据本发明一个实施方式的网络化计算机系统的框图。在一个示例实施方式中,计算机1402a-1402i的每个可以具有如图13所示的架构或者其它架构,并且可以用于多进程处理以便有效处理大的集成电路设计。系统可以具有由计算机共享的网络文件系统1412(与图13中的外部存储1316相对应)。应该理解,还可以使用其它架构用于如上所述的多进程处理,包括具有多个处理器的计算机、具有多个刀片的服务器或者其它架构。一个计算机或者一组计算机1404可以接收用于一层集成电路器件的目标图案。这一组计算机1404可以将目标图案从层次结构转换至平面格式,以及将目标图案划分成为块,其中可以包括与图12相关联的上述晕圈。
在示例实施方式中,目标图案可以用于具有多于1千万个栅极的复杂集成电路设计。在一个示例实施方式中,第一组计算机可以将此图案划分成为1百万或者更多的块用于处理。在一个示例实施方式中,设计可以包括最小化设计特征尺寸或者90nm、65nm、45nm或者更小的制程。用于由计算机1404生成的块的目标图案可以存储在文件系统1412中的文件中,或者存储在存储器中的数据结构中,并且可以通过网络1410来由其它计算机集合1406和1408访问。第一计算机集合1404可以将块分配至文件系统1412中的队列,以便由单独计算机1402d、1402e以及1402f来处理。以此方式,可以平衡负载并且可以使用多进程处理来有效地处理大的复杂的设计。
第二计算机集合1406可以访问用于处理的块。每个计算机1402d、1402e以及1402f可以从其队列取回块并且处理所述块。第二计算机集合可以针对图案选择函数性或者位图表示,以及通过评估效益函数来迭代地调整所述图案。可以增加变化值以调整图案,直到如上所述地生成最终输出图案。用于块的最终图案可以存储在文件系统1412中并且由第三计算机集合1408来访问。
第三计算机集合1408可以将块组装以生成整个掩模图案或者其中的部分,以及将掩模图案转换成为多边形表示,诸如GDSII或者Oasis或者其它格式。得到的掩模图案可以作为文件系统1412中的文件来保存。
示例掩模以及集成电路
可以使用通过上述系统和方法生成的掩模图案来制造光掩模。根据掩模图案,可以在光掩模上形成铬、清晰的或者相移区域。例如,图15、图16、图17、图18B、图18C以及图18D、图19B、图19C、图19D、图19E、图19F以及图20B、图20C、图20D以及图20E示出了根据本发明的示例实施方式的系统和方法所生成的样本图案。在这些附图中,示例图案与整个光掩模的单独的块相对应,然而应该理解,可以将所述块结合成为将在制造光掩模中使用的整体图案。
图15示出了用于块1500的示例图案。此块大约是一微米见方。此块具有目标图案1502,所述目标图案1502是100nm×454nm的隔离的矩形。工艺参数(作为输入提供)包括193nm的光照波长、0.85的数值孔径、光照的点光源、衰减的相移掩模。图案旨在用于65nm生成,但并不局限于通过65nm设计规则来使用。通过根据示例实施方式的系统和方法来生成掩模图案1504。掩模图案1504具有在矩形目标图案的每个末端突出的两个圆形突出(宽于目标图案)、中部的宽广区域(宽于目标图案)、以及在所述宽广中部区域以及每个端的圆形突出之间的狭窄区域(窄于目标区域)。
图16示出了用于块1600的示例图案。此块的目标图案具有各种接触1602。工艺参数(作为输入提供)是193nm的光照波长、大约0.8的数值孔径、光照的环形光源以及衰减的相移掩模。图16还示出了可以在如上所述的处理目标图案中使用的栅格点。如图16中所示,可以生成用于隔离接触的具有钻石形(其中顶部/底部点以及侧部点宽于目标接触图案)1604的掩模图案。在与对称形状1606接触之上,可以在掩模图案中形成彼此接近的接触,所述对称图案1606是泪滴形状,在一侧比另一侧延伸得更长。
图17示出了用于块1700的示例图案。此块的目标图案具有平行的矩形区域1702,所述矩形区域1702以如1704处所示的角度转变方向。工艺参数(作为输入提供)是193nm的光照波长、大约0.8的数值孔径、光照的环形光源、衰减的相移掩模。图案旨在用于65nm生成,但是不限于通过65nm设计规则使用。阴影区域1706示出了掩模图案。如图17所示,如在1708处所示,针对每个矩形区域的掩模图案可替换地向内弯曲(在目标图案以内),然后如图1710处所示,向外弯曲(在目标图案外部)。在此示例中的类似波浪的结构具有周期性特征,这依赖于光源的有效波长。在平行矩形区域之间(当基于一个目标图案的掩模图案的区域向外突出时,基于邻近目标图案的掩模图案倾向于向内弯曲),这些区域或多或少地有些偏移。在目标图案以一个角度转变方向的拐角处,如1712处所示,在每个转向的外部拐角处存在较大的向外突出。根据环绕的图案以及制程(在邻近特征之间的空间),图案有所变化从而每个弯曲都轻微地不同。
图18A示出了一个示例目标图案1800。图18B、图18C以及图18D示出了使用不同工艺参数的用于此目标图案的样本掩模图案。尽管目标图案1800示出为针对每个处理相同,应该理解,针对每个工艺,目标图案可以缩放至不同的大小。图18B示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、130nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图18C示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、85nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图18D示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、45nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。
图19A示出了一个示例目标图案1900。图19B、图19C、图19D、图19E以及图19F示出了使用不同工艺参数的用于此目标图案的样本掩模图案。尽管目标图案1900示出为针对每个处理相同,应该理解,针对每个工艺,目标图案可以缩放至不同的大小。图19B示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、130nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图19C示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、100nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图19D示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、80nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图19E示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、65nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图19F示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、45nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。
图20A示出了一个示例目标图案2000。图20B、图20C、图20D以及图19E示出了使用不同工艺参数的用于此目标图案的样本掩模图案。尽管目标图案2000示出为针对每个处理相同,应该理解,针对每个工艺,目标图案可以缩放至不同的大小。图20B示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、130nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图20C示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、90nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图20D示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、65nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。图20E示出了这样的掩模图案,所述掩模图案是针对二元铬和玻璃掩模、45nm设计规则、193nm光照波长、0.7的数值孔径以及环形光照。
在示例实施方式中,使用具有由上述方法的任意一个确定的图案的掩模,光掩模可以用以制造集成电路器件或者其它工件。这些掩模可以在光刻法设备中使用以便在半导体晶片或者其它工件上的光刻胶中显影图案。则处理设备可以基于这种区域用于蚀刻、掺杂部署材料以形成集成电路或者其它结构。光刻胶可以是条带的,以及对于具有附加层的光掩模,可以通过重复上述步骤来形成附加层。然后,已处理的晶片或者其它工件可以划线为管芯,以及封装以形成集成电路器件或者其它器件。
其它变型
本发明的方面可以适用于解决最优化灰度或者连续色调光掩模的问题。本发明的方面还可以应用于无掩模光学光刻法,以及光学掩模写入器。在这些实施方式中,代替解决“最优化光掩模”的是,可以仅仅解决最优化图案的问题,所述最优化图案将在控制无掩模光学光刻法工具或者光学掩模写入工具中使用。
可以独立地应用上文的说明以及示例实施方式中的各种元件和步骤,或者将其进行各种结合。例如,可以结合上述方面来使用找寻最优化光掩模的各种方法,包括但不限于如下方法:Nashold投影、Fienap相位恢复算法的变型、去卷积的相干近似、局部变型、下降搜索、线性和非线性编程、像素翻转、二次最优化、线性和非线性最小平方、Gerchberg-Saxton算法、模拟的退火、遗传算法。由此,可以在本发明的范围中应用适用于这种方法的本发明的方面。例如,可以结合以下的上述一个或者多个方面来使用这些方法:上述效益函数的任意一个、上述图案表示以及相关联的转换方法的任意一个,上述并行处理技术的任意一个包括但不限于将图案或者文件划分成为具有晕圈的块来用于并行处理,或者上述实施方式的其它方面。例如,先前描述的并行系统架构可以适用于各种光掩模最优化方法。上述汉密尔顿函数的元素还可以适用于可以利用效益函数的各种最优化方法。将本发明的不同方面与其它最优化方法进行结合的其它方式也是可行的。
由此,出于使本领域普通技术人员能够执行以及使用本发明的目的,尽管已经示出和描述了操作的系统和方法的上述各种可替换实施方式,然而应该理解,本发明并不局限于其中。由此,认为在所附权利要求书范围中的任何修改、变型或者等效设置都在本发明的范围之中。另外,本发明原理的以上描述仅仅是借助于示出方式、而并非限制性方式。例如,尽管已经示出和描述了根据本发明原理的方法论的多个示意性实施方式,还可以存在其它可替换实施方式,一旦本领域技术人员理解了本发明的原理,则这些可替换实施方式是显而易见的。例如,在此说明书中,已经针对所述的不同步骤而描述了多个可替换方式。应该理解,可替换方式之间彼此没有脱离,并且在实现此公开的权利要求书的主旨时,可以使用可替换方式的结合。当然,本发明的原理具有针对集成电路制作光掩模以外的效用,已经提及了其中的某些。由此,本发明的范围仅通过所附权利要求书来限定。
作为示意和描述而提供了本发明的上述实施方式。所述示意和描述并非旨在于将本发明限制于所描述的精确形式。
尤其是,旨在于可以以硬件、软件、固件和/或其它可用功能性组件或者构建块方式,来等效地实现在此所描述的本发明的功能性实现。在上文的教导的启示下,其它变型和实施方式是可行的,由此旨在于通过以下权利要求书来限定本发明的范围,而不是通过此具体说明书来限定。

Claims (72)

1.一种用于确定在光刻法工艺中的光掩模上使用的掩模图案的方法,其中所述光掩模具有拥有不同光学属性的多个不同类型的区域,所述方法包括:
将目标图案划分成为所述目标图案的子集;
提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域,其中所述第一掩模图案与所述目标图案的所述子集的至少一个相对应;
确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应;以及
至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
2.根据权利要求1所述的方法,进一步包括从所述第二函数抽取所述第二掩模图案。
3.根据权利要求2所述的方法,其中所述抽取包括计算第一组,所述第一组包括与所述第二函数的第一值相对应的一个或者多个区域。
4.根据权利要求3所述的方法,其中所述抽取包括计算第二组,所述第二组包括与所述第二函数的第二值相对应的一个或者多个区域。
5.根据权利要求1所述的方法,其中所述第二掩模图案被约束至直线型。
6.根据权利要求1所述的方法,其中所述生成是根据所述光刻法工艺的模型进行。
7.根据权利要求1所述的方法,其中所述生成包括根据所述光刻法工艺的模型而修改所述第一函数,以及其中所述光刻法工艺的所述模型包括抗蚀剂模型以及考虑了焦点未对准情况。
8.根据权利要求1所述的方法,其中所述第一掩模图案的不同类型区域的所述数目是2。
9.根据权利要求8所述的方法,其中所述第一掩模图案的所述不同类型区域包括铬区域和玻璃区域。
10.根据权利要求8所述的方法,其中所述第一掩模图案的所述不同类型区域包括,具有透射比大于预定值的区域以及衰减相移区域。
11.根据权利要求1所述的方法,其中所述第一掩模图案与铬-玻璃光掩模、相移光掩模、衰减的相移光掩模或者多曝光光掩模相对应。
12.根据权利要求1所述的方法,其中所述第一函数包括水平集函数。
13.根据权利要求1所述的方法,其中所述第一函数是对所述第一掩模图案的灰度图或者位图表示。
14.根据权利要求1所述的方法,其中所述第一函数包括至少三个值,以及其中第一值和第二值与所述第一掩模图案的区域相对应,以及第三值与所述第一掩模图案的平面中的轮廓相对应。
15.根据权利要求14所述的方法,其中与所述第一值和所述第二值相对应的区域彼此不同。
16.根据权利要求1所述的方法,其中所述生成是根据所述目标图案的至少一个所述子集进行,以及其中所述目标图案的所述至少一个子集与集成电路的至少一部分的物理布局相对应。
17.根据权利要求1所述的方法,其中所述目标图案的所述至少一个子集包括与GDSII或者OASIS相兼容的格式。
18.根据权利要求1所述的方法,其中所述第一函数是距离函数,其中所述第一函数的值与到所述第一掩模图案的所述平面中的最接近的轮廓的距离相对应。
19.根据权利要求1所述的方法,其中所述第一函数在所述平面的轮廓上具有预定值。
20.根据权利要求1所述的方法,进一步包括调整所述第二函数,使得其为距离函数,其中所述第二函数的值与到所述第二掩模图案的平面中的最接近的轮廓的距离相对应。
21.根据权利要求1所述的方法,其中所述第一函数的值指示在所述第一掩模图案中的所述不同类型区域之间的边界的定位,该位置相较于所述第一掩模图案的所述平面中的相应位置而言具有更细的分辨率。
22.根据权利要求1所述的方法,其中所述第一函数的值指示在所述光掩模上分隔不同区域的轮廓的位置。
23.一种用于确定在光刻法工艺中的光掩模上使用的掩模图案的方法,其中所述光掩模具有拥有不同光学属性的多个不同类型的区域,所述方法包括:
提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域;
确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应;以及
至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
24.一种用于确定在光刻法工艺中的光掩模上使用的掩模图案的方法,其中所述光掩模具有拥有不同光学属性的多个不同类型的区域,所述方法包括:
提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域;
确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应,以及其中所述第一函数的值指示在所述第一掩模图案中的所述不同类型区域之间的边界的定位,该位置相较于所述第一掩模图案的所述平面中的相应位置而言具有更细的分辨率;以及
至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
25.一种用于确定在光刻法工艺中的光掩模上使用的掩模图案的设备,其中所述光掩模具有拥有不同光学属性的多个不同类型的区域,所述设备包括:
划分装置,用于将目标图案划分成为所述目标图案的子集;
提供装置,用于提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域,其中所述第一掩模图案与所述目标图案的所述至少一个子集相对应;
确定装置,用于确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应;以及
生成装置,用于至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
26.根据权利要求25所述的设备,进一步包括抽取装置,用于从所述第二函数抽取所述第二掩模图案。
27.根据权利要求26所述的设备,其中所述抽取装置包括计算装置,用于计算第一组,所述第一组包括与所述第二函数的第一值相对应的一个或者多个区域。
28.根据权利要求27所述的设备,其中所述计算装置进一步配置为计算第二组,所述第二组包括与所述第二函数的第二值相对应的一个或者多个区域。
29.根据权利要求25所述的设备,其中所述第二掩模图案被约束至直线型。
30.根据权利要求25所述的设备,其中所述生成装置是根据所述光刻法工艺的模型进行操作的。
31.根据权利要求25所述的设备,其中所述生成装置进一步配置为根据所述光刻法工艺的模型而修改所述第一函数,以及其中所述光刻法工艺的所述模型包括抗蚀剂模型以及考虑了焦点未对准情况。
32.根据权利要求25所述的设备,其中所述第一掩模图案的不同类型区域的所述数目是2。
33.根据权利要求32所述的设备,其中所述第一掩模图案的所述不同类型区域包括铬区域和玻璃区域。
34.根据权利要求32所述的设备,其中所述第一掩模图案的所述不同类型区域包括,具有透射比大于预定值的区域以及衰减相移区域。
35.根据权利要求25所述的设备,其中所述第一掩模图案与铬-玻璃光掩模、相移光掩模、衰减的相移光掩模或者多曝光光掩模相对应。
36.根据权利要求25所述的设备,其中所述第一函数包括水平集函数。
37.根据权利要求25所述的设备,其中所述第一函数是对所述第一掩模图案的灰度图或者位图表示。
38.根据权利要求25所述的设备,其中所述第一函数包括至少三个值,以及其中第一值和第二值与所述第一掩模图案的区域相对应,以及第三值与所述第一掩模图案的平面中的轮廓相对应。
39.根据权利要求38所述的设备,其中与所述第一值和所述第二值相对应的区域彼此不同。
40.根据权利要求25所述的设备,其中所述生成是根据所述目标图案的至少一个所述子集进行,以及其中所述目标图案的所述至少一个子集与集成电路的至少一部分的物理布局相对应。
41.根据权利要求25所述的设备,其中所述目标图案的所述至少一个子集包括与GDSII或者OASIS相兼容的格式。
42.根据权利要求25所述的设备,其中所述第一函数是距离函数,其中所述第一函数的值与到所述第一掩模图案的所述平面中的最接近的轮廓的距离相对应。
43.根据权利要求25所述的设备,其中所述第一函数在所述平面的轮廓上具有预定值。
44.根据权利要求25所述的设备,进一步包括调整所述第二函数,使得其为距离函数,其中所述第二函数的值与到所述第二掩模图案的平面中的最接近的轮廓的距离相对应。
45.根据权利要求25所述的设备,其中所述第一函数的值指示在所述第一掩模图案中的所述不同类型区域之间的边界的定位,该位置相较于所述第一掩模图案的所述平面中的相应位置而言具有更细的分辨率。
46.根据权利要求25所述的设备,其中所述第一函数的值指示在所述光掩模上分隔不同区域的轮廓的位置。
47.一种用于确定在光刻法工艺中的光掩模上使用的掩模图案的设备,其中所述光掩模具有拥有不同光学属性的多个不同类型的区域,所述设备包括:
提供装置,用于提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域;
确定装置,用于确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应;以及
生成装置,用于至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
48.根据权利要求47所述的设备,进一步包括抽取装置,用于从所述第二函数抽取所述第二掩模图案。
49.根据权利要求48所述的设备,其中所述抽取装置包括计算装置,用于计算第一组,所述第一组包括与所述第二函数的第一值相对应的一个或者多个区域。
50.根据权利要求49所述的设备,其中所述计算装置进一步配置为计算第二组,所述第二组包括与所述第二函数的第二值相对应的一个或者多个区域。
51.根据权利要求47所述的设备,其中所述第二掩模图案被约束至直线型。
52.根据权利要求47所述的设备,其中所述生成装置是根据所述光刻法工艺的模型进行操作的。
53.根据权利要求47所述的设备,其中所述生成装置进一步配置为根据所述光刻法工艺的模型而修改所述第一函数,以及其中所述光刻法工艺的所述模型包括抗蚀剂模型以及考虑了焦点未对准情况。
54.根据权利要求47所述的设备,其中所述第一掩模图案的不同类型区域的所述数目是2。
55.根据权利要求54所述的设备,其中所述第一掩模图案的所述不同类型区域包括铬区域和玻璃区域。
56.根据权利要求54所述的设备,其中所述第一掩模图案的所述不同类型区域包括,具有透射比大于预定值的区域以及衰减相移区域。
57.根据权利要求47所述的设备,其中所述第一掩模图案与铬-玻璃光掩模、相移光掩模、衰减的相移光掩模或者多曝光光掩模相对应。
58.根据权利要求47所述的设备,其中所述第一函数包括水平集函数。
59.根据权利要求47所述的设备,其中所述第一函数是对所述第一掩模图案的灰度图或者位图表示。
60.根据权利要求47所述的设备,其中所述第一函数包括至少三个值,以及其中第一值和第二值与所述第一掩模图案的区域相对应,以及第三值与所述第一掩模图案的平面中的轮廓相对应。
61.根据权利要求60所述的设备,其中与所述第一值和所述第二值相对应的区域彼此不同。
62.根据权利要求47所述的设备,其中所述生成是根据所述目标图案的至少一个所述子集进行,以及其中所述目标图案的所述至少一个子集与集成电路的至少一部分的物理布局相对应。
63.根据权利要求47所述的设备,其中所述目标图案的所述至少一个子集包括与GDSII或者OASIS相兼容的格式。
64.根据权利要求47所述的设备,其中所述第一函数是距离函数,其中所述第一函数的值与到所述第一掩模图案的所述平面中的最接近的轮廓的距离相对应。
65.根据权利要求47所述的设备,其中所述第一函数在所述平面的轮廓上具有预定值。
66.根据权利要求47所述的设备,进一步包括调整所述第二函数,使得其为距离函数,其中所述第二函数的值与到所述第二掩模图案的平面中的最接近的轮廓的距离相对应。
67.根据权利要求47所述的设备,其中所述第一函数的值指示在所述第一掩模图案中的所述不同类型区域之间的边界的定位,该位置相较于所述第一掩模图案的所述平面中的相应位置而言具有更细的分辨率。
68.根据权利要求47所述的设备,其中所述第一函数的值指示在所述光掩模上分隔不同区域的轮廓的位置。
69.一种用于在光刻法工艺中使用的光掩模,其中所述光掩模具有拥有不同光学属性的多个不同类型的区域,以及其中在包括以下操作的过程中确定与所述光掩模相对应的掩模图案:
将目标图案划分成为所述目标图案的子集;
提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域,其中所述第一掩模图案与所述目标图案的所述至少一个子集相对应;
确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应;以及
至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
70.一种用于在光刻法工艺中使用的光掩模,其中光掩模具有拥有不同光学属性的多个不同类型的区域,以及其中在包括以下操作的过程中确定与所述光掩模相对应的掩模图案:
提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域;
确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应;以及
至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
71.一种半导体晶片,其中所述半导体晶片在包括光掩模的光刻法工艺中生成,其中所述光掩模具有拥有不同光学属性的多个不同类型的区域,以及其中在包括以下操作的过程中确定与所述光掩模相对应的掩模图案:
将目标图案划分成为所述目标图案的子集;
提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域,其中所述第一掩模图案与所述目标图案的所述至少一个子集相对应;
确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应;以及
至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
72.一种半导体晶片,其中所述半导体晶片在包括光掩模的光刻法工艺中生成,其中所述光掩模具有拥有不同光学属性的多个不同类型的区域,以及其中在包括以下操作的过程中确定与所述光掩模相对应的掩模图案:
提供第一掩模图案,所述第一掩模图案包括与所述光掩模的所述不同类型的区域相对应的多个不同类型的区域;
确定与所述第一掩模图案相对应的第一函数,其中所述第一函数的范围具有大于所述第一掩模图案的不同类型区域的数目的基数,以及其中所述第一函数的域与所述第一掩模图案的平面相对应;以及
至少部分地基于所述第一函数来生成第二函数,其中所述第二函数与第二掩模图案相对应。
CN2006800399528A 2005-09-13 2006-09-13 用于光刻法的系统、掩模和方法 Expired - Fee Related CN101297390B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US77599105P 2005-09-13 2005-09-13
US60/775,991 2005-09-13
PCT/US2006/035985 WO2007033362A2 (en) 2005-09-13 2006-09-13 Systems, masks, and methods for photolithography

Publications (2)

Publication Number Publication Date
CN101297390A CN101297390A (zh) 2008-10-29
CN101297390B true CN101297390B (zh) 2011-04-20

Family

ID=37865601

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2006800399528A Expired - Fee Related CN101297390B (zh) 2005-09-13 2006-09-13 用于光刻法的系统、掩模和方法

Country Status (7)

Country Link
US (1) US7707541B2 (zh)
EP (1) EP1925020A4 (zh)
JP (1) JP5405109B2 (zh)
KR (1) KR101330344B1 (zh)
CN (1) CN101297390B (zh)
TW (1) TWI398721B (zh)
WO (1) WO2007033362A2 (zh)

Families Citing this family (64)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7698665B2 (en) * 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7124394B1 (en) * 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7480889B2 (en) 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
US7448012B1 (en) 2004-04-21 2008-11-04 Qi-De Qian Methods and system for improving integrated circuit layout
US7707541B2 (en) 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
WO2007041600A2 (en) 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Mask-pattern determination using topology types
WO2007041602A2 (en) * 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
US7793253B2 (en) * 2005-10-04 2010-09-07 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US8331645B2 (en) * 2006-09-20 2012-12-11 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
WO2008039674A2 (en) 2006-09-20 2008-04-03 Luminescent Technologies, Inc. Photo-mask and wafer image reconstruction
US20080235497A1 (en) * 2006-11-26 2008-09-25 Tomblin Jimmy J Parallel Data Output
US8214775B2 (en) * 2007-09-14 2012-07-03 Luminescent Technologies, Inc. System for determining repetitive work units
US8111380B2 (en) * 2007-09-14 2012-02-07 Luminescent Technologies, Inc. Write-pattern determination for maskless lithography
US8082524B2 (en) 2008-04-15 2011-12-20 Luminescent Technologies, Inc. Mask patterns for use in multiple-exposure lithography
US8293546B2 (en) * 2008-06-17 2012-10-23 Globalfoundries Singapore Pte. Ltd. Integrated circuit system with sub-geometry removal and method of manufacture thereof
JP5159501B2 (ja) * 2008-08-06 2013-03-06 キヤノン株式会社 原版データ作成プログラム、原版データ作成方法、原版作成方法、露光方法及びデバイス製造方法
US8669023B2 (en) 2008-09-01 2014-03-11 D2S, Inc. Method for optical proximity correction of a reticle to be manufactured using shaped beam lithography
US8039176B2 (en) 2009-08-26 2011-10-18 D2S, Inc. Method for fracturing and forming a pattern using curvilinear characters with charged particle beam lithography
CN102224459B (zh) 2008-11-21 2013-06-19 Asml荷兰有限公司 用于优化光刻过程的方法及设备
US8683396B2 (en) * 2009-07-22 2014-03-25 Synopsys, Inc. Determining source patterns for use in photolithography
TWI496182B (zh) * 2009-08-26 2015-08-11 D2S Inc 以可變束模糊技術使用帶電粒子束微影術製造表面之方法及系統
US9164372B2 (en) 2009-08-26 2015-10-20 D2S, Inc. Method and system for forming non-manhattan patterns using variable shaped beam lithography
US9448473B2 (en) 2009-08-26 2016-09-20 D2S, Inc. Method for fracturing and forming a pattern using shaped beam charged particle beam lithography
CN102043325B (zh) * 2009-10-13 2012-05-23 中芯国际集成电路制造(上海)有限公司 掩模图形校正方法以及掩模版制作方法
WO2011049740A1 (en) * 2009-10-21 2011-04-28 D2S, Inc. Method and system for forming a pattern on a surface using charged particle beam lithography
US8503840B2 (en) 2010-08-23 2013-08-06 Lockheed Martin Corporation Optical-fiber array method and apparatus
US8551283B2 (en) 2010-02-02 2013-10-08 Apple Inc. Offset control for assembling an electronic device housing
US8463016B2 (en) * 2010-02-05 2013-06-11 Luminescent Technologies, Inc. Extending the field of view of a mask-inspection image
US8498469B2 (en) * 2010-03-01 2013-07-30 Synopsys, Inc. Full-field mask error enhancement function
US8453076B2 (en) * 2010-03-16 2013-05-28 International Business Machines Corporation Wavefront engineering of mask data for semiconductor device design
US8555214B2 (en) 2010-09-14 2013-10-08 Luminescent Technologies, Inc. Technique for analyzing a reflective photo-mask
US8612903B2 (en) 2010-09-14 2013-12-17 Luminescent Technologies, Inc. Technique for repairing a reflective photo-mask
DE102011083774B4 (de) 2010-10-04 2019-06-13 Carl Zeiss Sms Ltd. Verfahren zum Bestimmen von Laser korrigierenden Tool-Parametern
US8386968B2 (en) 2010-11-29 2013-02-26 Luminescent Technologies, Inc. Virtual photo-mask critical-dimension measurement
US8458622B2 (en) 2010-11-29 2013-06-04 Luminescent Technologies, Inc. Photo-mask acceptance technique
US9005852B2 (en) 2012-09-10 2015-04-14 Dino Technology Acquisition Llc Technique for repairing a reflective photo-mask
US8653454B2 (en) 2011-07-13 2014-02-18 Luminescent Technologies, Inc. Electron-beam image reconstruction
CN103150212B (zh) * 2011-12-06 2016-04-06 曙光信息产业股份有限公司 量子力学计算的实现方法和装置
JP5666630B2 (ja) * 2012-02-07 2015-02-12 エーエスエムエル ネザーランズ ビー.ブイ. 基板トポグラフィ認識リソグラフィモデリング
JP6108693B2 (ja) * 2012-06-08 2017-04-05 キヤノン株式会社 パターン作成方法
CN102841964B (zh) * 2012-08-17 2015-04-08 大连理工大学 一种用于等离子体刻蚀剖面演化的三维计算方法
WO2014074108A1 (en) * 2012-11-09 2014-05-15 Halliburton Energy Services, Inc. Integrated computational element design optimization and performance evaluation
CN103065038B (zh) * 2012-11-27 2015-12-23 大连理工大学 一种用于等离子体刻蚀的元胞-水平集联合模拟方法
KR102029645B1 (ko) * 2013-01-14 2019-11-18 삼성전자 주식회사 맞춤형 마스크의 제조 방법 및 맞춤형 마스크를 이용한 반도체 장치의 제조 방법
US9091935B2 (en) 2013-03-11 2015-07-28 Kla-Tencor Corporation Multistage extreme ultra-violet mask qualification
US9494854B2 (en) 2013-03-14 2016-11-15 Kla-Tencor Corporation Technique for repairing an EUV photo-mask
US9547233B2 (en) * 2013-03-14 2017-01-17 Kla-Tencor Corporation Film-growth model using level sets
KR20150024676A (ko) 2013-08-27 2015-03-09 (주) 아이씨티케이 반도체 프로세스의 포토 마스크를 변형하여 puf를 생성하는 방법 및 장치
US9158884B2 (en) * 2013-11-04 2015-10-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method and system for repairing wafer defects
WO2016128189A1 (en) * 2015-02-13 2016-08-18 Asml Netherlands B.V. Process variability aware adaptive inspection and metrology
CN106019815A (zh) * 2016-07-13 2016-10-12 武汉华星光电技术有限公司 一种具有曝光补正的光罩
US10042245B2 (en) 2016-07-13 2018-08-07 Wuhan China Star Optoelectronics Technology Co., Ltd. Photomask having an exposure correction function
CN106200257A (zh) * 2016-07-13 2016-12-07 武汉华星光电技术有限公司 一种具有曝光补正的光罩
KR102473979B1 (ko) 2017-09-27 2022-12-06 에이에스엠엘 네델란즈 비.브이. 디바이스 제조 공정의 제어 파라미터들을 결정하는 방법
KR102525162B1 (ko) 2017-12-18 2023-04-24 삼성전자주식회사 Opc 방법, 및 그 opc 방법을 이용한 마스크 제조방법
US10657213B2 (en) * 2017-12-22 2020-05-19 D2S, Inc. Modeling of a design in reticle enhancement technology
US11301610B2 (en) 2017-12-22 2022-04-12 D2S, Inc. Methods for modeling of a design in reticle enhancement technology
US10656530B2 (en) * 2018-05-08 2020-05-19 Asml Us, Llc Application of FreeForm MRC to SRAF optimization based on ILT mask optimization
JP7149857B2 (ja) 2019-01-08 2022-10-07 浜松ホトニクス株式会社 データ作成装置、光制御装置、データ作成方法、及びデータ作成プログラム
CN114341735B (zh) * 2019-09-23 2024-03-08 应用材料公司 用于数字光刻装置的数字图案文件优化
KR20230005381A (ko) * 2020-06-03 2023-01-09 에이에스엠엘 네델란즈 비.브이. 패터닝 디바이스 및 이에 대한 패턴을 생성하는 시스템, 제품, 및 방법
KR20230066471A (ko) * 2020-09-18 2023-05-15 어플라이드 머티어리얼스, 인코포레이티드 보조 피처들을 이용하여 디지털 리소그래피를 위한 프로세스 윈도우 및 해상도를 개선하기 위한 방법들
CN114200768B (zh) * 2021-12-23 2023-05-26 中国科学院光电技术研究所 基于水平集算法的超分辨光刻逆向光学邻近效应修正方法

Family Cites Families (97)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS6057475A (ja) * 1983-09-07 1985-04-03 Toshiba Corp パタ−ン認識方式
EP0653679B1 (en) 1989-04-28 2002-08-21 Fujitsu Limited Mask, mask producing method and pattern forming method using mask
ATE143509T1 (de) 1990-06-21 1996-10-15 Honeywell Inc Auf variablem horizont basierende adaptive steuerung mit mitteln zur minimierung der betriebskosten
JP3120474B2 (ja) 1991-06-10 2000-12-25 株式会社日立製作所 半導体集積回路装置の製造方法
EP1293833A1 (en) 1991-08-22 2003-03-19 Nikon Corporation High resolution printing technique by using a mask pattern adapted to the technique
US5242770A (en) 1992-01-16 1993-09-07 Microunity Systems Engineering, Inc. Mask for photolithography
US5525808A (en) 1992-01-23 1996-06-11 Nikon Corporaton Alignment method and alignment apparatus with a statistic calculation using a plurality of weighted coordinate positions
US6042998A (en) 1993-09-30 2000-03-28 The University Of New Mexico Method and apparatus for extending spatial frequencies in photolithography images
US5640199A (en) 1993-10-06 1997-06-17 Cognex Corporation Automated optical inspection apparatus
US5546189A (en) 1994-05-19 1996-08-13 View Engineering, Inc. Triangulation-based 3D imaging and processing method and system
US5508803A (en) 1994-12-20 1996-04-16 International Business Machines Corporation Method and apparatus for monitoring lithographic exposure
KR0160924B1 (ko) * 1995-06-30 1998-12-15 김주용 노광 마스크
US5707765A (en) 1996-05-28 1998-01-13 Microunity Systems Engineering, Inc. Photolithography mask using serifs and method thereof
JP3325465B2 (ja) 1996-08-22 2002-09-17 株式会社東芝 形状シミュレーション方法
US6123733A (en) 1996-11-27 2000-09-26 Voxel, Inc. Method and apparatus for rapidly evaluating digital data processing parameters
US6096567A (en) 1997-12-01 2000-08-01 Electroglas, Inc. Method and apparatus for direct probe sensing
US6022644A (en) 1998-03-18 2000-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Mask containing subresolution line to minimize proximity effect of contact hole
US6617096B2 (en) 1998-05-22 2003-09-09 Siemens Aktiengesellschaft Method of producing an integrated circuit configuration
EP0985683A1 (en) 1998-09-09 2000-03-15 Fuji Photo Film Co., Ltd. Photosensitive composition and method for manufacturing lithographic printing plate
US6558504B1 (en) * 1998-12-21 2003-05-06 Research Triangle Institute Plasma processing system and method
US6677948B1 (en) 1999-06-14 2004-01-13 Mitutoyo Corporation Systems and methods for multi-resolution image defocusing
IL131092A (en) 1999-07-25 2006-08-01 Orbotech Ltd Optical inspection system
IT1313154B1 (it) 1999-08-05 2002-06-17 St Microelectronics Srl Maschera litografica per dispositivi a semiconduttore con finestra discavo a sezione poligonale,in particolare avente una sezione di almeno
US6601192B1 (en) 1999-08-31 2003-07-29 Accenture Llp Assertion component in environment services patterns
US7031538B2 (en) 1999-12-17 2006-04-18 Level Set Systems, Inc. Method and apparatus for feature-based quantization and compression of data
US6484306B1 (en) 1999-12-17 2002-11-19 The Regents Of The University Of California Multi-level scanning method for defect inspection
US6596466B1 (en) 2000-01-25 2003-07-22 Cypress Semiconductor Corporation Contact structure and method of forming a contact structure
JP3479024B2 (ja) * 2000-03-28 2003-12-15 Necエレクトロニクス株式会社 電子線露光用マスク及びそのパターン設計方法
US6611627B1 (en) * 2000-04-24 2003-08-26 Eastman Kodak Company Digital image processing method for edge shaping
EP1164432A1 (en) 2000-06-13 2001-12-19 ASML Masktools Netherlands B.V. Optical proximity correction method utilizing serifs having variable dimensions
US6733929B2 (en) 2000-07-05 2004-05-11 Numerical Technologies, Inc. Phase shift masking for complex patterns with proximity adjustments
US6787271B2 (en) 2000-07-05 2004-09-07 Numerical Technologies, Inc. Design and layout of phase shifting photolithographic masks
US20050136340A1 (en) 2000-07-21 2005-06-23 Asml Netherlands B.V. Lithographic apparatus and methods, patterning structure and method for making a patterning structure, device manufacturing method, and device manufactured thereby
EP1246014A1 (en) 2001-03-30 2002-10-02 ASML Netherlands B.V. Lithographic apparatus
CA2317336A1 (en) 2000-09-06 2002-03-06 David Cowperthwaite Occlusion resolution operators for three-dimensional detail-in-context
JP2002122978A (ja) 2000-10-18 2002-04-26 Sony Corp マスクデータの検証方法および検証プログラムを記録したコンピュータ読み取り可能な記録媒体
US6383847B1 (en) 2000-10-30 2002-05-07 International Business Machines Corporation Partitioned mask layout
IL139368A (en) 2000-10-30 2006-12-10 Nova Measuring Instr Ltd Process control for microlithography
US6625497B2 (en) 2000-11-20 2003-09-23 Applied Materials Inc. Semiconductor processing module with integrated feedback/feed forward metrology
JP4216592B2 (ja) 2000-11-30 2009-01-28 シノプシス ゲーエムベーハー 集積回路の特性を測定するプロセスと装置
US6703170B1 (en) 2000-12-13 2004-03-09 Dupont Photomasks, Inc. Method and apparatus for reducing loading effects on a semiconductor manufacturing component during an etch process
US7027658B2 (en) 2000-12-20 2006-04-11 Level Set Systems, Inc. System for geometrically accurate compression and decompression
JP2002196230A (ja) 2000-12-27 2002-07-12 Olympus Optical Co Ltd 結像シミュレーション法及びそれを用いた結像シミュレーション装置及び記憶媒体
US6563566B2 (en) 2001-01-29 2003-05-13 International Business Machines Corporation System and method for printing semiconductor patterns using an optimized illumination and reticle
JP2002351046A (ja) 2001-05-24 2002-12-04 Nec Corp 位相シフトマスクおよびその設計方法
JP3895947B2 (ja) * 2001-05-31 2007-03-22 日本電気株式会社 光ファイバコード保持構造
IL143538A0 (en) 2001-06-03 2002-04-21 Yeda Res & Dev Atomic lithography using squeezed atomic states
US6721938B2 (en) 2001-06-08 2004-04-13 Numerical Technologies, Inc. Optical proximity correction for phase shifting photolithographic masks
US6601231B2 (en) 2001-07-10 2003-07-29 Lacour Patrick Joseph Space classification for resolution enhancement techniques
US6810144B2 (en) * 2001-07-20 2004-10-26 Koninklijke Philips Electronics N.V. Methods of and system for detecting a cartoon in a video data stream
US6803155B2 (en) 2001-07-31 2004-10-12 Micron Technology, Inc. Microlithographic device, microlithographic assist features, system for forming contacts and other structures, and method of determining mask patterns
ITTO20010804A1 (it) * 2001-08-08 2003-02-08 Professional Dietetics Srl Composizioni a base di aminoacidi, idonee alla terapia per la cicatrizzazione e/o riparazione di ferite e lesioni, in particolare per l'appl
US6764795B2 (en) 2001-08-27 2004-07-20 Texas Instruments Incorporated Method and system for mask pattern correction
US7030997B2 (en) 2001-09-11 2006-04-18 The Regents Of The University Of California Characterizing aberrations in an imaging lens and applications to visual testing and integrated circuit mask analysis
US6698007B2 (en) 2001-10-09 2004-02-24 Numerical Technologies, Inc. Method and apparatus for resolving coloring conflicts between phase shifters
US6880135B2 (en) 2001-11-07 2005-04-12 Synopsys, Inc. Method of incorporating lens aberration information into various process flows
US6884552B2 (en) 2001-11-09 2005-04-26 Kla-Tencor Technologies Corporation Focus masking structures, focus patterns and measurements thereof
JP3592666B2 (ja) 2001-12-04 2004-11-24 株式会社東芝 露光用マスクパターンの補正方法、プログラム、マスクパターン形成方法、及び半導体装置の製造方法
US20030123707A1 (en) 2001-12-31 2003-07-03 Park Seujeung P. Imaging-based distance measurement and three-dimensional profiling system
TWI236574B (en) 2002-02-08 2005-07-21 Sony Corp Forming method of exposure mask pattern, exposure mask pattern and manufacturing method of semiconductor device
JP4139605B2 (ja) * 2002-03-01 2008-08-27 大日本印刷株式会社 片掘り型の基板掘り込み型位相シフトマスクにおけるマスク断面構造の決定方法
US6884551B2 (en) 2002-03-04 2005-04-26 Massachusetts Institute Of Technology Method and system of lithography using masks having gray-tone features
EP1357426A3 (en) 2002-04-23 2005-11-23 Canon Kabushiki Kaisha Method for setting mask pattern and its illumination condition
US6795069B2 (en) 2002-05-29 2004-09-21 Mitsubishi Electric Research Laboratories, Inc. Free-form modeling of objects with variational implicit surfaces
JP4073265B2 (ja) 2002-07-09 2008-04-09 富士通株式会社 検査装置及び検査方法
JP2006502422A (ja) 2002-07-12 2006-01-19 ケイデンス デザイン システムズ インコーポレイテッド コンテクスト特定型のマスク検査のための方法及びシステム
US8013852B2 (en) 2002-08-02 2011-09-06 Honda Giken Kogyo Kabushiki Kaisha Anthropometry-based skeleton fitting
US6842889B2 (en) 2002-08-06 2005-01-11 Micron Technology, Inc. Methods of forming patterned reticles
JP2004111600A (ja) 2002-09-18 2004-04-08 Mitac Internatl Corp Icに内蔵されたemi消去回路
US6794096B2 (en) 2002-10-09 2004-09-21 Numerical Technologies, Inc. Phase shifting mask topography effect correction based on near-field image properties
JP4302965B2 (ja) 2002-11-01 2009-07-29 株式会社日立ハイテクノロジーズ 半導体デバイスの製造方法及びその製造システム
CN1573554A (zh) 2003-01-14 2005-02-02 Asml蒙片工具有限公司 用于接触孔掩模的光学逼近校正设计的方法
US7147975B2 (en) 2003-02-17 2006-12-12 Matsushita Electric Industrial Co., Ltd. Photomask
EP1450206B1 (en) 2003-02-21 2016-04-20 Canon Kabushiki Kaisha Mask and its manufacturing method, exposure, and semiconductor device fabrication method
CN101840163B (zh) 2003-03-31 2012-06-06 Asml蒙片工具有限公司 照明源和掩模优化
US7124394B1 (en) 2003-04-06 2006-10-17 Luminescent Technologies, Inc. Method for time-evolving rectilinear contours representing photo masks
US7698665B2 (en) 2003-04-06 2010-04-13 Luminescent Technologies, Inc. Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7480889B2 (en) 2003-04-06 2009-01-20 Luminescent Technologies, Inc. Optimized photomasks for photolithography
DE10327019A1 (de) * 2003-06-12 2004-12-30 Carl Zeiss Sms Gmbh Verfahren zur Bestimmung der Abbildungsgüte eines optischen Abbildungssystems
US6961920B2 (en) * 2003-09-18 2005-11-01 International Business Machines Corporation Method for interlayer and yield based optical proximity correction
US7003758B2 (en) * 2003-10-07 2006-02-21 Brion Technologies, Inc. System and method for lithography simulation
US6968532B2 (en) 2003-10-08 2005-11-22 Intel Corporation Multiple exposure technique to pattern tight contact geometries
US7073162B2 (en) 2003-10-31 2006-07-04 Mentor Graphics Corporation Site control for OPC
US8151220B2 (en) 2003-12-04 2012-04-03 Kla-Tencor Technologies Corp. Methods for simulating reticle layout data, inspecting reticle layout data, and generating a process for inspecting reticle layout data
US7506299B2 (en) 2003-12-19 2009-03-17 Asml Holding N.V. Feature optimization using interference mapping lithography
US7294437B2 (en) 2004-02-27 2007-11-13 Intel Corporation Quick and accurate modeling of transmitted field
US7403641B2 (en) * 2004-05-28 2008-07-22 Matsushita Electric Works, Ltd. Object recognition system
US7236123B2 (en) * 2004-09-03 2007-06-26 American Systems Corporation System for enhanced detection of a target
US20070011648A1 (en) 2004-10-06 2007-01-11 Abrams Daniel S Fast systems and methods for calculating electromagnetic fields near photomasks
WO2006078791A2 (en) 2005-01-18 2006-07-27 Luminescent Technologies, Inc. Systems, masks and methods for printing contact holes and other patterns
US7552416B2 (en) 2005-02-28 2009-06-23 Yuri Granik Calculation system for inverse masks
US7564017B2 (en) 2005-06-03 2009-07-21 Brion Technologies, Inc. System and method for characterizing aerial image quality in a lithography system
US7707541B2 (en) 2005-09-13 2010-04-27 Luminescent Technologies, Inc. Systems, masks, and methods for photolithography
WO2007041600A2 (en) 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Mask-pattern determination using topology types
WO2007041602A2 (en) 2005-10-03 2007-04-12 Luminescent Technologies, Inc. Lithography verification using guard bands
US7793253B2 (en) 2005-10-04 2010-09-07 Luminescent Technologies, Inc. Mask-patterns including intentional breaks
WO2007044557A2 (en) 2005-10-06 2007-04-19 Luminescent Technologies, Inc. System, masks, and methods for photomasks optimized with approximate and accurate merit functions

Also Published As

Publication number Publication date
KR20080070623A (ko) 2008-07-30
TW200731001A (en) 2007-08-16
CN101297390A (zh) 2008-10-29
US20070184357A1 (en) 2007-08-09
WO2007033362A2 (en) 2007-03-22
EP1925020A4 (en) 2014-01-01
US7707541B2 (en) 2010-04-27
JP5405109B2 (ja) 2014-02-05
WO2007033362A3 (en) 2007-09-27
KR101330344B1 (ko) 2013-11-15
JP2009508161A (ja) 2009-02-26
EP1925020A2 (en) 2008-05-28
TWI398721B (zh) 2013-06-11

Similar Documents

Publication Publication Date Title
CN101297390B (zh) 用于光刻法的系统、掩模和方法
US7703049B2 (en) System, masks, and methods for photomasks optimized with approximate and accurate merit functions
US11748549B2 (en) Method and apparatus for integrated circuit mask patterning
US8732625B2 (en) Methods for performing model-based lithography guided layout design
US7698665B2 (en) Systems, masks, and methods for manufacturable masks using a functional representation of polygon pattern
US7480889B2 (en) Optimized photomasks for photolithography
US7992109B2 (en) Method for time-evolving rectilinear contours representing photo masks
US8930172B2 (en) Methods and systems for parameter-sensitive and orthogonal gauge design for lithography calibration
CN101836161B (zh) 用于确定掩模图案和写图案的技术
US8751979B1 (en) Determining the gradient and Hessian of the image log slope for design rule optimization for accelerating source mask optimization (SMO)
CN111627799B (zh) 制造半导体元件的方法
US11768989B2 (en) Reduced area standard cell abutment configurations
US20200096876A1 (en) Dose Map Optimization for Mask Making
US8576377B2 (en) Lithographic apparatus and device manufacturing method
US20110224945A1 (en) Method of performing etch proximity correction, method of forming photomask layout using the method, computer-readable recording medium storing programmed instructions for executing the method, and mask imaging system
KR20160095284A (ko) 전자 빔 노광 방법 및 그를 포함하는 기판 제조 방법
US20230244136A1 (en) Method for fabricating photomask layout and method for fabricating of semiconductor device using the same
CN114721217A (zh) 改善光学近端校正技术的方法及系统
CN114092490A (zh) 一种获取衍射近场分布的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
C41 Transfer of patent application or patent right or utility model
TR01 Transfer of patent right

Effective date of registration: 20151105

Address after: American California

Patentee after: Dino technology acquisition Ltd.

Address before: American California

Patentee before: Luminescent Technologies Inc.

CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20110420

Termination date: 20160913