CN101355116A - 光电转换装置的制造方法 - Google Patents

光电转换装置的制造方法 Download PDF

Info

Publication number
CN101355116A
CN101355116A CNA2008101300722A CN200810130072A CN101355116A CN 101355116 A CN101355116 A CN 101355116A CN A2008101300722 A CNA2008101300722 A CN A2008101300722A CN 200810130072 A CN200810130072 A CN 200810130072A CN 101355116 A CN101355116 A CN 101355116A
Authority
CN
China
Prior art keywords
process chamber
photoelectric conversion
waveguide
plasma
microwave
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2008101300722A
Other languages
English (en)
Other versions
CN101355116B (zh
Inventor
荒井康行
山崎舜平
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Semiconductor Energy Laboratory Co Ltd
Original Assignee
Semiconductor Energy Laboratory Co Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Semiconductor Energy Laboratory Co Ltd filed Critical Semiconductor Energy Laboratory Co Ltd
Publication of CN101355116A publication Critical patent/CN101355116A/zh
Application granted granted Critical
Publication of CN101355116B publication Critical patent/CN101355116B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/18Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof
    • H01L31/1804Processes or apparatus specially adapted for the manufacture or treatment of these devices or of parts thereof comprising only elements of Group IV of the Periodic System
    • H01L31/182Special manufacturing methods for polycrystalline Si, e.g. Si ribbon, poly Si ingots, thin films of polycrystalline Si
    • H01L31/1824Special manufacturing methods for microcrystalline Si, uc-Si
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45561Gas plumbing upstream of the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/511Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using microwave discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/0248Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies
    • H01L31/036Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes
    • H01L31/0392Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate
    • H01L31/03921Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof characterised by their semiconductor bodies characterised by their crystalline structure or particular orientation of the crystalline planes including thin films deposited on metallic or insulating substrates ; characterised by specific substrate materials or substrate features or by the presence of intermediate layers, e.g. barrier layers, on the substrate including only elements of Group IV of the Periodic System
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L31/00Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof
    • H01L31/04Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices
    • H01L31/06Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier
    • H01L31/075Semiconductor devices sensitive to infrared radiation, light, electromagnetic radiation of shorter wavelength or corpuscular radiation and specially adapted either for the conversion of the energy of such radiation into electrical energy or for the control of electrical energy by such radiation; Processes or apparatus specially adapted for the manufacture or treatment thereof or of parts thereof; Details thereof adapted as photovoltaic [PV] conversion devices characterised by at least one potential-jump barrier or surface barrier the potential barriers being only of the PIN type
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/545Microcrystalline silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02EREDUCTION OF GREENHOUSE GAS [GHG] EMISSIONS, RELATED TO ENERGY GENERATION, TRANSMISSION OR DISTRIBUTION
    • Y02E10/00Energy generation through renewable energy sources
    • Y02E10/50Photovoltaic [PV] energy
    • Y02E10/548Amorphous silicon PV cells
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y02TECHNOLOGIES OR APPLICATIONS FOR MITIGATION OR ADAPTATION AGAINST CLIMATE CHANGE
    • Y02PCLIMATE CHANGE MITIGATION TECHNOLOGIES IN THE PRODUCTION OR PROCESSING OF GOODS
    • Y02P70/00Climate change mitigation technologies in the production process for final industrial or consumer products
    • Y02P70/50Manufacturing or production processes characterised by the final manufactured product

Abstract

本发明的目的在于在不降低堆积速度的同时在大面积衬底上生产率高地形成以500℃以下直接形成的优质的微晶半导体层,而提供一种将该结晶半导体层用作光电转换层的光电转换装置。对由并列设置的多个波导管、以及墙面包围的处理室供应包含氦的反应气体,在将所述处理室内的压力保持为大气压或准大气压的同时,对由并列设置的波导管夹住的空间供应微波来产生等离子体,来在装载于处理室内的衬底上堆积由微晶半导体构成的光电转换层。在并列设置的多个波导管的相对表面设置槽缝,通过该槽缝将微波供应到处理室内产生等离子体,来谋求实现等离子体的高密度化。将当产生该等离子体时的压力设定为大气压或者准大气压。

Description

光电转换装置的制造方法
技术领域
本发明涉及利用微晶半导体作为光电转换层的光电转换装置的制造方法。
背景技术
住宅用太阳光发电系统等光电转换装置作为应对近年的地球环境问题的对策,其市场不断扩大。作为光电转换装置,转换效率高的利用单晶或多晶硅薄片的光电转换装置已经实用化。利用单晶硅或多晶硅的光电转换装置通过从大型硅锭分割出来而制造。然而,制造大型硅锭需要很长时间,所以生产率不高。并且,由于硅原材料的供应量本身受到限制,所以不能应付市场的扩大,而处于供应不足的状态。
利用非晶硅的光电转换装置也正在被开发,并且虽然可以实现低成本化,但是不能解决光退化的问题而尚未普及。此外,当采用作为非晶硅的制造方法的高频等离子体CVD法时,可以实现大面积化、低温成膜,并且可以使利用非晶硅作为光电转换层的光电转换装置的转换效率成为10%以上,然而不能消除被称为斯特博勒-朗斯基效应(Staebler-Wronski Effect)的光退化。因此,对于利用通过等离子体CVD法可以制造的结晶硅的微晶硅作为光电转换层的光电转换装置的开发正在进行(例如,参照专利文件1)。
对通过等离子体CVD法制造的结晶硅膜以及利用其的光电转换装置来说,已经有利用具有不同于本发明人所提供的非晶半导体及结晶半导体的微晶体或半结晶结构的微晶半导体的光电转换装置的报告(例如,参照专利文件2、3)。
[专利文件1]日本专利申请公开2000-277439号公报
[专利文件2]
日本专利公告公报(Publication of Examined Applications)平2-53941号公报
[专利文件3]
日本专利公告公报(Publication of Examined Applications)昭62-62073号公报
当利用微晶硅作为光电转换层时,需要1μm以上、优选为10μm左右的膜厚度,然而,通过高频等离子体CVD法的微晶硅膜的堆积速度即使将成膜条件最佳化也是0.1nm/秒以下,而不合乎实用。换言之,当利用微晶硅膜作为光电转换层时,有如下问题:生产率不高,并且其成本竞争力弱于其他结晶硅光电转换装置。
发明内容
于是,本发明是解决上述问题的,其目的在于提供一种在不降低堆积速度的情况下制造微晶半导体膜的方法、以及利用微晶半导体作为光电转换层的光电转换装置的制造方法。本发明的目的还在于提供一种制造光电转换装置的制造装置。
在包括对由并列设置的多个波导管以及墙面包围的处理室供应包含氦的反应气体的单元等的制造装置中,供应包含氦的反应气体,并且在将处理室内的压力保持为大气压或准大气压的同时,对由并列设置的波导管夹住的空间供应微波来产生等离子体,在装载于处理室内的衬底上堆积由微晶半导体构成的光电转换层。在并列设置的多个波导管的相对表面设置有槽缝,并且通过该槽缝对处理室内供应微波来产生等离子体,结果可以谋求实现等离子体的高密度化。将当产生等离子体时的处理室的压力设定为大气压或准大气压。典型地应用1×102Pa以上且1×105Pa以下(1Torr以上且760Torr以下)的压力。
光电转换层在添加有一种导电型的杂质的杂质半导体层和添加有与一种导电型相反的导电型的杂质的杂质半导体层之间具有本证半导体层。在本发明中,作为光电转换层,使用微晶半导体至少形成本证半导体层。此外,因为并列设置多个波导管,并且在夹在这些波导管之间的空间可以产生高密度的等离子体,所以即使在大约1×102Pa以上且1×105Pa以下的压力下也可以稳定地形成本发明的半导体层。
在此,本征半导体是指如下半导体:包括在该半导体中的赋予一种导电型的杂质(赋予p型或n型的杂质)的浓度为1×1020cm-3以下,且氧及氮的浓度为9×1019cm-3以下,并且相对于暗导电率的光导电率为100倍以上。该本征半导体包括包含赋予p型或n型的杂质即属于元素周期表的第13族或第15族的杂质的半导体。这是因为如下缘故:由于微晶半导体即使当意图性地不添加以价电子控制为目的的杂质时也呈现弱n型的导电性,所以有时在本征半导体层中在进行成膜的同时或成膜之后意图性或非意图性地添加赋予p型的杂质。将此称为实际上本征半导体层,其包括在本发明的本征半导体层中,将这些总称为本征半导体层(以下也称为“i型半导体层”)。
根据本发明的微晶半导体膜是晶粒以分散的方式在非晶半导体膜中存在的半导体膜。这种微晶半导体膜不同于多晶半导体膜,可以在半导体膜中包括微小的结晶结构或晶粒的状态下,在衬底上直接形成。具体地说,在对SiH4使用稀释气体以流量比为2倍至1000倍、优选为10倍至100倍进行稀释,并且供应微波频带的电磁能量实现等离子体化,而引起自由基反应的同时在衬底上进行堆积。作为其稀释气体,可以使用氢、稀有气体(氦、氩、氪、氖)、或者卤素气体(氟、氯等)中的任何一种,或者这些的组合。再者,还可以组合使用稀有气体的氦、氩、氪、氖中的多个。这样制造的微晶半导体在非晶体半导体中包括0.5nm至20nm的晶粒。在本发明中,将这种半导体至少使用于光电转换层,即可。对光电转换层来说,不需要在其膜厚方向上都是微晶半导体或者与此类似的半导体,而只要将这种微晶半导体至少包括在其膜厚方向上的一部分,即可。
根据本发明,通过将微晶半导体成膜时的压力作为大气压或准大气压,来产生微波等离子体,与现有技术相比,可以以50倍左右的堆积速度来形成,而可以得到优质的微晶半导体。通过利用这种微晶半导体形成光电转换层,光退化所引起的特性降低变成为1/5至1/10,而可以得到实际上几乎没有问题的光电转换装置。该光电转换装置的光电转换层的膜厚度为0.5μm至10μm,即可。因此,与利用单晶或多晶硅片的光电转换装置相比,可以节省资源。
附图说明
图1A和1B是表示利用微晶半导体作为光电转换层的光电转换装置的图;
图2A和2B是表示利用微晶半导体作为光电转换层的光电转换装置的图;
图3是表示具有多个处理室的多室微波等离子体CVD装置的结构的图;
图4是说明具有多个处理室的多室微波等离子体CVD装置中的处理室的结构的图;
图5是表示具有多个处理室的多室微波等离子体CVD装置中的处理室的覆盖物部分的详细结构的图;
图6是表示具有多个处理室的多室微波等离子体CVD装置中的处理室的结构的平面图;
图7是表示等离子体产生装置327的结构的图;
图8是表示串列型的多室微波等离子体CVD装置的一个例子的图;
图9是表示等离子体CVD装置中的气体精炼循环装置的结构的图;
图10A和10B是表示利用微晶半导体作为光电转换层的光电转换装置的制造工序的截面图;
图11A和11B是表示利用微晶半导体作为光电转换层的光电转换装置的制造工序的截面图;
图12A至12C是表示利用微晶半导体作为光电转换层的光电转换装置的制造工序的截面图;
图13是表示利用微晶半导体作为光电转换层的光电转换装置的制造工序的截面图;
图14A至14C是表示利用微晶半导体作为光电转换层的光电转换装置的制造工序的截面图;
图15是表示利用微晶半导体作为光电转换层的光电转换装置的制造工序的截面图;
图16是表示利用微晶半导体作为光电转换层的光传感装置的图。
具体实施方式
下面,参照附图将说明本发明的实施方式。但是,本发明不局限于如下的说明,所属技术领域的普通技术人员可以很容易地理解一个事实就是其方式和详细内容在不脱离本发明的宗旨及其范围下可以被变换为各种各样的形式。因此,本发明不应该被解释为仅限定在以下所示的实施方式所记载的内容中。在以下说明的本发明的结构中,在不同附图中共同使用表示相同部分的附图标记。
图1A表示根据本实施方式的光电转换装置的结构。该光电转换装置通过以夹在p型半导体层14和n型半导体层18之间的方式设置i型半导体层16,至少包括一个半导体结。在本实施方式中,这些半导体层都由微晶半导体层构成。
作为衬底10,可以使用在市场上出售的各种各样的玻璃板诸如蓝板玻璃、白板玻璃、铅玻璃、强化玻璃、陶瓷玻璃等。此外,还可以使用:铝硅酸盐玻璃、钡硼硅酸盐玻璃等的称为无碱玻璃衬底的衬底;石英衬底;不锈钢等金属衬底。当将衬底10用作光入射面时,利用氧化铟、氧化铟锡、氧化锌等透明导电膜材料形成第一电极12。另一方面,当将第一电极12用作反射电极时,利用铝、银、钛、钽等金属材料来形成。当将第一电极12用作反射电极时,通过在电极的表面上形成凹凸,可以将光关在里面,而可以提高光电转换装置的转换效率,因此是优选的。
p型半导体层14由包含硼作为一种导电型杂质的微晶半导体形成。为了降低光吸收损失,也可以包含碳来扩大光学带隙(opticalgap)。
i型半导体层16是本征半导体,并且它由微晶半导体(典型地说微晶硅)形成。注意,i型半导体是指如下半导体:包括在该半导体中的赋予p型或n型的杂质的浓度为1×1020cm-3以下,且氧及氮的浓度为5×1019cm-3以下,并且相对于暗导电率的光导电率为100倍以上。尤其是,将氧的浓度设定为1×1019cm-3以下、优选为5×1018cm-3以下,即可。这是因为在微晶半导体中氧成为施主的缘故。将该i型半导体层16的膜厚度设定为0.1μm至10μm、优选为0.5μm至5μm、更优选为1μm至3μm。
i型半导体层16也可以添加有1ppm至1000ppm的赋予p型的杂质。换言之,微晶半导体当意图性地不添加以价电子控制为目的的杂质时呈现弱n型的导电性,所以当应用于i型半导体层16时,在进行成膜的同时或成膜之后添加赋予p型的杂质,即可。作为赋予p型的杂质,典型地有硼,并且优选以1ppm至1000ppm的比例将B2H6、BF3等杂质气体混入在半导体材料气体中。并且,优选将硼的浓度设定为例如1×1014cm-3至6×1016cm-3
微晶半导体包括具有非晶体和晶体结构(包括单晶、多晶)的中间结构的半导体。该半导体是具有在自由能上稳定的第三状态的半导体,且它是具有短程序列以及晶格畸变的晶质,并且可以将其粒径设定为0.5nm至20nm来使它分散在非单晶半导体中而存在。此外,作为终结悬空键的元素,包含至少1原子%或其以上的氢或卤素。再者,通过包含氦、氩、氪、氖等稀有气体元素来进一步促进晶格畸变,可以得到稳定性更高的优质的微晶。这种微晶硅具有晶格畸变,并且由于该晶格畸变,光学特性从单晶硅的间接跃迁型变成直接跃迁型。当至少有10%的晶格畸变时,光学特性变成直接跃迁型。注意,通过使晶格畸变在局部存在,也可以呈现直接跃迁和间接跃迁混在一起的光学特性。
微晶半导体通过利用微波频带的电磁能量使以硅烷为代表的半导体材料气体等离子体化,且堆积反应生成物来形成。作为典型的半导体材料气体,可以使用SiH4。除此以外,还可以使用Si2H6、SiH2Cl2、SiHCl3、SiCl4、SiF4等。产生等离子体的压力为大气压或准大气压(1×102Pa以上且1×105Pa以下),即可。当在这种压力下产生等离子体时,在气相中进行自由基反应,与薄膜上的表面反应互起作用而提高成膜速度。在此情况下,通过以1kHz至100kHz的脉冲放电微波,控制气相反应,而可以抑制在气相中产生粒子等的异常生长。通过利用稀释气体稀释该半导体材料气体而使用,可以使在大气压或准大气压下产生的等离子体处于稳定状态,而可以容易形成微晶。作为稀释气体,可以使用氢、稀有气体(氦、氩、氪、氖)、以及卤素气体(氟、氯等)中的任何一种,或者这些的组合。还可以组合氦、氩、氪、氖中的多个。优选在稀释率为10倍至2000倍的范围内稀释半导体材料气体。通过使用包含这种半导体材料气体和稀释气体的反应气体,来形成微晶半导体。
为了产生等离子体,供应1GHz至5GHz、典型为2.45GHz的电磁波,即可。衬底加热温度优选为500℃以下、更优选为100℃至400℃。此外,也可以将CH4、C2H6等碳的氢化物、GeH4、GeF4等氢化锗、氟化锗混入在半导体材料气体中,并且将能带幅度调节为1.5eV至2.4eV、或者0.9eV至1.1eV。
n型半导体层18由包含磷作为一种导电型杂质的微晶半导体形成。它也可以由非晶半导体形成而代替微晶半导体。通过利用铝、银、钛、钽、铬等金属材料形成n型半导体层18上的第二电极20。此外,在使光从第二电极20一侧入射的情况下,利用透明导电膜材料形成第二电极20。
图1A举例说明包括p型半导体层14、i型半导体层16、n型半导体层18的光电转换层的结构,但是,作为构成光电转换层的半导体结,除了该pin结以外,还可以应用其他结构。
图1B表示在p型半导体层14和i型半导体层16之间设置p-型半导体层15的结构。p-型半导体层15是赋予p型的杂质浓度低于p型半导体层14的半导体层。通过在p型半导体层14和i型半导体层16之间存在有p-型半导体层15,改善半导体结界面上的载流子传输性。在此情况下,通过使p-型半导体层15中的p型杂质浓度成为从p型半导体层14到i型半导体层16以楼梯状的方式或者以连续的方式减少地分布,进一步改善载流子传输性。此外,通过采用该结构,降低界面态密度且提高扩散电位,而增高光电转换装置的开路电压。
图2A表示在i型半导体层16和n型半导体层18之间设置由非晶半导体构成的i’型半导体层17的结构。i’型半导体层17与i型半导体层16不同之处在于没有结晶性。i’型半导体层17是吸收i型半导体层16没有吸收的光的层(表示外光从衬底10一侧入射的结构的情况)。i型半导体层16的光学带隙大约为1.4eV,而i’型半导体层17的光学带隙为1.6eV至1.8eV。换言之,i’型半导体层17的可见光区域的吸收系数更高。因此,i’型半导体层17可以吸收i型半导体层16没有吸收的光。i’型半导体层17的厚度为i型半导体层16的厚度的二分之一至十分之一,即可。i’型半导体层17的光产生载流子中的空穴流到p型半导体层14一侧,并且通过穿过载流子传输性高的i型半导体层16,可以降低复合损失。换言之,根据本结构,可以增加光电流。
图2B表示在p型半导体层14和i型半导体层16之间设置p-型半导体层15且在i型半导体层16和n型半导体层18之间设置由非晶半导体构成的i’型半导体层17的结构。根据本结构,降低界面态密度且提高扩散电位,因此提高光电转换装置的开路电压,而可以增加光电流。
在这种光电转换装置中,优选连续形成半导体层,而不使它们的界面暴露于大气。此外,为了控制价电子,对各半导体层添加微量的杂质,因此优选使用具有多个处理室的多室结构的微波等离子体CVD装置。特别优选使用通过微波等离子体CVD法的电子密度为1×1011cm-3以上且1×1013cm-3以下左右,且电子温度为0.2eV以上且2.0eV以下左右(更优选为0.5eV以上且1.5eV以下)的等离子体。当利用电子密度高且电子温度低的等离子体时,等离子体损伤较少且缺陷也较少,因此可以形成优质的微晶半导体膜。以下示出适于形成微晶半导体膜的装置的一个例子。
图3表示具有多个处理室的多室微波等离子体CVD装置的一个例子。该装置具有如下结构:在公共室306周围具有装载/卸载室301、第一处理室302、第二处理室303、以及第三处理室304。第一处理室302至第三处理室304具有如下结构:上表面由多个波导管围绕,并且侧面及底面由墙面围绕。第一处理室302是用来形成p型半导体层的处理室,第二处理室303是用来形成i型半导体层的处理室,第三处理室304是用来形成n型半导体层的处理室。
被处理衬底通过公共室306搬入于各处理室且从各处理室搬出。在公共室306和各室之间设置有闸阀307,以免在各处理室中进行的处理彼此干扰。衬底被装在装载/卸载室301中的盒子308中,并且由公共室306中的搬送机309搬运到第一处理室302、第二处理室303、第三处理室304。
各处理室内被惰性气体或氮气取代且连接到气体供应装置312、排气装置310。以使能够以大约1×102Pa以上且1×105Pa以下的压力流过处理用气体。因为利用本装置的成膜是以上述压力进行的,所以排气装置310优选为吸引排气能力高的。在排气装置310和各处理室之间设置有开关阀311,并且也可以利用此来控制排气速度。
气体供应装置312由汽缸(cylinder)313、阀314、流量控制器315等构成。汽缸313填充有半导体材料气体或稀有气体等用于过程的气体等。当作为稀有气体使用氦时,可以稳定地产生等离子体。气体供应装置312p连接到第一处理室302,供应p型半导体层用气体。气体供应装置312i连接到第二处理室303,供应i型半导体层用气体。气体供应装置312n连接到第三处理室304,供应n型半导体层用气体。气体供应装置312h供应氢,而气体供应装置312f是供应用于清洗处理室内的蚀刻气体的系统,并且这些被构成作为各处理室之间共同的管道。
在各处理室中设置有等离子体产生装置305。等离子体产生装置305包括在处理室内产生等离子体的振荡器。例如由微波电源、微波放大器和将微波引导于处理室的波导管等构成。在各处理室中设置有一个或多个等离子体产生装置305。为了保持对于被处理衬底的面积形成的膜的均匀性,设置必需的个数的等离子体产生装置305,即可。
图4是说明处理室的一个例子的截面图。处理室由覆盖物317和处理容器316构成。处理容器316具有墙面,并且覆盖物317具有并列设置为梳子状的多个波导管321。通过采用处理容器316和覆盖物317的密封结构,可以将压力保持为大约1×102Pa以上且1×105Pa以下。处理容器316例如由不锈钢、铝等金属形成。
由处理容器316和覆盖物317形成密封空间的处理室内设置有气体供应装置312,以使可以使用惰性气体或氮气取代。连接到该处理室的排气装置310是用来排出供应到处理室的气体的。为了将处理室内的气氛更确实地取代,排气装置310也可以由真空泵构成。
在处理容器316内部设置有衬托器(susceptor)318,该衬托器318是用来装载衬底等被处理物的。衬托器318由氮化铝、氮化硅、碳化硅等陶瓷材料构成。在衬托器318内部设置有加热器319。加热器319与加热器电源320连接。加热器319嵌入在衬托器318中,并且它通过利用加热器电源320所供应的电力而发热,将装载在衬托器318上的衬底保持为预定温度。
覆盖物317密封处理容器316的上部。在处理室内,覆盖物317具有的多个波导管321以狭窄的间隔并列设置为使其突出为梳子状。波导管以2mm以上且10mm以下的间隔并列设置。相对于一个处理衬底并列设置有多个波导管321。这种波导管321分别连接到微波电源322。在波导管321设置有槽缝323,并且从该槽缝323泄漏微波。泄漏是指如下状态:槽缝323被透过微波的介质板324堵塞,并且通过介质板324供应微波。介质板324由石英等形成,并且为了防止处理用气体流入波导管321中而堵塞槽缝323。介质板324为了均匀性地产生等离子体而配置在波导管321的侧面的中央部分。槽缝323位于并列设置的波导管彼此相对的一侧。换言之,槽缝323彼此相对。
气体供应装置312由填充有处理用气体的汽缸313、阀314、流量控制器315等构成。其流量由流量控制器315调整的处理用气体被供应于处理容器316中。在汽缸313中填充有用来形成微晶半导体的膜的处理用气体。作为用来成膜的处理用气体,包含硅烷或乙硅烷等半导体材料气体、稀释气体(氢、氟、或稀有气体如氦或氩等)等。在处理容器316中有气体喷嘴325、气体喷嘴326,是处理用气体从该喷嘴流出到处理容器316内的结构。例如,对气体喷嘴325供应氢、氟、或稀有气体如氦或氩等,且在微波被供应的介质板324附近产生高密度自由基,以在微晶半导体被形成的被处理衬底的表面上促进表面反应。对气体喷嘴326供应硅烷或乙硅烷等的半导体材料气体。如此,通过分开气体供应通路,可以抑制在介质板324上堆积薄膜。在成膜的阶段中,也可以从气体喷嘴325继续供应氢、氟、或者稀有气体如氦或氩等。
微波通过介质板324供应到处理室内。通过并列设置波导管321且将槽缝323设置在相对的表面,可以在由波导管321夹住的空间中产生高密度的等离子体。例如,当利用传播在介质板324的表面上的表面波来形成表面波等离子体时,可以利用无电磁场微波放电来形成高密度且均匀的等离子体。在此情况下,因为在由波导管321夹住的狭窄的空间,换言之,在狭窄的间隔中形成等离子体,所以即使在大约1×102Pa以上且1×105Pa以下的压力下也可以稳定地形成等离子体。波导管的间隔在2mm以上且10mm以下的范围内,该间隔可以成为上述压力越高越窄并且上述压力越低越宽。
在由波导管321夹住的空间中设置有等离子体产生装置327,该等离子体产生装置327的间隔比并列设置的波导管321的间隔宽。在由波导管321夹住的空间中,沿着波导管321而设置有多个等离子体产生装置327。等离子体产生装置327连接到微波电源328,该微波电源328与连接到波导管321的微波电源不同。在微波电源328和等离子体产生装置327之间设置有电力放大器329。当对波导管321施加微波电力而开始放电时,使等离子体产生装置327工作,而用来引起等离子体的产生。
等离子体产生装置327具有诊断等离子体的状态的等离子体监视功能,并且其输出值输入到电力放大器329。作为测定等离子体的状态的方法,例如使用朗缪尔探针(Langmuir probe)等,检测出电子密度或电子温度等。对控制电路330输入由设置在处理室的多个等离子体产生装置327监视的等离子体的测定值,根据该值,为了使处理室内的等离子体的密度成为均匀,而将改变放大率的个别控制信号分别输出到电力放大器329。这是有效于抑制称为等离子体的跳动现象,就是说等离子体的高密度领域在放电空间内流动的现象。
上述微波等离子体CVD装置通过将波导管分割成多个而并列设置,即使在衬底尺寸大型化的情况下也可以产生均匀的等离子体。作为衬底尺寸,可以灵活对应以被称为液晶玻璃的第一代的300mm×400mm、第三代的550mm×650mm、第四代的730mm×920mm、第五代的1000mm×1200mm、第六代的2450mm×1850mm、第七代的1870mm×2200mm、第八代的2000mm×2400mm等为典型的各种衬底尺寸。
图5是表示这种处理室的覆盖物317和波导管321的结构的立体图。通过并列设置波导管,形成由这些夹住的细沟状(narrowgroove-shaped)的空间。在并列设置的波导管321的相对表面上设置有堵塞槽缝323的介质板324,并且从该槽缝323泄漏微波而产生等离子体。沿着并列设置的波导管321的细沟状的空间设置有多个等离子体产生装置327。
图6是表示覆盖体317的结构的平面图。多个波导管321并列设置而彼此平行地延伸。在波导管321中设置有槽缝323,并且从该槽缝323泄漏微波。槽缝323位于并列设置的波导管321彼此相对的一侧。为了防止处理用气体流过波导管321中,而槽缝323由透过微波的介质板324堵塞。即使在被处理衬底的面积大的情况下,也通过并列设置多个波导管321,并且由这些波导管夹住的空间中产生微波放电,可以谋求实现等离子体密度的均匀化。再者,在该空间中设置从微波电源328供应电力的多个等离子体产生装置327,并且利用电力放大器329及控制电路330控制等离子体产生装置327来谋求实现等离子体的稳定化,可以谋求实现等离子体密度的均匀化。通过这种结构,可以对例如730mm×920mm的玻璃衬底、或者一边长超过1m的玻璃衬底进行处理。
图7表示等离子体产生装置327的结构。由石英等构成的气体喷嘴331连接到气体供应装置312。在气体喷嘴331的外面设置有供应微波电力的放电用电极332。对气体喷嘴331供应氦等放电开始电压低的非推积性气体,并且通过对放电用电极332施加电力,进行无电极放电。在气体喷嘴331中产生的等离子体扩散到喷嘴的外面。通过将该等离子体产生装置327设置在处理室中,在此产生的等离子体成为开始放电的起点。探针333是用于等离子体诊断而准备的,例如应用朗缪尔探针。该监视值(monitored value)通过电力放大器329输入到控制电路330。
图8表示具有多个处理室的串列型的多室微波等离子体CVD装置的一个例子。具有使第一处理室302、第二处理室303及第三处理室304串联连接的结构,并且在第一处理室302和第三处理室304中设置有装载/卸载室301。各处理室之间被闸阀307分割。第一处理室302是用来形成p型半导体层的处理室,第二处理室303是用来形成i型半导体层的处理室,第三处理室304是用来形成n型半导体层的处理室。
各处理室内被惰性气体或氮气取代,并且连接到气体供应装置312、排气装置310,这是为了以大约1×102Pa以上且1×105Pa以下的压力流过处理用气体。因为利用本装置的成膜是以上述压力进行的,所以排气装置310优选为吸引排气能力高的排气装置。在排气装置310和各处理室之间设置有开关阀311,并且也可以利用这些来控制排气速度。
气体供应装置312由填充有半导体材料气体或稀释气体等的处理用气体的汽缸313、阀314、流量控制器315等构成。气体供应装置312p连接到第一处理室302,供应p型半导体层用气体。气体供应装置312i连接到第二处理室303,供应i型半导体层用气体。气体供应装置312n连接到第三处理室304,供应n型半导体层用气体。气体供应装置312h供应氢,而气体供应装置312f是供应用于清洗处理室内的蚀刻气体的系统,并且这些被构成作为各处理室之间共同的管道。
在各处理室中设置有等离子体产生装置305。等离子体产生装置305包括在处理室内产生等离子体的振荡器。例如由微波电源、微波放大器和将微波引入于处理室的波导管等构成。在各处理室中设置有一个或多个等离子体产生装置305。为了保持对于被处理衬底的面积形成的膜的均匀性,设置必需的个数的等离子体产生装置305,即可。
通过利用图8的结构的串列型的多室微波等离子体CVD装置,可以连续处理多个被处理衬底。
通过利用具有这种结构的等离子体CVD装置,将处理用气体(反应气体)供应于装载有衬底的处理室内,并且通过设置在与衬底相对地配置的波导管的槽缝将微波供应于处理室内。并且,产生等离子体,而可以在衬底上形成由微晶半导体构成的半导体层。
在利用具有上述结构的等离子体CVD装置进行微晶半导体的成膜的情况下,优选当在处理室内产生等离子体时使用氦。换言之,优选在供应用来产生等离子体的电力之前供应氦。氦具有所有的气体中最高的24.5eV的电离能,但是在比该电离能低一点儿的大约20eV的能级中有准稳定状态,所以在放电持续的情况下,作为电离能,仅仅需要其差距的大约4eV,可以持续稳定的放电。此外,放电开始电压也呈现所有的气体中最低的值。根据这种特性,在大气压或准大气压的放电中,氦可以稳定性地维持等离子体。此外,因为可以形成均匀的等离子体,所以即使在堆积微晶半导体层的衬底的面积大时,也可以堆积均匀的膜。
在形成pin结作为由半导体层构成的光电转换层的结构的情况下,优选在微波等离子体CVD装置中设置对应于各微晶半导体层的处理室。在此情况下,首先,将第一处理用气体(反应气体)供应于被处理衬底(形成有第一电极的衬底)被装载的第一处理室302,并且通过设置在与衬底相对地配置的波导管的槽缝将微波供应于第一处理室302。并且,产生等离子体,而在衬底上形成第一微晶半导体层(p型半导体层)。接着,将该衬底以不暴露于大气的方式从第一处理室302搬出且移动到第二处理室303,将第二处理用气体(反应气体)供应于该衬底被装载的第二处理室303,同样地供应微波来产生等离子体,而在第一微晶半导体层上形成第二微晶半导体层(i型半导体层)。然后,将该衬底以不暴露于大气的方式从第二处理室303搬出且移动到第三处理室304,将第三处理用气体(反应气体)供应于该衬底被装载的第三处理室304,同样地供应微波来产生等离子体,而在第二微晶半导体层上形成第三微晶半导体层(n型半导体层)。
此外,作为其他方法,也可以在处理室内连续形成p型半导体层、i型半导体层以及n型半导体层。在此情况下,为了降低i型半导体层所吸收的p型杂质的量,也可以在p型半导体层和i型半导体层之间停止放电,流过以硅烷等为典型的反应性高的气体而取代处理室内的气氛。为了除去处理室内的残留物,优选在进行半导体层的成膜时每次进行处理室内的清洗。优选对处理室内进行下述等离子体清洗。在等离子体清洗之后,也可以流过以硅烷等为典型的反应性高的气体来除去处理室内的残留杂质。
对用来形成半导体层的处理室供应蚀刻气体而进行等离子体清洗。作为蚀刻气体,可以使用三氟化氮(NF3)、六氟化硫(SF6)等。在蚀刻之后,优选利用硅薄膜覆盖处理室内,以便降低留下在处理室内的氟等残留成分的影响。通过将硅烷供应于处理室内来产生等离子体,形成该硅薄膜而实现覆盖。注意,对用来形成具有杂质的半导体层的处理室来说,也可以利用具有杂质的半导体膜覆盖处理室内。例如,对用来形成p型半导体层的处理室来说,利用p型半导体薄膜进行覆盖,即可。通过如此,在等离子体清洗之后利用硅等的膜覆盖处理室内,可以减少从墙面漏气。此外,通过供应硅烷来产生等离子体,留下在处理室内的氧、水分等与硅烷反应而真空排气到处理室外,因此可以提高处理室内的清洁度。在同样的意思上,通过在开始形成半导体层中的各层之前,将硅烷供应处理室内,可以提高处理室内的清洁度。
注意,根据层叠的层的数量设定处理室的数量,即可。例如,如图1B所示,当在p型半导体层14和i型半导体层16之间设置p-型半导体层15时,也可以追加新处理室。当形成p-型半导体层15时,作为处理用气体,将与硅烷一起供应的乙硼烷的浓度控制为大约低于1ppm即可,并且,作为其他方法,也可以利用自掺杂(self-doping)。自掺杂是如下方法:通过利用具有p型杂质的半导体覆盖处理室内,在进行成膜中引入微量的p型杂质(硼等),而可以形成p-型半导体层15。
通过将硅烷(当采用具有杂质的微晶半导体层时,除了硅烷以外还使用掺杂气体)和稀释气体的氢及/或稀有气体混在一起且利用高密度等离子体,来形成微晶半导体层。硅烷被氢及/或稀有气体稀释为10倍至2000倍。因此,需要大量氢及/或稀有气体,所以回收当形成微晶半导体时供应的气体而再次利用。图9表示应用于等离子体CVD装置的气体精炼循环装置334的一个例子。
作为气体精炼循环装置334,有回收且精炼硅烷等半导体材料气体的类型、以及回收且精炼氦等稀有气体的类型。由环行器(循环器)等构成的排气装置310吸收第二处理室303的处理用气体,并且该处理用气体从排气装置310排出作为用过的气体。用过的气体通过过滤器335去掉微粒子而蓄积在回收气体容器336中。在蓄积在回收气体容器336中之后,由升压器337升压,并且由分离器338仅仅分离氦等稀有气体。作为分离器338的结构,应用膜分离、吸附分离、吸收分离、低温分离(cryogenic separation)方式等。分离精炼了的气体蓄积在填充容器339中。蓄积在填充容器339中的气体被压力调整器340调整为预定的压力,并且供应到气体供应装置312的流量控制器315的上流一侧。通过这样再次利用处理用气体,可以削减微晶半导体所需要的处理用气体的消费量。换言之,通过再次利用氦等稀有气体等,可以降低当制造光电转换装置时需要的所有的能量消费量,而可以削减二氧化碳的排出量。
通过利用这种装置来形成的微晶半导体膜可以在大面积衬底上均匀性地堆积,并且可以构成在衬底上使多个单元元件串联电连接的集成型光电转换装置。以下说明该工序的概略。
图10A和10B以及图11A和11B表示光电转换装置的制造工序,其中,在具有绝缘表面的衬底上设置多个光电转换单元元件,并且在衬底上各光电转换单元元件串联连接。在衬底10上形成第一电极12。第一电极12由开口M0至Mn绝缘分离为多个(图10A)。通过在衬底10的整个表面上形成导电膜,并且根据开口图案蚀刻而去掉该导电膜,或者利用激光束等能量射束直接加工该导电膜,来形成开口M0至Mn。当对通过激光加工在衬底10上形成的导电膜、半导体膜以及绝缘膜进行加工时,优选利用光学系统聚焦激光束来进行。这是为了实现细微加工的。此外,如上所述,为了效率好地加工大面积衬底,下述方法是有效的:将激光束聚焦为线形而利用一次或多次脉冲激光束的照射来形成特长的开口图案。
在第一电极12中形成开口M0至Mn之后,形成成为光电转换层的半导体层。图10A例示从第一电极12一侧形成p型半导体层14、i型半导体层16、n型半导体层18的情况。作为光电转换层的结构,可以应用图1A和1B以及图2A和2B所示的结构,而代替该结构。优选通过利用图3所示的具有多个处理室的多室微波等离子体CVD装置形成光电转换层。当使用具有该结构的等离子体CVD装置时,可以以不暴露于大气的方式连续形成光电转换层。
在光电转换层中形成开口C1至Cn(图10B)。开口C1至Cn是贯穿p型半导体层14、i型半导体层16、n型半导体层18的开口,并且将它们加工为使第一电极12的表面或者侧面露出。以预定间隔且与开口M0至Mn相邻地形成开口C1至Cn。也可以通过利用激光加工而进行该工序。
第二电极20由开口S1至Sn分离,并且利用开口C1至Cn与第一电极12电连接(图11A)。以预定间隔且与开口C1至Cn相邻地形成开口S1至Sn。也可以通过利用激光加工而进行该工序。在进行激光加工的情况下,当使用铬作为第二电极20时容易进行选择加工,因为铬具有升华性。
由此,形成多个在第一电极12和第二电极20之间具有光电转换层的单元元件,而可以获得各单元元件与其分别相邻的单元元件串联连接的集成型结构。
示出如下结构:在第二电极20上设置取出电极22,且由保护膜24覆盖,并且设置保护膜25(图11B)。保护膜25具有三层结构,并且EVA(乙烯-醋酸乙烯酯)26是当加热时熔解的粘合剂的层。铝箔27是用来防湿的层,也是用来遮断从外部进入的水蒸气的层。外皮薄膜28由聚对苯二甲酸乙二醇酯(PET)等形成。据此,可以获得多个单元元件连接到衬底10的光电转换装置。
下面,参照图12A至12C以及图13说明通过印刷法形成绝缘图案或导电图案的光电转换装置的制造工序。在衬底101上设置透光电极层102。或者,准备具有透光电极层102的衬底(图12A)。透光电极层102由氧化铟锡合金(ITO)、氧化锌(ZnO)、氧化锡(SnO2)、ITO-ZnO合金等构成且其厚度为40nm至200nm(优选为50nm至100nm)。透光电极层102的薄层电阻是20Ω/□至200Ω/□左右,即可。
此外,作为透光电极层102,也可以使用包含导电高分子(也称为导电聚合物)的导电组成物。对导电组成物来说,在形成薄膜作为透光电极层102的情况下,其薄膜中的薄层电阻优选为10000Ω/□以下,并且其波长550nm中的透光率优选为70%以上。此外,所包含的导电高分子的电阻率优选为0.1Ω·cm以下。作为导电高分子,可以使用所谓π电子共轭类导电高分子。例如,可以应用聚苯胺及/或其衍生物、聚吡咯及/或其衍生物、聚噻吩及/或其衍生物、以及由这些中的两种以上构成的共聚物等。
作为共轭类导电高分子的具体例子,可以应用聚吡咯、聚(3-甲基吡咯)、聚(3-丁基吡咯)、聚(3-辛基吡咯)、聚(3-癸基吡咯)、聚(3,4-二甲基吡咯)、聚(3,4-二丁基吡咯)、聚(3-羟基吡咯)、聚(3-甲基-4-羟基吡咯)、聚(3-甲氧基吡咯)、聚(3-乙氧基吡咯)、聚(3-辛氧基吡咯)、聚(3-羧基吡咯)、聚(3-甲基-4-羧基吡咯)、聚(N-甲基吡咯)、聚噻吩、聚(3-甲基噻吩)、聚(3-丁基噻吩)、聚(3-辛基噻吩)、聚(3-癸基噻吩)、聚(3-十二烷基噻吩)、聚(3-甲氧基噻吩)、聚(3-乙氧基噻吩)、聚(3-辛氧基噻吩)、聚(3-羧基噻吩)、聚(3-甲基-4-羧基噻吩)、聚(3,4-乙烯二氧噻吩)、聚苯胺、聚(2-甲苯胺)、聚(2-辛基苯胺)、聚(2-异丁基苯胺)、聚(3-异丁基苯胺)、聚(2-苯胺磺酸)、聚(3-苯胺磺酸)等。
既可以将上述导电高分子单独地作为导电组成物使用于透光电极层,又可以对该导电高分子添加有机树脂而使用,以便调整导电组成物的性质。
作为有机树脂,只要能够与导电高分子互相溶化或混合分散,就可以使用热固性树脂、热可塑性树脂、光固性树脂。例如,可以应用:聚对苯二甲酸乙二醇酯、聚对苯二甲酸丁二醇酯、聚萘二甲酸乙二醇酯等聚酯类树脂;聚酰亚胺、聚酰胺-酰亚胺等聚酰亚胺类树脂;聚酰胺6、聚酰胺6,6、聚酰胺12、聚酰胺11等聚酰胺树脂;聚偏二氟乙烯、聚氟化乙烯、聚四氟乙烯、乙烯-四氟乙烯共聚物、聚含氯三氟乙烯等氟树脂;聚乙烯醇、聚乙烯醚、聚乙烯醇缩丁醛、聚乙酸乙烯酯、聚氯乙烯等乙烯树脂;环氧树脂;二甲苯树脂;芳族聚酰胺树脂;聚氨酯类树脂;聚脲类树脂;蜜胺树脂;酚醛类树脂;聚醚;丙烯酸类树脂;以及由这些构成的共聚物等。
再者,在要调整导电组成物的导电率时,也可以通过对导电组成物掺杂受主或施主掺杂剂,改变共轭导电高分子的共轭电子的氧化还原电位。
作为受主掺杂剂,可以使用卤素化合物、路易斯酸(Lewis acid)、质子酸(protonic acid)、有机氰化合物、有机金属化合物等。作为卤素化合物,可以举出氯、溴、碘、氯化碘、溴化碘、氟化碘等。作为路易斯酸,可以举出五氟化磷、五氟化砷、五氟化锑、三氟化硼、三氯化硼、三溴化硼等。作为质子酸,可以举出:盐酸、硫酸、硝酸、磷酸、硼氟化氢酸、氟化氢酸、过氯酸等无机酸;以及有机羧酸、有机磺酸等有机酸。作为有机羧酸以及有机磺酸,可以使用所述羧酸化合物以及磺酸化合物。作为有机氰化合物,可以使用在共轭键中包括两个以上的氰基的化合物。例如,可以应用四氰基乙烯、四氰基乙烯氧化物、四氰基苯、四氰基对醌二甲烷、四氰基氮杂萘等。
作为施主掺杂剂,可以应用碱金属、碱土金属、三级胺化合物等。
可以通过使导电组成物溶解于水或有机溶剂(醇类溶剂、铜类溶剂、酯类溶剂、碳化氢类溶剂、芳香类溶剂等)并且利用湿法,来形成成为透光电极层的薄膜。对溶解导电组成物的溶媒没有特别的限制,使用使上述导电高分子及有机树脂等高分子树脂化合物溶解的溶媒即可。例如,使导电组成物溶解于水、甲醇、乙醇、碳酸丙烯酯、N-甲基吡咯烷酮、二甲基甲酰胺、二甲基乙酰胺、环己酮、丙酮、甲乙酮、甲异丁酮、甲苯等中或者由这些构成的混合溶剂中,即可。
可以在上述那样使导电组成物溶解于溶媒中之后,利用涂布法、涂敷法、液滴喷射法(也称为喷墨法)、印刷法等湿法,来形成由导电组成物构成的膜。当要使溶媒干燥时,既可以进行热处理,又可以在减压下进行。此外,当有机树脂是热固性时,还进行加热处理,而当有机树脂是光固性时,进行光照射处理,即可。
此外,透光电极层102可以通过利用复合透光导电材料来形成,该复合透光导电材料包含空穴传输性有机化合物、以及对于该空穴传输性有机化合物显示电子接收性的金属氧化物。通过在该复合透光导电材料中,使空穴传输性有机化合物、以及对于该空穴传输性有机化合物显示电子接收性的金属氧化物复合化,可以使电阻率成为1×106Ω·cm以下。注意,“复合”不但意味着仅仅将两种材料混在一起,而且意味着通过混合成为在两种材料之间能够进行电荷的收发的状态。
复合透光导电材料是通过复合第一有机化合物和无机化合物而形成的复合材料。作为用于复合透光导电材料的第一有机化合物,可以使用各种化合物诸如芳香胺化合物、咔唑衍生物、芳香烃、高分子化合物(低聚物、树状聚合物、聚合物等)等。注意,作为用于复合材料的第一有机化合物,优选使用空穴传输性高的有机化合物。具体地说,优选使用具有1×10-6cm2/Vsec以上的空穴迁移率的物质。但是,只要是空穴传输性高于电子传输性的物质,就可以使用这些以外的物质。
作为可以用于复合材料的第一有机化合物,可以应用以下例示的有机化合物。作为芳香胺化合物,可以应用4,4’-双[N-(1-萘基)-N-苯基氨基]联苯(缩写:NPB)、4,4’-双[N-(3-甲基苯)-N-苯基氨基]联苯(缩写:TPD)、4,4’,4”-三(N,N-二苯基氨基)三苯胺(缩写:TDATA)、4,4’,4”-三[N-(3-甲基苯)-N-苯基氨基]三苯胺(缩写:MTDATA)等。
此外,可以通过使用下面所示的有机化合物,获得在450nm至800nm的波长区域中没有吸收峰的复合材料。另外,与此同时可以使电阻率成为1×106Ω·cm以下、典型地成为5×104Ω·cm至1×106Ω·cm。
作为包含于在450nm至800nm的波长区域中没有吸收峰的复合材料中的芳香胺,可以应用N,N’-二(对-甲苯基)-N,N’-二苯基-对-苯二胺(缩写:DTDPPA)、4,4’-双[N-(4-二苯基氨基苯基)-N-苯基氨基]联苯(缩写:DPAB)、4,4’-双(N-{4-[N-(3-甲基苯基)-N-苯基氨基]苯基}-N-苯基氨基)联苯(缩写:DNTPD)、1,3,5-三[N-(4-二苯基氨基苯基)-N-苯基氨基]苯(缩写:DPA3B)等。
此外,作为可以用于在450nm至800nm的波长区域中没有吸收峰的复合材料的咔唑衍生物,具体来说,可以应用3-[N-(9-苯基咔唑-3-基)-N-苯基氨基]-9-苯基咔唑(缩写:PCzPCA1)、3,6-双[N-(9-苯基咔唑-3-基)-N-苯基氨基]-9-苯基咔唑(缩写:PCzPCA2)、3-[N-(1-萘基)-N-(9-苯基咔唑-3-基)氨基]-9-苯基咔唑(缩写:PCzPCN1)等。
此外,还可以使用4,4’-二(N-咔唑基)联苯(缩写:CBP)、1,3,5-三[4-(N-咔唑基)苯基]苯(缩写:TCPB)、9-[4-(N-咔唑基)]苯基-10-苯基蒽(缩写:CzPA)、2,3,5,6-三苯基-1,4-双[4-(N-咔唑基)苯基]苯等。
此外,作为可以用于在450nm至800nm的波长区域中没有吸收峰的复合材料的芳香烃,例如可以举出9,10-二(萘-2-基)-2-叔-丁基蒽(缩写:t-BuDNA)、9,10-二(萘-1-基)-2-叔-丁基蒽、9,10-双(3,5-二苯基苯基)蒽(缩写:DPPA)、9,10-二(4-苯基苯基)-2-叔-丁基蒽(缩写:t-BuDBA)、9,10-二(萘-2-基)蒽(缩写:DNA)、9,10-二苯基蒽(缩写:DPAnth)、2-叔-丁基蒽(缩写:t-BuAnth)、9,10-二(4-甲基萘-1-基)蒽(缩写:DMNA)、2-叔-丁基-9,10,-双[2-(萘-1-基)苯基]蒽、9,10-双[2-(萘-l-基)苯基]蒽、2,3,6,7-四甲基-9,10-二(萘-1-基)蒽、2,3,6,7-四甲基-9,10-二(萘-2-基)蒽、9,9’-二蒽基、10,10’-二苯基-9,9’-二蒽基、10,10’-二(2-苯基苯基)-9,9’-二蒽基、10,10’-双[(2,3,4,5,6-戌苯)苯基]-9,9’-二蒽基、蒽、并四苯、红荧烯、二萘嵌苯、2,5,8,11-四(叔-丁基)二萘嵌苯等。此外,还可以使用并五苯、晕苯等。像这样,更优选使用具有1×10-6cm2/Vsec以上的空穴迁移率且碳数为14至42的芳香烃。
注意,可以用于在450nm至800nm的波长区域中没有吸收峰的复合材料的芳香烃还可以具有乙烯基骨架。作为具有乙烯基骨架的芳香烃,例如可以应用4,4’-双(2,2-二苯基乙烯基)联苯(缩写:DPVBi)、9,10-双[4-(2,2-二苯基乙烯基)苯基]蒽(缩写:DPVPA)等。
此外,还可以使用高分子化合物诸如聚{4-[N-(4-二苯氨基苯)-N-苯基]氨基苯乙烯}(缩写:PStDPA)、聚{4-[N-(9-咔唑-3-基)-N-苯基氨基]苯乙烯}(缩写:PStPCA)、聚(N-乙烯基咔唑)(缩写:PVK)、聚(4-乙烯基三苯胺)(缩写:PVTPA)等。
此外,作为用于复合材料的无机化合物,优选使用过渡金属氧化物。而且,优选使用属于元素周期表中的第4族至第8族的金属的氧化物。具体地,优选使用氧化钒、氧化铌、氧化钽、氧化铬、氧化钼、氧化钨、氧化锰以及氧化铼,因为它们具有高电子接收性。其中特别优选使用氧化钼,因为它在大气中也稳定且其吸湿性低,容易处理。
注意,含有复合材料的层的制造方法不局限于湿法、干法而可以使用任何方法。例如,可以通过上述有机化合物和无机化合物的共同蒸镀,来制造含有复合材料的层。注意,在利用蒸镀法制造含有复合材料的层的情况下,从制造过程的方面来看,优选使用氧化钼,因为氧化钼在真空中容易蒸发。此外,还可以通过涂敷含有上述有机化合物和金属醇盐的溶液并进行焙烧,来制造含有复合材料的层。作为涂敷方法,可以采用喷墨法、旋涂法等。
可以通过选择包含于复合材料中的有机化合物的种类,获得在450nm至800nm的波长区域中没有吸收峰的复合材料。因此,在用于自发光型发光装置的情况下,可以不吸收来自发光区域的发光且有效地透过光,而提高外部取光效率。此外,也可以不吸收来自背光灯的光且有效地透过光,所以可以提高外部取光效率。此外,含有复合材料的层耐弯曲性能好。也就是说,在使用柔性衬底来制造光电转换装置的情况下,可以适当地应用。
作为透光电极层102,ITO膜很适合。但是,它容易受到等离子体导致的损伤。然而,当使用根据本方式的微波等离子体CVD法时,电子温度低,所以可以抑制电极材料的退化。当然,为避免ITO膜的退化而在ITO膜上形成SnO2膜、ZnO膜是很有效的。包含1wt%至10wt%的钾(Ga)的ZnO(ZnO:Ga)膜的透过率高,而是适合在ITO膜上层叠的材料。作为其组合的一个实例,当将ITO膜形成为50nm至60nm的厚度,并且在其上形成厚度为25nm的ZnO:Ga膜时,可以避免失去透明性,而可以获得良好的透光性。在该叠层膜中,可以获得120Ω/□至150Ω/□的薄层电阻。
光电转换层103由通过所述微波等离子体CVD法制造的微晶半导体构成。作为微晶半导体的典型例子,有以SiH4为原料而制造的微晶硅半导体。此外,应用微晶硅·锗半导体、微晶碳化硅半导体。光电转换层103包括半导体结。当使用根据本方式的微波等离子体CVD法时,电子温度低,所以抑制各结界面上的损伤,而可以形成具有良好的半导体结的光电转换层。
图12A表示光电转换层103从透光电极层102一侧层叠有p型半导体层103a、i型半导体层103b、n型半导体层103c的状态的一个例子。各半导体层的厚度可以设定为如下:将p型半导体层103a的厚度设定为10nm至20nm;将i型半导体层103b的厚度设定为0.1μm至10μm、优选为0.5μm至5μm、更优选为1μm至3μm;将n型半导体层103c的厚度设定为20nm至60nm。
也可以在层叠有p型半导体层103a、i型半导体层103b、n型半导体层103c的状态下、或者在层叠有p型半导体层103a、i型半导体层103b的阶段下,进行对这些微晶半导体层照射激光束而提高结晶性的处理。作为对微晶半导体层照射的激光束,可以使用紫外光、可见光、或者红外光。作为可以振荡紫外光、可见光、或者红外光的激光振荡器,可以使用KrF、ArF、XeCl、Xe等受激准分子激光振荡器;He、He-Cd、Ar、He-Ne、HF等气体激光振荡器;利用在YAG、GdVO4、YVO4、YLF、YAlO3等结晶中掺杂有Cr、Nd、Er、Ho、Ce、Co、Ti或Tm的结晶的固体激光振荡器;GaN、GaAs、GaAlAs、InGaAsP等半导体激光振荡器;二极管激光器等。典型地使用波长为400nm以下的受激准分子激光束、YAG激光的二次谐波或三次谐波。例如,利用重复频率为10Hz至1000Hz左右的脉冲激光束,且利用光学系统将该激光束聚焦为100mJ/cm2至500mJ/cm2,并且以90%至95%的重叠率进行照射,而对半导体层表面进行扫描,即可。另外,优选利用能够连续振荡的固体激光器,并且应用基波的二次谐波至四次谐波。典型地,应用Nd:YVO4激光器(基波为1064nm)的二次谐波(532nm)或三次谐波(355nm),即可。当利用连续振荡的激光器时,利用非线形光学元件将从输出功率为10W的连续振荡的YVO4激光器发射的激光束转换为高次谐波。此外,还有如下方法:将YVO4结晶和非线形光学元件放在共振器中,来发射高次谐波。然后,优选的是,通过光学系统将激光束在照射面形成为矩形或椭圆形,而对被处理物进行照射。此时,需要0.01MW/cm2至100MW/cm2左右(优选为0.1MW/cm2至10MW/cm2)的能量密度。然后,以10cm/s至2000cm/s左右的速度对于激光束相对地使半导体膜移动而进行照射,即可。
当利用这种微晶半导体材料形成pin结时,可以获得0.4V至1V左右的开路电压。当以该pin结为光电转换层的一个单位,而采用层叠有多个光电转换层的叠层型结构(也称为串联结构(tandemstructure))时,也可以提高开路电压。
为了在一个衬底上形成多个单元元件,通过利用激光加工法形成贯穿光电转换层103和透光电极层102的开口C0至Cn(图12B)。开口C0、C2、C4、…Cn-2、Cn是绝缘分离用的开口,其为形成单元元件而设置。开口C1、C3、C5、…Cn-1是用来形成透光电极和背面电极之间的连接的开口。通过在层叠有透光电极层102和光电转换层103的状态下进行激光加工,可以避免当加工时透光电极层从衬底剥离。
将透光电极层102分割成透光电极T1至Tn,而将光电转换层103分割成K1至Kn。并且,填充开口C0、C2、C4、…Cn-2、Cn,开且形成覆盖该开口的上端部分的绝缘树脂层Z0至Zn(图12C)。绝缘树脂层Z0至Zn通过丝网印刷法利用绝缘树脂材料诸如丙烯类、酚醛类、环氧类、聚酰亚胺类等来形成即可。例如,通过丝网印刷法利用树脂组成物以填充开口C0、C2、C4、…Cn-2、Cn的方式形成绝缘树脂图案,该树脂组成物例如是在苯氧基树脂中混合环己胺、异佛尔酮、高阻碳黑、氧相二氧化硅、分散剂、防沫剂、以及均化剂而形成的。在形成印刷图案之后,在160℃的烘箱中进行二十分钟热固化,而获得绝缘树脂层Z0至Zn
接着,形成背面电极E0至En。背面电极E0至En由导电材料形成(图13)。在此情况下,也可以通过溅射法或真空蒸镀法形成由铝、银、钼、钛、铬等构成的层,但是也可以利用导电树脂材料而形成。在利用导电树脂材料形成背面电极E0至En的情况下,也可以通过丝网印刷法、喷墨法(液滴喷射法)、分配器方法等直接形成预定的图案。作为导电组成物,可以使用以Ag(银)、Au(金)、Cu(铜)、W(钨)、Al(铝)等金属粒子为主要成分的组成物。在利用大面积衬底制造光电转换装置的情况下,优选使背面电极E0至En低电阻化,所以作为导电组成物的主要材料,优选使用将电阻率低的金、银、铜中的任何导电粒子溶解或分散于溶媒中而形成的组合物。更优选使用电阻低的银、铜。此外,为了将导电材料十分填充到受到激光加工的开口C1、C3、C5、…Cn-1,优选使用导电粒子的平均粒径为5nm至10nm的纳米膏。
此外,也可以通过喷射形成包括导电材料的周围由其他导电材料覆盖而成的粒子的组成物,来形成背面电极E0至En。例如,也可以使用如下导电粒子,在该导电粒子中,在利用Ag覆盖Cu的周围的粒子中,在Cu和Ag之间设置由Ni或NiB(硼化镍)构成的缓冲层。溶媒相当于醋酸丁酯等酯类、异丙醇等醇类、丙酮等有机溶剂等。通过调整溶液的浓度,并且加入表面活性剂等,而适当地调整表面张力和粘度。
优选的是,将喷墨法中使用的喷嘴的直径设定为0.02μm至100μm(优选为30μm以下),并且将从该喷嘴喷射的组成物的喷射量设定为0.001pl至100pl(优选为10pl以下)。作为喷墨法,有两种方式即请求(on-demand)型和连续型,可以使用其中的任何一种方式。再者,作为在喷墨法中使用的喷嘴,有两种方式即利用压电体的因电压施加而变形的性质的压电方式、以及利用设置在喷嘴中的加热器使组成物沸腾来喷射该组成物的加热方式,可以使用其中的任何一种方式。为了将液滴滴落在所希望的地方,优选使被处理物和喷嘴的喷射开口之间的距离尽可能接近,优选设定为0.1mm至3mm(优选为1mm以下)左右。喷嘴和被处理物在保持其相对距离的同时,喷嘴及被处理物中的一方移动而描画所希望的图案。
也可以在减压下进行喷射导电组成物的工序。这是因为如下缘故:在从喷射组成物到到达被处理物的期间中,该组成物的溶媒挥发,而可以省略或缩短后续的干燥和焙烧工序。此外,通过在包含导电材料的组成物的焙烧工序中,积极地使用以10%至30%的分压比混合有氧的气体,可以降低形成背面电极E0至En的导电膜的电阻率,并且可以谋求实现该导电膜的薄膜化及平滑化。
在喷射组成物之后,通过激光束照射、快热退火、使用加热炉的加热等,在常压或减压下进行干燥和焙烧工序中的一方或双方。尽管干燥和焙烧都是热处理工序,但是,例如,干燥是在100℃下进行3分钟,焙烧是在200℃至350℃下进行15分钟至120分钟。根据本工序,通过使组成物中的溶媒挥发或者化学性地去掉分散剂,来使周围的树脂硬化收缩,而加速熔合和熔接。在氧气氛、氮气氛、或者空气的气氛中进行该处理。但是,优选在氧气氛中进行该处理,因为分解或分散有金属元素的溶媒容易被去掉。
纳米膏包括分散或溶解在有机溶剂中的其粒径为5nm至10nm的导电粒子,还包括分散剂、被称为粘合剂的热固性树脂。粘合剂具有避免当焙烧时发生裂缝或不均匀焙烧的功能。通过干燥工序或者焙烧工序,同时进行有机溶剂的蒸发、分散剂的分解除去、以及通过使用粘合剂而实现的硬化收缩,使纳米粒子彼此熔合以及/或者熔接而硬化。此时,纳米粒子生长到几十nm至一百几十nm。通过使邻近的生长粒子彼此熔合以及/或者熔接而互相链接,来形成金属链锁体(metal hormogone)。另一方面,留下的有机成分(大约80%至90%)的大部分被挤出到该金属链锁体的外部,结果形成包含金属链锁体的导电膜、以及覆盖其外面的由有机成分构成的膜。通过当在包含氮和氧的气氛中焙烧纳米膏时,使包含在气体中的氧与包含在由有机成分构成的膜中的碳、氢等起反应,可以去掉由有机成分构成的膜。此外,当在焙烧气氛中不包含氧时,可以另行利用氧等离子体处理等来去掉由有机成分构成的膜。如此,通过在包含氮和氧的气氛中焙烧或干燥纳米膏之后进行氧等离子体处理,可以去掉由有机成分构成的膜,所以可以谋求实现包含留下的金属链锁体的导电膜的平滑化、薄膜化以及低电阻化。注意,由于通过在减压下喷射包含导电材料的组成物而使组成物中的溶媒挥发,因此也可以缩短后续的热处理(干燥或焙烧)时间。
这样的背面电极与光电转换层的n型半导体层103c接触,优选将该接触设定为欧姆接触。并且,为了进一步降低接触电阻,利用微晶半导体形成n型半导体层103c即可,并且可以将其厚度设定为30nm至80nm。
将各背面电极E0至En形成为使它们在开口C1、C3、C5、…Cn-1中分别与透光电极T1至Tn连接。换言之,将与背面电极相同材料填充到开口C1、C3、C5、…Cn-1中。通过如此,例如可以使背面电极E1与透光电极T2电连接,而可以使背面电极En-1与透光电极Tn电连接。换言之,可以使背面电极与相邻的透光电极电连接,而可以使光电转换层K1至Kn串联电连接。
密封树脂层104由环氧树脂、丙烯酸树脂、硅酮树脂形成。在背面电极E0和En上的密封树脂层104中形成开口部105、开口部106,以便能够在该部分与外部布线连接。
通过如此,在衬底101上形成由透光电极Tn、光电转换层Kn、以及背面电极En构成的单元元件Un。并且,透光电极Tn在开口Cn-1中与相邻的背面电极En-1连接,而可以制造n个串联电连接的光电转换装置。背面电极E0成为单元元件U1中的透光电极T1的取出电极。
图14A至14C以及图15表示光电转换装置的另一个方式。与上述同样地制造衬底101、透光电极层102、光电转换层103(图14A)。并且,通过印刷法在光电转换层103上形成背面电极E1至En
并且,通过激光加工法形成贯穿光电转换层103和透光电极层102的开口C0至Cn(图14B)。开口C0、C2、C4、…Cn-2、Cn是用来形成单元元件的绝缘分离用开口,而开口C1、C3、C5、…Cn-1是用来形成透光电极和背面电极的连接的开口。当进行激光加工时,有在开口的周边留下渣滓的情况。该渣滓是被加工物的飞沫。通过激光束加热到高温的飞沫本来不是优选的,因为通过其会附着到光电转换层103的表面而使膜受损伤。为避免此,通过按照开口图案形成背面电极,然后进行激光加工,可以避免至少对光电转换层103的损伤。
在将透光电极层102分割成透光电极T1至Tn,而将光电转换层103分割成K1至Kn之后,填充开口C0、C2、C4、…Cn-2、Cn,并且通过印刷法比如丝网印刷法形成覆盖该开口的上端部分的绝缘树脂层Z0至Zn(图14C)。
接着,如图15所示,填充开口C1、C3、C5、…Cn-1,利用丝网印刷法形成连接到透光电极T1至Tn的布线B0至Bn-1。布线B0至Bn-1由与背面电极相同材料形成,而使用热固性碳膏。注意,布线Bn形成在绝缘树脂层Zn上,而用作取出布线。通过如此,例如可以使背面电极E0与透光电极T2电连接,而可以使背面电极En-2与透光电极Tn电连接。换言之,可以使背面电极与相邻的透光电极电连接,而可以使光电转换层K1至Kn串联电连接。
最后,通过印刷法形成密封树脂层104。在布线B0和Bn上的密封树脂层104中形成开口部105、106,以便在该部分与外部布线连接。通过如此,在衬底101上形成由透光电极Tn、光电转换层Kn、以及背面电极En-1构成的单元元件Un。并且,透光电极Tn在开口Cn-1中与相邻的背面电极En-2连接,而可以制造n个串联电连接的光电转换装置。注意,布线B0成为单元元件U1中的透光电极T1的取出电极。
在根据本发明的光电转换装置中,光电转换层的至少一个由微晶半导体形成。因此,可以获得几乎没有光退化所引起的特性降低的光电转换装置。
图16表示作为光电转换装置的另一个方式的光传感装置。该光传感装置在受光部分中具有光电转换层225,并且具有在由薄膜晶体管211构成的放大电路中放大其输出功率而输出的功能。在衬底201上设置有光电转换层225以及薄膜晶体管211。作为衬底201,可以使用透光性衬底例如玻璃衬底、石英衬底、陶瓷衬底等中的任何一种。
在衬底201上设置有绝缘层202,该绝缘层202通过溅射法或等离子体CVD法利用选自氧化硅层、氮氧化硅层、氮化硅层、氧氮化硅层中的一层或多层形成。通过设置绝缘层202,可以缓和压力并避免杂质污染。在绝缘层202上设置有构成薄膜晶体管211的结晶半导体层203。在结晶半导体层203上设置有栅绝缘层205、栅电极206来构成薄膜晶体管211。
在薄膜晶体管211上设置有层间绝缘层207。层间绝缘层207既可以由单层绝缘膜形成,又可以由不同材料的绝缘层的层叠膜形成。在层间绝缘层207上形成电连接到薄膜晶体管211的源区以及漏区的布线。在层间绝缘层207上还形成有电极221、电极222以及电极223。该电极221、电极222以及电极223通过与上述布线相同材料及相同工序形成。电极221至223由金属膜比如低电阻金属膜形成。作为这种低电阻金属膜,可以使用铝合金、或者铝等。此外,作为由这种低电阻金属膜和高熔点金属膜构成的叠层结构,也可以采用依次层叠钛膜、铝膜、钛膜而形成的三层结构。也可以利用单层导电膜形成电极221、电极222以及电极223,而代替由高熔点金属膜和低电阻金属膜构成的叠层结构。作为这种单层导电膜,可以使用如下单层膜:由选自钛、钨、钽、钼、钕、钴、锆、锌、钌、铑、钯、锇、铱、铂中的元素、或者以上述元素为主要成分的合金材料或化合物材料构成的单层膜;或者由这些的氮化物例如氮化钛、氮化钨、氮化钽、氮化钼构成的单层膜。
对层间绝缘层207、栅绝缘层205、以及绝缘层202施行蚀刻加工,以使它们的端部成为锥形状。通过层间绝缘层207、栅绝缘层205、以及绝缘层202的端部被加工为锥形状,发挥如下效果:形成在这些膜上的保护层227的覆盖率提高,而不容易使水分、杂质等进入。
在层间绝缘层207上形成p型半导体层103a、i型半导体层103b、n型半导体层103c。注意,以使p型半导体层103a的至少一部分与电极222接触的方式提供p型半导体层103a。p型半导体层103a、i型半导体层103b、n型半导体层103c与图12A至图15所说明的同样。保护层227例如由氮化硅形成,并且被形成在光电转换层225上。通过利用保护层227,可以避免水分、有机物等杂质混入到薄膜晶体管211和光电转换层225中。在保护层227上设置有由聚酰亚胺、丙烯等有机树脂材料形成的层间绝缘层228。在层间绝缘层228上形成有电连接到电极221的电极231、通过形成在层间绝缘层228以及保护层227中的接触孔电连接到光电转换层225的上层(n型半导体层103c)以及电极223的电极232。作为电极231以及电极232,可以使用钨、钛、钽、银等。
在层间绝缘层228上通过丝网印刷法或喷墨法利用环氧树脂、聚酰亚胺、丙烯、酚醛树脂等有机树脂材料设置有层间绝缘层235。在电极231以及电极232上的层间绝缘层235中设置有开口部。在层间绝缘层235上比如通过印刷法利用镍膏设置有电连接到电极231的电极241、以及电连接到电极232的电极242。
对这种用作光传感器的光电转换装置来说,光电转换层由微晶半导体形成,所以可以获得几乎没有光退化所引起的特性降低的光电转换装置。注意,虽然图16表示在受光部分中具有光电转换层225并且在由薄膜晶体管211构成的放大电路中放大其输出功率而输出的光传感装置,但是如果省略涉及放大电路的结构,则可以用作光传感器。
本说明书根据2007年7月27日在日本专利局受理的日本专利申请编号2007-195641而制作,所述申请内容包括在本说明书中。

Claims (20)

1.一种光电转换装置的制造方法,包括如下步骤:
对包括突出为梳子状地并列设置的多个波导管的处理室供应包含氦的反应气体;以及
在将所述处理室内的压力保持为1×102Pa以上且1×105Pa以下的同时,通过对夹在所述多个波导管之间的空间供应微波产生等离子体,来在装载于所述处理室内的衬底上形成由微晶半导体构成的光电转换层。
2.根据权利要求1所述的光电转换装置的制造方法,其中所述等离子体的电子密度为1×1011cm-3以上且1×1013cm-3以下,而电子温度为0.2eV以上且2.0eV以下。
3.根据权利要求1所述的光电转换装置的制造方法,其中相对于一个衬底并列设置多个所述波导管,并且槽缝设置在所述多个波导管每一个的侧面,在该侧面中所述波导管朝着另一个波导管,并且通过所述槽缝供应所述微波,并且由所述微波产生所述等离子体。
4.根据权利要求1所述的光电转换装置的制造方法,其中所述反应气体包含氦和半导体材料气体,并且在所述处理室内设置多个喷嘴,并且从所述多个喷嘴中的一个流过所述氦,而从所述多个喷嘴中的另一个流过所述半导体材料气体。
5.根据权利要求1所述的光电转换装置的制造方法,其中所述光电转换装置是太阳能发电系统。
6.一种光电转换装置的制造方法,包括如下步骤:
对包括突出为梳子状地并列设置的多个波导管的第一处理室供应包含氦的反应气体;
在将所述第一处理室内的压力保持为1×102Pa以上且1×105Pa以下的同时,通过对夹在所述多个波导管之间的空间供应微波产生等离子体,来在装载于所述第一处理室内的衬底上形成由微晶半导体构成的第一光电转换层;
将所述衬底以不暴露于大气的方式从所述第一处理室移动到包括突出为梳子状地并列设置的多个波导管的第二处理室;
对所述第二处理室供应包含氦的反应气体;
在将所述第二处理室内的压力保持为1×102Pa以上且1×105Pa以下的同时,通过对夹在所述多个波导管之间的空间供应微波产生等离子体,来在装载于所述第二处理室内的所述第一光电转换层上形成由微晶半导体构成的第二光电转换层;
将所述衬底以不暴露于大气的方式从所述第二处理室移动到包括突出为梳子状地并列设置的多个波导管的第三处理室;
对所述第三处理室供应包含氦的反应气体;
在将所述第三处理室内的压力保持为1×102Pa以上且1×105Pa以下的同时,通过对夹在所述多个波导管之间的空间供应微波产生等离子体,来在装载于所述第三处理室内的所述第二光电转换层上形成由微晶半导体构成的第三光电转换层。
7.根据权利要求6所述的光电转换装置的制造方法,其中所述等离子体的电子密度为1×1011cm-3以上且1×1013cm-3以下,而电子温度为0.2eV以上且2.0eV以下。
8.根据权利要求6所述的光电转换装置的制造方法,其中相对于一个衬底并列设置多个所述波导管,并且槽缝设置在所述多个波导管每一个的侧面,在该侧面中所述波导管朝着另一个波导管,并且通过所述槽缝供应所述微波,并且由所述微波产生所述等离子体。
9.根据权利要求6所述的光电转换装置的制造方法,其中所述反应气体包含氦和半导体材料气体,并且在所述第一至第三处理室的每一个中分别设置多个喷嘴,并且在所述第一至第三处理室的每一个中分别从所述多个喷嘴中的一个流过所述氦,而分别从所述多个喷嘴中的另一个流过所述半导体材料气体。
10.根据权利要求6所述的光电转换装置的制造方法,其中所述光电转换装置是太阳能发电系统。
11.一种半导体装置的制造方法,包括如下步骤:
对包括突出为梳子状地并列设置的多个波导管的处理室供应包含氦的反应气体;
在将所述处理室内的压力保持为1×102Pa以上且1×105Pa以下的同时,通过对夹在所述多个波导管之间的空间供应微波产生等离子体,来在装载于所述处理室内的衬底上形成由微晶半导体构成的半导体层。
12.根据权利要求11所述的半导体装置的制造方法,其中所述等离子体的电子密度为1×1011cm-3以上且1×1013cm-3以下,而电子温度为0.2eV以上且2.0eV以下。
13.根据权利要求11所述的半导体装置的制造方法,其中相对于一个衬底并列设置多个所述波导管,并且槽缝设置在所述多个波导管每一个的侧面,在该侧面中所述波导管朝着另一个波导管,并且通过所述槽缝供应所述微波,并且由所述微波产生所述等离子体。
14.根据权利要求11所述的半导体装置的制造方法,其中所述反应气体包含氦和半导体材料气体,并且在所述处理室内设置多个喷嘴,并且从所述多个喷嘴中的一个流过所述氦,而从所述多个喷嘴中的另一个流过所述半导体材料气体。
15.根据权利要求11所述的半导体装置的制造方法,其中所述半导体装置是太阳能发电系统。
16.一种半导体装置的制造方法,包括如下步骤:
对包括突出为梳子状地并列设置的多个波导管的第一处理室供应包含氦的反应气体;
在将所述第一处理室内的压力保持为1×102Pa以上且1×105Pa以下的同时,通过对夹在所述多个波导管之间的空间供应微波产生等离子体,来在装载于所述第一处理室内的衬底上形成由微晶半导体构成的第一半导体层;
将所述衬底以不暴露于大气的方式从所述第一处理室移动到包括突出为梳子状地并列设置的多个波导管的第二处理室;
对所述第二处理室供应包含氦的反应气体;
在将所述第二处理室内的压力保持为1×102Pa以上且1×105Pa以下的同时,通过对夹在所述多个波导管之间的空间供应微波产生等离子体,来在装载于所述第二处理室内的所述第一半导体层上形成由微晶半导体构成的第二半导体层;
将所述衬底以不暴露于大气的方式从所述第二处理室移动到包括突出为梳子状地并列设置的多个波导管的第三处理室;
对所述第三处理室供应包含氦的反应气体;
在将所述第三处理室内的压力保持为1×102Pa以上且1×105Pa以下的同时,通过对夹在所述多个波导管之间的空间供应微波产生等离子体,来在装载于所述第三处理室内的所述第二半导体层上形成由微晶半导体构成的第三半导体层。
17.根据权利要求16所述的半导体装置的制造方法,其中所述等离子体的电子密度为1×1011cm-3以上且1×1013cm-3以下,而电子温度为0.2eV以上且2.0eV以下。
18.根据权利要求16所述的半导体装置的制造方法,其中相对于一个衬底并列设置多个所述波导管,并且槽缝设置在所述波导管每一个的侧面,在该侧面中所述波导管朝着另一个波导管,并且通过所述槽缝供应所述微波,并且由所述微波产生所述等离子体。
19.根据权利要求16所述的半导体装置的制造方法,其中所述反应气体包含氦和半导体材料气体,并且在所述第一至第三处理室的每一个中分别设置多个喷嘴,并且在所述第一至第三处理室的每一个中分别从所述多个喷嘴中的一个流过所述氦,而分别从所述多个喷嘴中的另一个流过所述半导体材料气体。
20.根据权利要求16所述的半导体装置的制造方法,其中所述半导体装置是太阳能发电系统。
CN2008101300722A 2007-07-27 2008-07-24 光电转换装置的制造方法 Expired - Fee Related CN101355116B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
JP2007195641 2007-07-27
JP2007195641 2007-07-27
JP2007-195641 2007-07-27

Publications (2)

Publication Number Publication Date
CN101355116A true CN101355116A (zh) 2009-01-28
CN101355116B CN101355116B (zh) 2012-02-08

Family

ID=40295762

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2008101300722A Expired - Fee Related CN101355116B (zh) 2007-07-27 2008-07-24 光电转换装置的制造方法

Country Status (4)

Country Link
US (1) US7736933B2 (zh)
JP (1) JP5058084B2 (zh)
CN (1) CN101355116B (zh)
TW (1) TWI390752B (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106549075A (zh) * 2015-09-23 2017-03-29 三星显示有限公司 光传感器和包括光传感器的显示设备
CN109037249A (zh) * 2017-06-12 2018-12-18 上海耕岩智能科技有限公司 一种影像侦测显示装置、器件及其制备方法

Families Citing this family (321)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5572307B2 (ja) * 2007-12-28 2014-08-13 株式会社半導体エネルギー研究所 光電変換装置の製造方法
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8800483B2 (en) * 2009-05-08 2014-08-12 Peter F. Vandermeulen Methods and systems for plasma deposition and treatment
KR20100128479A (ko) * 2009-05-28 2010-12-08 진중 김 금속박막과 화합물반도체 광흡수층 박막의 연속 제조장치
US8258025B2 (en) * 2009-08-07 2012-09-04 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing microcrystalline semiconductor film and thin film transistor
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
KR101100110B1 (ko) * 2010-03-15 2011-12-29 한국철강 주식회사 기판 또는 플렉서블 기판을 포함하는 광기전력 장치 및 그 제조 방법
US9922854B2 (en) 2010-04-30 2018-03-20 Applied Materials, Inc. Vertical inline CVD system
JP5766495B2 (ja) * 2010-05-18 2015-08-19 株式会社日立ハイテクノロジーズ 熱処理装置
US8569098B2 (en) * 2010-06-18 2013-10-29 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing photoelectric conversion device
US9076909B2 (en) 2010-06-18 2015-07-07 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device and method for manufacturing the same
US8440548B2 (en) 2010-08-06 2013-05-14 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of microcrystalline silicon film and manufacturing method of thin film transistor
JP5385875B2 (ja) 2010-08-26 2014-01-08 東京エレクトロン株式会社 プラズマ処理装置及び光学モニタ装置
JP2012142551A (ja) * 2010-12-16 2012-07-26 Nisshin:Kk 加熱処理方法およびその装置
US9437758B2 (en) * 2011-02-21 2016-09-06 Semiconductor Energy Laboratory Co., Ltd. Photoelectric conversion device
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) * 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8802493B2 (en) 2011-09-13 2014-08-12 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of oxide semiconductor device
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US20130193559A1 (en) * 2012-01-27 2013-08-01 Memc Singapore Pte. Ltd. (Uen200614794D) CAST SILICON ingot prepared BY DIRECTIONAL SOLIDIFICATION
US8735210B2 (en) 2012-06-28 2014-05-27 International Business Machines Corporation High efficiency solar cells fabricated by inexpensive PECVD
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9605343B2 (en) 2013-11-13 2017-03-28 Asm Ip Holding B.V. Method for forming conformal carbon films, structures conformal carbon film, and system of forming same
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017078082A1 (ja) * 2015-11-04 2017-05-11 国立研究開発法人産業技術総合研究所 窒素化合物の製造方法及び製造装置
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
TWI617081B (zh) 2017-03-23 2018-03-01 國立中山大學 波導構造的製作方法
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10861667B2 (en) 2017-06-27 2020-12-08 Peter F. Vandermeulen Methods and systems for plasma deposition and treatment
CN111033689B (zh) 2017-06-27 2023-07-28 彼得·F·范德莫伊伦 用于等离子体沉积和处理的方法及系统
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
EP4023349A4 (en) 2019-08-28 2022-10-19 Shinryo Corporation WASHING PROCESS FOR COMPONENT OF SEMICONDUCTOR MANUFACTURING APPARATUS WITH GAS BORES
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS56122123A (en) 1980-03-03 1981-09-25 Shunpei Yamazaki Semiamorphous semiconductor
US5091334A (en) 1980-03-03 1992-02-25 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device
JPS5771126A (en) * 1980-10-21 1982-05-01 Semiconductor Energy Lab Co Ltd Semiamorhous semiconductor
JPS5972781A (ja) 1982-10-20 1984-04-24 Semiconductor Energy Lab Co Ltd 光電変換半導体装置
JPS6262073A (ja) 1985-09-11 1987-03-18 Ishikawajima Harima Heavy Ind Co Ltd ポペツト弁の温度制御装置
JPH0253941A (ja) 1988-08-17 1990-02-22 Tsudakoma Corp 織機の運転装置
JP2741391B2 (ja) * 1988-12-20 1998-04-15 三洋電機株式会社 微結晶半導体薄膜の形成方法
JPH0330421A (ja) * 1989-06-28 1991-02-08 Canon Inc マイクロ波プラズマcvd法により大面積の機能性堆積膜を連続的に形成する方法及び装置
KR950013784B1 (ko) 1990-11-20 1995-11-16 가부시키가이샤 한도오따이 에네루기 겐큐쇼 반도체 전계효과 트랜지스터 및 그 제조방법과 박막트랜지스터
US7115902B1 (en) 1990-11-20 2006-10-03 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5849601A (en) 1990-12-25 1998-12-15 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
US5514879A (en) 1990-11-20 1996-05-07 Semiconductor Energy Laboratory Co., Ltd. Gate insulated field effect transistors and method of manufacturing the same
US7576360B2 (en) 1990-12-25 2009-08-18 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device which comprises thin film transistors and method for manufacturing the same
US7098479B1 (en) 1990-12-25 2006-08-29 Semiconductor Energy Laboratory Co., Ltd. Electro-optical device and method for manufacturing the same
JP3181761B2 (ja) * 1992-08-06 2001-07-03 キヤノン株式会社 機能性堆積膜の連続的形成方法及び堆積装置
US5946587A (en) * 1992-08-06 1999-08-31 Canon Kabushiki Kaisha Continuous forming method for functional deposited films
US6835523B1 (en) 1993-05-09 2004-12-28 Semiconductor Energy Laboratory Co., Ltd. Apparatus for fabricating coating and method of fabricating the coating
US6183816B1 (en) 1993-07-20 2001-02-06 Semiconductor Energy Laboratory Co., Ltd. Method of fabricating the coating
JPH07135093A (ja) * 1993-11-08 1995-05-23 Matsushita Electric Ind Co Ltd プラズマ処理装置及び処理方法
JPH09232099A (ja) * 1996-02-20 1997-09-05 Hitachi Ltd プラズマ処理装置
JPH1140395A (ja) * 1997-07-23 1999-02-12 Daihen Corp プラズマ処理装置
JPH11103082A (ja) 1997-09-26 1999-04-13 Canon Inc 光起電力素子及びその作製方法
JPH11233801A (ja) * 1998-02-17 1999-08-27 Canon Inc 微結晶シリコン膜の形成方法、および光起電力素子
US6200825B1 (en) * 1999-02-26 2001-03-13 Kaneka Corporation Method of manufacturing silicon based thin film photoelectric conversion device
JP2000277439A (ja) * 1999-03-25 2000-10-06 Kanegafuchi Chem Ind Co Ltd 結晶質シリコン系薄膜のプラズマcvd方法およびシリコン系薄膜光電変換装置の製造方法
WO2000074127A1 (fr) 1999-05-26 2000-12-07 Tokyo Electron Limited Dispositif de traitement au plasma
JP2002371357A (ja) * 2001-06-14 2002-12-26 Canon Inc シリコン系薄膜の形成方法、シリコン系薄膜及び半導体素子並びにシリコン系薄膜の形成装置
TW521540B (en) * 2001-10-03 2003-02-21 Hau-Ran Ni An ECR plasma reactor system with multiple exciters
JP2004014958A (ja) 2002-06-11 2004-01-15 Fuji Electric Holdings Co Ltd 薄膜多結晶太陽電池とその製造方法
JP3483549B2 (ja) * 2002-09-02 2004-01-06 キヤノン株式会社 堆積膜形成方法および堆積膜形成装置
JP2005044793A (ja) * 2003-07-04 2005-02-17 Advanced Lcd Technologies Development Center Co Ltd プラズマ処理装置およびプラズマ処理方法
JP4748954B2 (ja) 2003-07-14 2011-08-17 株式会社半導体エネルギー研究所 液晶表示装置
TWI336921B (en) 2003-07-18 2011-02-01 Semiconductor Energy Lab Method for manufacturing semiconductor device
JP4480968B2 (ja) 2003-07-18 2010-06-16 株式会社半導体エネルギー研究所 表示装置
US7211454B2 (en) 2003-07-25 2007-05-01 Semiconductor Energy Laboratory Co., Ltd. Manufacturing method of a light emitting device including moving the source of the vapor deposition parallel to the substrate
JP2005050905A (ja) 2003-07-30 2005-02-24 Sharp Corp シリコン薄膜太陽電池の製造方法
JP2005129666A (ja) * 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
CN100451797C (zh) 2003-11-14 2009-01-14 株式会社半导体能源研究所 显示装置及其制造法
JP5013393B2 (ja) 2005-03-30 2012-08-29 東京エレクトロン株式会社 プラズマ処理装置と方法
JP4673111B2 (ja) * 2005-03-31 2011-04-20 東京エレクトロン株式会社 プラズマ処理装置
JP2006294422A (ja) * 2005-04-11 2006-10-26 Tokyo Electron Ltd プラズマ処理装置およびスロットアンテナおよびプラズマ処理方法
TWI408734B (zh) 2005-04-28 2013-09-11 Semiconductor Energy Lab 半導體裝置及其製造方法
JP4597792B2 (ja) 2005-06-27 2010-12-15 東京エレクトロン株式会社 処理ガス供給構造およびプラズマ処理装置
JP4777717B2 (ja) 2005-08-10 2011-09-21 東京エレクトロン株式会社 成膜方法、プラズマ処理装置および記録媒体
US7301215B2 (en) * 2005-08-22 2007-11-27 Canon Kabushiki Kaisha Photovoltaic device
JP2007088434A (ja) * 2005-08-22 2007-04-05 Canon Inc 光起電力素子
JP5068458B2 (ja) * 2006-01-18 2012-11-07 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
JP4978985B2 (ja) * 2006-03-30 2012-07-18 東京エレクトロン株式会社 プラズマ処理方法
JP5364293B2 (ja) 2007-06-01 2013-12-11 株式会社半導体エネルギー研究所 表示装置の作製方法およびプラズマcvd装置
US8207010B2 (en) 2007-06-05 2012-06-26 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing photoelectric conversion device
JP5216446B2 (ja) 2007-07-27 2013-06-19 株式会社半導体エネルギー研究所 プラズマcvd装置及び表示装置の作製方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106549075A (zh) * 2015-09-23 2017-03-29 三星显示有限公司 光传感器和包括光传感器的显示设备
CN109037249A (zh) * 2017-06-12 2018-12-18 上海耕岩智能科技有限公司 一种影像侦测显示装置、器件及其制备方法
TWI659246B (zh) * 2017-06-12 2019-05-11 大陸商上海耕岩智能科技有限公司 Image detection display device, device and preparation method thereof
CN109037249B (zh) * 2017-06-12 2021-11-02 上海耕岩智能科技有限公司 一种影像侦测显示装置、器件及其制备方法

Also Published As

Publication number Publication date
JP2009054997A (ja) 2009-03-12
CN101355116B (zh) 2012-02-08
US7736933B2 (en) 2010-06-15
TWI390752B (zh) 2013-03-21
US20090029503A1 (en) 2009-01-29
JP5058084B2 (ja) 2012-10-24
TW200926432A (en) 2009-06-16

Similar Documents

Publication Publication Date Title
CN101355116B (zh) 光电转换装置的制造方法
CN101320765B (zh) 光电转换装置的制造方法
CN101567397B (zh) 光电转换装置及光电转换装置的制造方法
CN101593778B (zh) 光电转换装置及光电转换装置的制造方法
La Notte et al. Sprayed organic photovoltaic cells and mini-modules based on chemical vapor deposited graphene as transparent conductive electrode
JP5377061B2 (ja) 光電変換装置
JP5616887B2 (ja) 光電池モジュールの作成方法
US20100006141A1 (en) Photoelectric conversion device and manufacturing method of photoelectric conversion device
CN102165600B (zh) 光电转换器件及其制造方法
EP2315262A2 (en) Solar cell and method for manufacturing same
JP5503946B2 (ja) 光電変換装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20120208

Termination date: 20200724