CN101392409B - 使用加热源组合的脉冲式处理半导体加热方法 - Google Patents

使用加热源组合的脉冲式处理半导体加热方法 Download PDF

Info

Publication number
CN101392409B
CN101392409B CN2008101683965A CN200810168396A CN101392409B CN 101392409 B CN101392409 B CN 101392409B CN 2008101683965 A CN2008101683965 A CN 2008101683965A CN 200810168396 A CN200810168396 A CN 200810168396A CN 101392409 B CN101392409 B CN 101392409B
Authority
CN
China
Prior art keywords
pulse
energy
temperature
pulses
parameter
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN2008101683965A
Other languages
English (en)
Other versions
CN101392409A (zh
Inventor
保罗·J·蒂曼斯
纳拉辛哈·阿查里雅
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Beijing E Town Semiconductor Technology Co Ltd
Mattson Technology Inc
Original Assignee
Mattson Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Mattson Technology Inc filed Critical Mattson Technology Inc
Publication of CN101392409A publication Critical patent/CN101392409A/zh
Application granted granted Critical
Publication of CN101392409B publication Critical patent/CN101392409B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67248Temperature monitoring
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B31/00Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor
    • C30B31/06Diffusion or doping processes for single crystals or homogeneous polycrystalline material with defined structure; Apparatus therefor by contacting with diffusion material in the gaseous state
    • C30B31/12Heating of the reaction chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/928Front and rear surface processing

Abstract

用于加热诸如半导体基片的对象的脉冲式处理方法和系统的特色在于用于以下的过程控制:对单个基片的多脉冲处理或对具有不同物理特性的不同基片的单脉冲或多脉冲处理。在背景加热模式期间,热以可控制的方式被施加给对象(36),由此选择性地加热对象(36)以在背景加热期间至少产生整个对象上的温升。对象(36)的第一表面是在脉冲式加热模式下通过使其经历能量的至少第一脉冲来加热的。背景加热被以与第一脉冲的定时关系来控制。对第一能量脉冲的对象的第一温度响应可被感测并且被用于建立用于至少第二能量脉冲的第二组脉冲参数以至少部分地产生目标条件。

Description

使用加热源组合的脉冲式处理半导体加热方法 
本申请是申请日为2003年3月19日,申请号为03807259.9(国际申请号PCT/US2003/008623),发明名称为“使用加热源组合的脉冲式处理半导体加热方法”的专利申请的分案申请。 
相关申请 
本文字要求来自提交于2002年3月29日的U.S.临时专利申请序列号60/368,863的优先权,其在此被全部引入作为参考。 
技术领域
本文字涉及用于组合背景加热源以短、高强度脉冲来热处理半导体晶片的方法和系统,所述加热源是诸如钨卤灯或弧光灯,但并不局限于此。 
背景技术
为制作电器件,如微处理器和其它计算机芯片,诸如硅晶片的半导体晶片经历离子注入过程,其将杂质原子或掺杂剂引入到晶片的器件侧的表面区中。离子注入过程损坏了晶片表面区的晶格结构,从而在间隙位置中留下了所注入的掺杂剂原子,它们在间隙位置处是电惰性的。为了使掺杂剂原子移动到格子中的替代位置中以使它们是电活性的,并且修复在离子注入期间发生的对晶格结构的损坏,晶片器件侧的表面区通过将其加热到高温而退火。 
被指向退火的三种类型的半导体晶片加热方法在本领域是已知的: 
绝热——其中能量由脉冲能量源(如激光、离子束、电子束)提供10x10-9到100x10-9秒的很短持续时间。这种高强度、短持续时间能量 将半导体的表面熔化大约一到二微米的深度。 
热通量——其中能量被提供5x10-6到2x10-2秒。热通量加热产生在晶片表面以下的大大多于二微米处扩展的显著温度梯度,但并不使任何事物在晶片的整个厚度上接近于均匀加热。 
等温——其中能量被施加1到100秒以使晶片的温度在任何给定区在其整个厚度上基本上是均匀的。见例如U.S.专利4,649,261的第3栏第65行到第4列第13行。 
不幸的是,通过使用现有技术,退火半导体晶片的器件侧所需的高温可产生不理想的效果。例如,掺杂剂原子在高温下以高得多的速率扩散到硅晶片中,而大多数扩散发生在与激活掺杂剂所需的高退火温度接近的温度处。随着对半导体晶片性能要求的增加和器件尺寸的减小,有必要产生日益浅且突然限定的结。 
传统快速热处理(RTP)系统已经以近乎等温的方式来加热半导体晶片,从而使整个晶片被加热到高温。在快速热退火过程中,所需目标是以很高速率加热晶片,但以尽可能短的时间将晶片保持在所需峰值温度处。加热之后是尽可能快的冷却。这允许所需退火发生而同时使不理想的侧效应最小,如使晶片体(bulk)内的过度掺杂剂扩散最小。对于快速热退火,加热通常是通过激励在晶片器件侧上面放置的钨卤灯的阵列。加热速率受半导体晶片的热质量的限制。因此,必须施加很大的灯功率以达到所需峰值加热温度。在导致加热上升过程中的很大的功率急变。另外,灯丝的热质量限制了辐射加热可被关闭得多快,并由此可延长在峰值温度处或附近所花费的时间。用于典型钨卤灯的时间常数是相对长的,大约是0.3秒。因此,在电源已被切断之后,所述丝仍保持是热的并且继续照射晶片。 
非常大的部分的掺杂剂扩散发生在退火循环的最高温度范围内。较低的退火温度导致对掺杂剂的明显小的激活并因此导致晶片的较高片电 阻,其超过用于高级处理器件的电流和/或将来可接受的片电阻限制。因此,较低的退火温度并不解决掺杂剂扩散问题。 
由于器件生产领域的现有技术水平已移向具有逐渐减小的结深度的器件,已有以下伴随的感觉:可使用用于处理半导体晶片的脉冲式加热方法和系统来增强热处理。20世纪80年代后期的至少一个途径包含低温背景加热级,之后是脉冲式退火级。低温背景加热级典型地包含用钨卤灯将晶片加热到中间范围的温度,如例如600℃,之后通过诸如400μs的很短持续时间的来自闪光灯的脉冲将温度快速增加到1100℃。晶片被允许通过辐射来冷却。没有用于控制使用脉冲加热的过程(其简单地在等温退火的结束时激发闪光灯)的可重复性的技术,也没有提供从晶片到晶片的可重复性。而且,对于针对可重复性的过程控制,采用了对背景加热的简单、恒温控制。见例如J.R.Logan等,“Recrystallisation ofamorphous silicon film by rapid isothermal and transient annealing”, Semiconductor Sci.Tehc.3,437(1988);以及J.L.Altrip等,“Hightemperature millisecond annealing of arsenic implanted silicon”,Solid-StateElectronics33,659(1990)。亦值得注意的是,尽管这两个参考都利用了在脉冲暴露期间对背景加热的简单、恒温控制,Logan的参考仍被进一步局限于说明对这种控制的实施,其中经历处理的基片的温度仅被间接地监视。就是说,正被处理的基片由支持基片来支持。支持基片的温度被监视,而不是实际上正经历处理的基片。不幸的是,这种安排潜在地通过引入对实际上正被处理的对象的温度的不确定性而进一步加重了有关恒温控制的问题。 
在可替换的实施例中,U.S.专利4,649,261和4,698,486公开了用于通过组合等温加热和热通量加热来加热半导体晶片的方法(例如图11)。整个晶片用诸如连续波灯通过等温加热而加热到第一中间温度。然后,晶片的前侧通过热通量(脉冲式装置,如高功率脉冲式灯阵列)来加热。 加热方法在晶片和加热源被保持在积分光管或万花筒内的同时被实施,所述积分光管或万花筒具有将辐射能量反射和再次反射向晶片的反射性内表面。所述专利未描述多脉冲加热模式,并且没有提供技术来控制通过多重脉冲来加热或从晶片到晶片的可重复性。 
应提出的是,不管其被感觉到的优点,如由现有技术所实施的脉冲模式加热仅已遇到有限的成功,这是因为伴随其使用的某些困难尚未被适当地解决,如将在以下被进一步描述的。 
U.S.专利4,504,323讨论了一种退火方法,其中半导体晶片在炉内被预热至400℃,然后在800μsec的脉冲期间被暴露于来自闪光放电灯阵列的辐射。预热温度在所需退火温度以下,并且不发生掺杂剂扩散。该专利未公开多脉冲加热模式,并且没有提供技术来控制通过多重脉冲来加热或从晶片到晶片的可重复性。 
U.S.专利4,615,765公开了使用激光或粒子束源的热处理。该专利聚焦于用于将功率从激光选择性地递送到半导体晶片的特定区以加热所需区而不加热其它区的方法。该方法基于定制两个区的吸收质量以导致来自具有预定脉冲能量、脉冲持续时间和脉冲间隔的脉冲的不同温升。没有提供技术来控制通过多重脉冲来加热或从晶片到晶片的可重复性。 
U.S.专利5,841,110提供了RTP领域内的一种较近期的途径。具体而言,系统参数在谱积分反射率的单独的基础上被调节。而且,该参考至少由于以下原因而在某种程度上与本发明不相关:该参考不包括用于使用脉冲式源的直接讲述。尽管该系统是有效的并且提供了当时存在的现有技术之上的明显改进,应提出的是本发明提供了仍进一步的优点,如将要看到的。 
脉冲式加热期间半导体晶片表面处的温度可受几个因素的影响,包括:(a)背景温度分布;(b)脉冲能量类型、形状和持续时间;以及(c)晶片的光学特性。在激光处理中,晶片表面反射率的变化可导致不同晶 片上或甚至相同晶片上的不同位置处的功率耦合的明显变化。尽管灯辐射具有比尽管辐射宽的谱,亦知道光学特性的变化影响在用钨卤灯进行快速热处理期间到达晶片表面的温度。因此,涂层的变化可导致反射率的变化,从而改变晶片表面上或想要具有相同表面特征的两个晶片的表面上的所吸收的能量。 
图2是绘出被施加给每个都具有不同表面特征的两个半导体晶片的照射的温度对时间曲线的曲线图。尽管被施加给每个的辐射脉冲都具有相同的能量,较多辐射反射的晶片到达比较多辐射吸收的晶片(1300℃)低的峰值温度(大约1000℃)。相反,由于相同的辐射脉冲被施加,用于较多反射的晶片的温度对时间曲线12与用于较多吸收的晶片的温度对时间曲线14是可比的。这样,在较多反射的晶片上,由来自辐射源的相同脉冲或系列脉冲而感生的温升比在较多吸收的晶片上感生的温升低。 
除了由不同晶片反射率导致的加热温度的变化以外,不需要的变化亦可从使用辐射的多重脉冲而产生。图3是绘出用于晶片表面温度22和背侧温度24的温度对时间曲线并绘出背景加热器功率对时间26的曲线图。借助在该曲线图中说明的加热方法,背景加热器被激励以将整个晶片(表面和背侧)加热至大约800℃的第一温度。加热器然后被切换至稳定状态,并且来自脉冲源(如弧光灯或激光器)的两个快速脉冲被施加以将晶片表面加热至所需退火温度(即1300℃)。晶片的背侧温度仍接近于第一温度以阻止不需要的掺杂剂扩散。在热从能量脉冲扩散经过晶片的体时,晶片背侧的温度趋向于上升。图3示出从第一温度开始的背侧温度的50℃到100℃的上升。在第一脉冲之后,晶片的表面温度随着热被传导到晶片的体中而下降,并且晶片到达近乎等温的状况。表面温度的下降不与由于脉冲而产生的温度的上升一样快,因此当第二脉冲被激励时,晶片表面仍在第一温度以上。在此情况下,第二脉冲产 生比第一脉冲大的峰值温度(1300℃以上),从而导致过程控制的困难。 
本发明解决了上述问题和困难,同时提供了仍进一步的优点。 
发明内容
本文字涉及一种加热诸如例如半导体晶片或基片的对象的方法和系统。 
在第一方面中,所述方法包括:(a)用第一加热源将基片加热至第一温度;(b)正好在从脉冲式能量源施加能量的第一脉冲以加热基片的器件侧表面之前或正好在此时停用或停止给第一加热源的功率;以及(c)通过来自第二加热源的能量的第一脉冲将基片的第一表面或器件侧快速加热至比第一温度大的第二温度,其中第二温度可以是例如用于掺杂剂注入的半导体晶片的退火温度。任选地,快速加热步骤(c)可先于停用步骤(c)。另外,该加热方法可包括另外的步骤(d)在来自第二加热源的第一脉冲已被施加之后,再次激励或再次打开用于第一加热源的功率。而且,对于加热步骤(a)和快速加热步骤(c),亦有可能借助单个加热源来完成。 
通过停用第一加热源并在从脉冲源施加脉冲之前或正好在此时将基片的体加热至第一温度,晶片的体将在第一温度或其附近,并且主要是仅基片的第一表面将被快速加热至第二高得多的温度。随着来自能量脉冲的热扩散经过基片的体,基片的平均温度趋向于上升。如果给第一加热源的功率保持被激励,则基片的背侧表面的温度可增加到第一温度以上,就象基片的体将成为的那样。基片温度上的这种向上蠕变常常导致不需要的掺杂剂扩散,并且可导致等效能量的随后施加的脉冲将基片的前表面加热到比所需高温高的温度,或者导致其它不想要的效应。对第一加热源的闭环反馈控制帮助将基片的体维持在第一温度或附近,并且确实在第二处理或退火温度以下。
为了退火硅半导体晶片,第一温度优选地是高达1000℃,或者处于200℃到1100℃的范围内,最优选地处于600℃到1000℃的范围内。第二温度(或处理或退火温度)优选地处于600℃到1400℃的范围内,最优选地是从1050℃到1400℃。加热到第一温度优选地以每秒至少100℃的速率来实施。优选地,加热源,如钨卤灯、弧光灯或这种灯的阵列被用于将基片加热至第一温度。在优选实施例中,这些加热源被放置在基片背侧的附近。可替换的是,被加热的板或基座可被用于将基片加热至第一温度。 
脉冲式加热优选地包括用由弧光灯、闪光灯或诸如准分子激光器的激光器产生的辐射来照射基片的第一表面。在优选实施例中,一个或一个阵列的脉冲式加热源被放置在基片的前侧或器件侧的附近。 
在另外的实施例中,加热方法包括:(a)用第一加热源将诸如半导体晶片的基片加热至第一温度;(b)正好在基片表面到达第一温度时用第二加热源施加能量脉冲以将基片表面快速加热至所需处理温度;以及(c)停用第一和第二热源。该方法任选地可包括由脉冲式加热源发射的系列能量脉冲,其中第一能量脉冲正好在基片表面到达第一温度时被激励。 
在又一个实施例中,单个热源被用于将基片加热至第一温度并且也用于脉冲加热。在此情况下,加热方法包括(a)用热源将诸如半导体晶片的基片加热至第一温度,(b)正好在基片表面到达第一温度时用相同的热源来施加能量的附加脉冲以将所述表面快速加热至所需处理温度,以及(c)停用热源。 
在另一个实施例中,脉冲式加热是借助由脉冲式加热源发射的系列脉冲来实施的。在施加来自第二加热源的能量脉冲之前,控制被施加以停用第一加热源。基片的背侧表面的温度是通过光学传感器或高温计或者一系列光学传感器和/或高温计来测量的。通过使用对第一加热源的控 制,背侧的温度被维持在处理或退火温度以下的第一温度处或其附近。 
当系列脉冲被使用时,用于闪光灯或弧光灯的第一脉冲具有从10微秒到50毫秒的持续时间,并且第二脉冲具有10微秒到50毫秒的持续时间,其中第一和第二脉冲被串行施加,而每个脉冲之间有从1毫秒到100秒的时隙。当来自激光器的系列脉冲被使用时,第一脉冲具有从1纳秒到10毫秒的持续时间,其中第一和第二脉冲被串行施加,而每个脉冲之间有从1微秒到100秒的时隙。任何数量的脉冲可被施加,这取决于所需的处理结果。脉冲式加热源优选地以晶片表面处的1nJ/cm2到100J/cm2的范围内的能量密度来发射脉冲。 
在另一个实施例中,脉冲式加热是借助由脉冲式加热源发射的系列脉冲来实施的。闭环反馈控制被施加以便于为被施加以加热基片的前侧或器件侧的每个脉冲调节脉冲参数,从而不施加这样的能量脉冲,其将把基片的前侧加热至所需处理或退火温度以上的温度或者换句话说正好到达所需温度。因此,过程控制是通过为基片的背侧而调节脉冲参数(能量、持续时间、脉冲之间的时间)而不是停用和再次激励给加热源的功率来进行的。基片前侧的温度由光学传感器或高温计或者系列光学传感器和/或高温计来测量。 
在又一个实施例中,半导体基片是用脉冲式能量来加热的,并且用于脉冲的参数首先通过在第一测试脉冲(或预脉冲)被施加之后估算基片的吸收率来确定。在该方法中,基片被加热至所需处理或退火温度以下的第一温度。然后,能量的第一脉冲(测试脉冲或预脉冲)被施加以将基片加热至对于第一温度的第二温度。优选地,该第二温度亦处于所需处理温度以下,尽管有可能执行从在能量的第一处理脉冲之后获得的数据而不是从激光测试脉冲进行的校准。在测试脉冲期间,脉冲能量数据由一个或多个光学传感器来收集;可替换地或者组合地,基片辐射亦可由一个或多个高温计来感测。基片吸收率是以几种方式之一从所感测 的数据来估算的。在一个方法中,一个光学传感器检测从基片反射的脉冲能量,并且第二传感器检测被透射经过基片的脉冲能量。基片吸收率是从这两个测量来估算的。在第二方法中,通过提供跟踪前表面温度的装置,高温计感测来自基片前侧的所发射的辐射。在此情况下,测试脉冲期间前表面的温升被用于确定基片吸收率。在第三方法中,高温计感测来自基片前侧或背侧的所发射的辐射。在施加测试脉冲之后,基片温度在整个厚度上平衡。从施加测试脉冲而产生的该体温升由察看前或背表面的高温计来测量,并且该测量被用于确定基片吸收率。根据通过这些方法之一而确定的所估算的吸收率,用于随后能量脉冲的脉冲参数(能量、持续时间、脉冲之间的时间)被确定,并且接下来的脉冲被施加以将前侧或第一表面加热至所需处理或退火温度。优选地,如果测试脉冲被使用,则测试脉冲是以处于1nJ/cm2到10J/cm2的范围内的能量密度(这些是基片处的能量密度)来发射的,并且被发射从1纳秒到50毫秒的持续时间。通过基于原地的吸收率估算来调节脉冲参数,该途径使得有可能以相同的温度时间轮廓来处理半导体基片,而不管基片的光学(实际上是物理)特性。 
借助这个另外的实施例,基片可被首先加热至所需处理温度以下的中间温度或第一温度。与其它实施例一样,将基片加热至第一温度的热源优选地包括钨卤灯、弧光灯或这种灯的阵列。可替换的热源包括被加热的板或基座。而且,在第一加热源的能量脉冲被施加以加热前侧或第一表面的同时,基片的背侧表面可被维持在第一温度处或附近。背侧温度可由对加热源的闭环反馈控制诸如在脉冲式加热源被激励时通过控制给加热源的功率(停用加热源)来维持。 
依照本发明用于加热半导体基片的系统包括(a)第一加热源,其将基片加热至第一温度,可以是钨卤灯、弧光灯或这种灯的阵列;(b)脉冲式加热源,其将能量的第一脉冲施加给基片的第一表面以将第一表面 加热至比第一温度大的第二温度;(c)任选地,过滤器,其与脉冲式加热源关联以筛选出由脉冲式加热源发射的所选波长辐射;(d)传感器,用于在能量的第一脉冲被施加之后采样由基片反射的辐射;以及(e)装置,用于调节用于由脉冲式加热源施加的附加能量脉冲的脉冲参数。 
优选地,脉冲式加热源是弧光灯或闪光灯或者这种灯的阵列,或者是激光器。优选地,过滤器是水冷窗或高OH石英窗,其将基片隔离于脉冲式加热源。最优选地,在脉冲式加热源是弧光灯或闪光灯或者这种灯的阵列的情况下,过滤器包括单独包围每个灯泡的一个或多个包层。优选地,传感器是光学传感器。最优选地,用于采样由脉冲式加热源发射的入射脉冲辐射和由基片透射或被透射经过基片的脉冲辐射的附加光学传感器被提供。优选地,高温计被提供以测量(a)由基片的第一表面发射的辐射能量以监视基片第一表面的温度,以及(b)由基片的背侧表面发射的辐射能量以监视背侧表面的温度。 
在本发明的继续的方面中,对象被处理以具有包括第一和第二表面的相反主表面。系统使用加热装置在背景加热模式下以可控制的方式将热施加给对象,由此选择性地加热对象以至少通常地产生贯穿对象的温升。然后通过使对象的第一表面经历具有脉冲持续时间的能量的至少第一脉冲,与背景加热模式合作,在脉冲式加热模式下使用所述加热装置来加热对象的第一表面。背景加热模式有利地以对第一脉冲的定时关系而被控制。 
在本发明的再一个实施例中,具有包括第一和第二相反表面的相反主表面的对象是通过使用处理系统通过以下来处理的:使用加热装置在背景加热模式期间以可控制的方式将热施加给对象由此选择性地加热对象以至少通常地产生贯穿对象的第一温度。然后对象的第一表面是通过以下在脉冲式加热模式下使用所述加热装置来加热的:使第一表面经历能量的至少第一脉冲以将对象的第一表面加热至比第一温度大的第二温 度。在施加第一脉冲之后,第一表面被允许在冷却间隔期间冷却,从而允许对象的第一表面下降到第二温度以下,并且被允许至少在有限的程度上热均衡。在冷却间隔之后,能量的第二脉冲被施加给对象的第一表面以再次加热第一表面。在包括至少第一脉冲、冷却间隔和第二脉冲的脉冲加热模式期间,对象的第二表面被维持于近似第一温度。在一个特点中,对象的第二表面通过以下而维持于第一温度:以对施加第一脉冲和第二脉冲的至少一个的定时关系来控制背景加热模式。 
在本发明的另外的方面中,对象是在使用系列脉冲中的脉冲式能量的系统中被处理的,所述脉冲的每个的特征在于一组脉冲参数。对象包括第一和第二相反的主表面。第一表面被暴露于具有第一组脉冲参数的第一能量脉冲以产生对对象的第一温度响应。对象的第一温度响应被感测。通过组合第一组脉冲参数而使用第一温度响应,至少第二组脉冲参数被建立以便于施加至少第二能量脉冲。第一表面然后被暴露于至少第二能量脉冲以至少部分地产生基片的目标条件。 
在本发明的另一个方面中,具有第一和第二相反主表面的半导体基片是通过借助以下而感生半导体基片中的温升在系统中被处理的:将基片暴露于特征在于一组脉冲参数的能量脉冲。半导体基片的温升通过使用感测装置来感测。基于该温升,与所述一组脉冲参数组合,半导体基片的吸收率被确定。在一个特点中,如所确定的吸收率被用作在建立用于继续对于半导体基片的处理的一组处理参数的过程中的值。例如,吸收率可被用于建立用于至少一个附加能量脉冲的一组处理参数。在另一个特点中,能量脉冲被以相对于目标条件而产生半导体基片中的可忽略闭环的方式来配置以使能量脉冲为了测量的目的而被施加。在又一个特点中,脉冲能量被施加成将半导体基片至少部分地变换到目标条件。 
在本发明的另外的方面中,对象是在系统中使用热来处理的。因此,加热源在第一工作模式下将对象加热至第一温度,由此进行背景加热。 加热源被进一步配置以便于在第二、脉冲式热工作模式下将能量的至少第一脉冲施加给对象的第一表面以将第一表面加热至比第一温度大的第二温度。对象响应于加热源而产生辐射能量。传感器被用于通过采样来自对象的辐射能量而产生测量。用于至少一个附加能量脉冲的脉冲参数至少部分地基于所述测量而被调节。在一个配置中,加热源包括分离的背景和脉冲式加热部分。在另一个配置中,加热源是如例如弧光灯的多模式源,其被配置成在作为第一工作模式的背景加热模式下工作并且在作为第二工作模式的脉冲式加热模式下工作。 
本发明的一个方面涉及一种用于处理对象的方法,所述对象具有相反主表面,即第一表面和第二表面,所述方法包括:使用加热装置在背景加热模式期间以可控制的方式将热施加给对象,由此选择性地加热对象以至少在整个对象上产生第一温度;在脉冲式加热模式下通过使第一表面经历能量的至少第一脉冲使用加热装置来加热对象的第一表面以将对象的第一表面加热至比第一温度大的第二温度;在施加所述第一脉冲之后的冷却间隔期间允许所述第一表面冷却,由此允许对象的第一表面降至第二温度以下并且至少在有限的程度上热均衡;以及在所述冷却间隔之后,将能量的第二脉冲施加给对象的第一表面以再热第一表面。 
本发明的另一个方面涉及一种用于处理对象的系统,所述对象具有相反主表面,即第一表面和第二表面,所述系统包括:加热装置,用于 在背景加热模式期间以可控制的方式将热施加给对象,由此选择性地加热对象以至少产生整个对象上的温升并且用于在脉冲式加热模式下加热对象的第一表面;控制装置,其与所述加热装置合作,用于(i)将对象最初加热至第一温度,(ii)使第一表面经历能量的至少第一脉冲以将对象的第一表面加热至比第一温度大的第二温度,(iii)在施加所述第一脉冲之后的冷却间隔期间允许所述第一表面冷却,由此允许对象的第一表面降至第二温度以下并且至少在有限的程度上热均衡,并且(iv)在所述冷却间隔之后,将能量的第二脉冲施加给对象的第一表面以再热第一表面。 
本发明的另一个方面涉及一种用于处理半导体基片的方法,所述基片包括第一和第二相反表面,所述方法包括:通过将基片暴露于特征在于一组脉冲参数的能量脉冲在所述半导体基片上感生温升;感测半导体基片的温升;以及基于与所述组的脉冲参数组合的所述温升,确定半导体基片的吸收率。 
本发明的另一个方面涉及一种用于处理半导体基片的系统,所述基片包括第一和第二相反表面,所述系统包括:加热装置,用于通过将基片暴露于特征在于一组脉冲参数的能量脉冲在所述半导体基片上感生温升;感测装置,用于感测半导体基片的温升;以及处理装置,用 于基于与所述组的脉冲参数组合的温升来确定半导体基片的吸收率。 
附图说明
图1是依照本发明的一个方面用于加热半导体晶片的脉冲式处理系统的示意图; 
图2是绘出用于对两个晶片的多脉冲加热的现有技术加热曲线的以℃表示的温度对以秒表示的时间的曲线图,其中脉冲具有相同的能量,但每个晶片具有不同的反射率; 
图3是(i)绘出用于用背景加热器加热且其表面通过来自脉冲加热源的多重脉冲的辐射来加热的晶片的表面和背侧的现有技术加热曲线的以℃表示的温度对以秒表示的时间的;以及(ii)绘出用于背景加热器的以kW表示的背景加热器功率对以秒表示的时间的曲线图; 
图4是说明依照本发明第一实施例的加热方法的曲线图——(i)绘出了用于用背景加热器加热且其表面通过来自脉冲加热源的多重脉冲的辐射来加热的晶片的表面和背侧的加热曲线的以℃表示的温度对以秒表示的时间;并且(ii)绘出了用于背景加热器的以kW表示的背景加热器功率对以秒表示的时间; 
图5是说明依照本发明第二实施例的加热方法的曲线图——(i)绘出了用于用背景加热器加热且其表面通过来自脉冲加热源的多重脉冲的 辐射来加热的晶片的表面和背侧的加热曲线的以℃表示的温度对以秒表示的时间;并且(ii)绘出了用于背景加热器的以kW表示的背景加热器功率对以秒表示的时间; 
图6是说明依照本发明第三实施例的加热方法的曲线图——(i)绘出了用于用背景加热器加热且其表面通过来自脉冲加热源的多重脉冲的辐射来加热的晶片的表面和背侧的加热曲线的以℃表示的温度对以秒表示的时间;并且(ii)绘出了用于背景加热器的以kW表示的背景加热器功率对以秒表示的时间; 
图7是说明依照本发明第四实施例的加热方法的曲线图——(i)绘出了用于用背景加热器加热且其表面通过来自脉冲加热源的多重脉冲的辐射来加热的晶片的表面和背侧的加热曲线的以℃表示的温度对以秒表示的时间;并且(ii)绘出了用于背景加热器的以kW表示的背景加热器功率对以秒表示的时间; 
图8是说明依照本发明第五实施例的加热方法的曲线图,其绘出了用于在其中能量脉冲被施加以将基片表面从第一温度快速加热至所需较高温度而不将基片保持于第一温度的加热曲线的以℃表示的基片表面温度对以秒表示的时间,所述基片经历连续变化的温度。 
图9是说明用于对前侧或第一表面基片温度的闭环反馈控制的序列的流程图; 
图10是说明用于对加热基片的能量脉冲的闭环反馈控制的序列的流程图;并且 
图11是说明用于根据脉冲式加热期间的基片反射率和透射率对基片温度的闭环反馈控制的序列的流程图。 
图12是说明一个加热曲线的绘图,该加热曲线依照本发明而被实施并在此被示出以说明结合预脉冲的低热预算途径。 
图13是说明一个加热曲线的绘图,该加热曲线依照本发明而被实施 并且类似于图12的加热曲线,除了预脉冲在被插入到上升间隔中的稳定状态间隔期间被施加。 
图14是说明一个加热曲线的绘图,该加热曲线是使用多模式热源依照本发明而被实施的,被在此被示出以说明将处理对象暴露于预脉冲和处理脉冲,而预脉冲在稳定状态间隔期间被施加。 
图15是说明一个加热曲线的绘图,该加热曲线依照本发明而被实施,其共享了图12的加热曲线的优点,但其进一步说明了多速率上升加热间隔。 
图16是说明一个加热曲线的绘图,该加热曲线依照本发明而被实施,其象图12和15的加热曲线一样包括预脉冲,之后是处理脉冲,并且其进一步说明了在将基片随后暴露于处理脉冲时背景加热的减少。 
图17是说明说明一个加热曲线的绘图,该加热曲线依照本发明而被实施,其包括对预脉冲之后的系列附加脉冲的高度有利的使用。 
图18是说明一个加热曲线的绘图,该加热曲线依照本发明而被实施,其说明了使用系列处理脉冲的另一个实施,其中预脉冲在上升间隔期间被施加。 
图19是说明一个加热曲线的绘图,该加热曲线依照本发明而被实施,其说明了使用多个预脉冲的另一个实施例,其中预脉冲在整个系列的脉冲内先于处理脉冲。 
图20是说明一个加热曲线的绘图,该加热曲线依照本发明而被实施,其说明了使用多个预脉冲的另一个实施例,其中系列处理脉冲被利用于预脉冲的相继预脉冲之间。 
具体实施方式
设备 
首先参考图1,脉冲式处理系统30包括外壳32,其限定处理室34, 在处理室内放置了基片36,如半导体晶片,其被保持在支持38上。石英窗40、42将基片36和支持38隔离于被放置在外壳32内的加热源44、46,并且位于基片36以上和以下。热源44和46由计算机/控制装置47来控制,其被配置成将电功率电平选择性地施加给背景加热源44和脉冲式加热源46的每个以实现对两个源的精确控制。应指出,控制装置47容易适合于根据该总体公开内容而控制多模式源,从而递送来自单个源的加热曲线,其组合了背景加热行为以及脉冲递送。石英窗40、42亦可通过提供用于水沿窗的至少一个表面而流动的一个或多个通道(未示出)来水冷。处理室34的外壳壁32优选地具有反射性内表面。 
在半导体晶片的情况下,部分接触支持38的基片36的表面常常被称为背侧表面,并且基片的相反表面常常被称为前侧或器件侧。在本公开内容的情况下并且在权利要求中,前侧表面可被称为第一表面,而背侧表面可被称为第二表面。而且,重要的是理解本发明考虑的是对正在经历处理的诸如基片的对象的两个或任何一个主表面的脉冲加热。 
钨卤灯44以平行阵列被放置在基片背侧以下。如所示,所述灯通过计算机控制来供电并且可由它来控制。灯44能以每秒至少大约20℃的速率,优选地以每秒200℃到300℃的速率来上升基片36的温度。该速率可被认为是最大瞬时倾斜速率。换句话说,相对于时间而绘出的加热曲线的斜率或导数在时间上响应于背景加热而展示出用于至少一个点的每秒至少20℃的值。所述灯可被气冷(未示出)。例如,来自UshioAmerica,Inc.的灯型号J208V-2000WB1是2kW钨卤灯,其可被用于背景加热,并且被面向基片的背侧而放置。应理解,任何适当形式的灯或加热器件可被用作钨卤灯44的功能等效物,并且没有对可被采用的加热器件的物理安排或数量的限制。举例来说,可使用热板和/或基座来进行背景加热。 
弧光灯46以平行阵列被提供于基片36的前侧或器件侧以上。灯46 能产生能量脉冲从而诸如以每秒大于1000℃的速率很快地加热基片36的前侧。灯46可被单独或分组激励以生成基片前表面上的所需脉冲加热曲线。所述灯可被气冷或水冷(未示出)。弧光/闪光灯以不同的尺寸被制作并且可用于范围从几瓦到几千瓦的辐射功率发射。例如,来自PerkinElmer的灯型号10F10可处理高达13kJ的能量并且可被供电至高达16kW的平均功率。 
灯46被过滤器48封闭以选择性地过滤来自灯46所发射的能量的高温计波长辐射(还要被描述)。可替换的是,水套(未示出)可被放置在灯的石英包层上以选择性地过滤高温计波长。 
应理解,本发明考虑的是使用可以以脉冲式模式被施加的任何适当形式的能量。举例来说,使用脉冲式电子束被考虑。 
第一传感器50被关联于灯46以上的外壳32以监视从弧光灯46入射的辐射(由箭头52来表示)。第二传感器54被关联于灯46以上的外壳32以监视从基片36反射的辐射(由箭头56来表示)。第三传感器58被关联于灯44以下的外壳32以监视由基片36透射的辐射(由箭头60来表示)。 
与灯46以上和灯44以下的外壳32关联的高温计62、64分别被用于测量基片的前侧和背侧温度。例如,晶片背侧可由来自Luxtron的Ripple高温计来监视,而晶片前侧(其用闪光灯来照明)可由具有快速响应传感器的高温计来监视,所述传感器是诸如来自EG&G Judson的砷化铟传感器,型号J12TE4-3CN-RO2M。灯亮度可为了闭环的目的而用传感器来监视,该传感器是诸如来自Thor Labs的砷化铟镓传感器型号PDA400。 
脉冲式加热方法 
对于借助多重加热源进行的可重复半导体晶片热处理过程,组合的背景和前侧加热应在所处理的所有晶片上的所有点处以类似的热循环被 施加,而不管晶片类型的变化。晶片表面的反射率的变化可导致不同晶片上或甚至相同晶片上的不同位置上的功率耦合的明显变化。光学特性的变化可影响在快速热处理期间到达晶片上的温度。在整个加热循环中对背景加热的控制对于多脉冲加热方法来说是理想的,从而防止了对晶片的前侧或器件侧或者晶片的背侧的过度加热。 
结合图1来参考图4,用于依照本发明的一个示例多脉冲加热方法的办法被图示并且使用脉冲式处理系统30来实施。应指出,所说明的各种示意性加热和功率绘图并不旨在如在任何意义上被限制,未被按照度任何轴的比例而画出,并且已经以被认为是增加读者对本发明的理解的方式被呈现。晶片前侧的温度由曲线66示出。晶片背侧的温度由曲线68示出。除了在来自脉冲加热源的所施加的脉冲期间,曲线68跟随曲线66,其中曲线68保持在所需处理或退火温度以下的第一温度处或其附近。在以下采取了在依照该示例方法的加热的实际实施中的特定设计考虑。 
在实施图4的加热办法的过程中,首先,图1的背景加热源44以每秒大约200℃的速率来加热基片。给灯装置的功率由曲线70示出。在上升功率并上升温度之后,功率然后被减小到稳定状态以将基片维持于800℃的温度,其处于所需最大处理温度以下。 
来自脉冲式加热源46的第一脉冲被施加以将基片的前表面加热至如图4中所示的近似1300℃的最大或所需处理或退火温度。背景灯44以对脉冲施加的定时关系而被控制。该脉冲可在例如从脉冲的时间tp处的启动而测量的时间间隔71内被施加。在本实例中,正好在脉冲式加热源被激励之前或在此时,给第一加热源的功率被停用或停止。背侧温度在脉冲期间保持在800℃或其附近,即使在来自脉冲的辐射能量扩散经过基片时。尽管在脉冲之后的基片前侧的冷却过程中有滞后,这种恒定或近乎恒定的温度仍被获得。给第一或背景加热源的功率正好在脉冲之 后被切换回到开以帮助将背侧温度维持在所需的恒定800℃处。同样,以对脉冲的定时关系,功率以受控方式被再次施加给背景加热源44。在一个修改中,背景加热可通过被指示为“NS”的负行进步骤(negativegoing step)来终止以使以对稳定状态背景加热间隔的间隔结束的定时关系来实施脉冲加热。 
如果第二脉冲或系列附加脉冲被施加以处理基片的前侧,则用于第一加热源的反馈控制的过程被重复。如图4中所示,之后在第二脉冲的开始之前或正好在此时,给第一加热源的功率再次被减小或停用。同样,背景加热可被控制于例如从第二脉冲的启动而测量的间隔71(为第一脉冲而被示出)内,如对作为脉冲式加热模式的一部分而被施加的任何附加脉冲来说真实的那样。第二脉冲将基片的前侧加热至所需的1300℃处理温度,但背侧温度保持在较低的初始温度(在该实例中是800℃)处或其附近。 
应理解,使用本发明的定时关系概念对背景加热的控制高度优于如在现有技术中看到的纯粹恒温控制,特别是在脉冲式模式加热的情况下。通过限定,脉冲模式热以在时间上的很短增量期间发生的很高速率而被递送。本发明认识到,恒温温度控制在这样的情况下通常是无效的。就是说,在脉冲加热被使用的情况下,恒温加热展示出“事后”做出响应的明显趋势。例如,在基片的一个主表面处脉冲模式能量的输入可产生相反主表面的温度上的快速且明显的增加。在相反表面的温度被监视并且被用于控制背景加热的情况下,这样的温度增加不能被防止,这是因为相反表面处的响应使脉冲滞后。温度可继续上升而不管给背景加热的减小的功率。在这一点上,所强调的是相反表面处的温度响应或增加发生在产生温度增加的脉冲之后。在过程参数,特别是最大温度限制不能被超过的情况下,例如在不导致器件降级或破坏的情况下,现在应当显而易见的是使用脉冲式模式加热的恒温控制是特别有问题的。
相反,如在此所讲述的,对背景加热的定时控制足以解决这个困难,这是因为在预期(in anticipation of)脉冲的情况下是控制可用的。当然,应认识到,这种高度有利的系统和方法的实施是既不微不足道也不显而易见的。 
在一些情况下,可能需要施加较早的脉冲以预热基片从而使随后的脉冲将基片的前表面加热至比中间温度高的温度。例如仅当处理办法需要将基片的背侧维持于恒定温度处或其附近时,反馈控制然后可被选择性地用于控制给第一加热源的功率。 
在其它情况下,来自所施加的能量的脉冲的尖峰加热可能是过大的,并且不能在实际脉冲间隔期间通过控制给第一加热源的功率来单独补偿。在此情况下,脉冲参数(能量、脉冲的持续时间、脉冲之间的时间)可针对与背景加热一致的随后脉冲而被调节。可替换的是,可在脉冲所产生的预期热效应的情况下以与施加脉冲式能量的定时关系来调节背景加热功率。独立地或在相同的时间,脉冲参数可被调节以实现目标处理温度。在一个实施中,第二脉冲和随后脉冲的脉冲参数可被调节成使第一表面到达其目标温度T2,而不明显超过或未能到达目标值。有关峰值温度的信息可包括用于在建立随后脉冲参数的过程中使用的至少一个反馈参数。 
在图5到7中图示的某些实施例中,低能量预脉冲由脉冲能量源发射以加热基片的前表面。反射能量传感器采样来自基片的反射光,并且脉冲能量传感器采样来自脉冲源的光,该采样测量被用于估算基片表面反射率。随后的脉冲然后被激励以加热基片的前表面,同时考虑基片表面反射率。 
参考图5,预脉冲导致预脉冲响应P以将基片表面加热得比第一稳定状态温度高大约50℃。示出脉冲能量72和反射能量74的相对大小的曲线亦被提供在图5中。预脉冲能量密度可处于1nJ/cm2到10J/cm2的 范围内。为了退火,半导体晶片的体(其是第一温度)将优选地被维持在400℃到950℃的范围内。对于其它应用,第一温度可处于室温(大约25℃)到1400℃的范围内。如将被进一步描述的,本发明的预脉冲技术至少由于以下原因是高度有利的:预脉冲(或先于另一个脉冲的任何脉冲)可被用于确定对随后脉冲的正被处理的对象的预计响应。预计响应可基于使用单个附加脉冲或使用多个附加脉冲来产生对象中的目标条件,其中目标条件是使用附加脉冲的相继脉冲而被递增接近的。在后者的实施中,用于每个附加脉冲的参数是以旨在至少部分地产生处理对象中的目标条件的方式以这种预测方法来建立的。 
在图6中所示的加热办法中,预脉冲P被施加而无效用于第一加热源的反馈环路过程控制。因此,当预脉冲P被施加时,给第一加热源的功率不被停用,并且基片背侧的温度上升到第一温度(800℃)的略微以上到正好第一温度以上的新的、在某种程度上为稳定状态的温度。 
相反,在图7中所示的加热办法中,反馈控制环路被激励以控制给第一加热源的功率以使在预脉冲P被施加以加热基片前侧或器件侧之前或在此时停止功率。因此,基片的背侧温度在脉冲式加热的预脉冲和其它脉冲的整个施加过程中保持在第一温度(即800℃)处或其附近。 
可替换的是,不是预脉冲P,基片表面的反射率可从传感器数据被估算,该数据是基于多脉冲处理方案中用于加热基片的前侧或器件侧表面的第一脉冲而获得的。 
图8描述了可能较适合于较为紧张的热预算的加热曲线,其中稳定状态加热间隔是不需要的。第一加热源将诸如半导体晶片的基片加热至第一温度T1(例如800℃)。图8中的斜坡76表示借助第一加热源的一个示例加热曲线。如图8中所示的单个上升步骤或几个步骤后者其它加热曲线可被用在该实施例中。可变的上升速率可被使用。就在基片到达第一温度T1或在T1以上时,并且在不将基片在那个温度处保持显著时 间的情况下,脉冲式加热源被激励以施加一个脉冲的能量Ep,从而将基片表面的前侧加热至比第一温度高的第二温度(例如T2=1300℃)。尖峰78表示借助脉冲式加热源的脉冲式加热。尖峰78开始于晶片的表面温度到达800℃的点。在图8中,第一加热源和脉冲式加热源在单个脉冲之后被停用以允许基片冷却,尽管应理解的是根据这里的讲述,其它方案亦可被使用。第一加热源和脉冲式加热源可包括分离的源,但亦可使用单个加热源来实现这样的加热曲线。举例来说,图1的灯46可被替换成多模式加热源,如例如多模式弧光灯。在这样的修改中,应理解加热是通过以下来实现的:在其背景和脉冲式加热模式两者下将热施加给紧接着面对多模式源的对象的前表面或第一表面。作为另一个修改,多模式源可被配置成例如使用可移动镜面装置(未示出)将背景加热施加给对象的第二或背表面。本申请将关于热源的术语“多模式”考虑为包含任何这样的热源,其能选择性地以表示较低、背景加热速率的速率将热递送相对长时间的持续时间,并且以高、脉冲式加热速率将热递送相对短的递送周期,由此模拟现有技术的背景和脉冲式加热设备两者。 
仍参考图8,应理解,脉冲78的施加可以以对到达温度T1的定时时间来实施。与此同时,可以以对到达温度T1或例如间隔71内时间tp处脉冲78的启动的定时关系来控制背景加热。应理解,可以以包括在预测意义上的大量的灵活性来实施这种控制。例如,在到达T1之前,背景加热可被减小或完全终止以使由于例如来自背景热源的残余输出而导致温度继续上升到T1,所述残余输入是作为其时间常数的结果而产生的。脉冲78的施加然后可响应于到达T1(包括在一个延迟之后)或者在预测的意义上例如在被限定于减小背景加热和到达T1之间的间隔内被实施。在又一个替换中,一旦到达T1,背景加热可被减小并且脉冲激发可响应于冷却到预定温度而发生。值得注意的是,通过没有稳定状态间隔而实施图8的加热曲线,正被处理的对象经历连续的温度变化。
优选地,由第一热源递送的功率在脉冲一秒之前到1秒之后之间的间隔内的时间处在大小上被减小到0到90%。优选地,给第一加热源的功率在大小上被减小到大约50%或以下,并且最优选地减小到大约10%或以下。如果单个加热源被使用,由该单个热源递送的背景加热功率在脉冲1秒之前到1秒之后之间的间隔内的时间处优选地在大小上被减小到0到90%,更优选地到小于50%,并且最优选地到小于10%。 
在一个实施例中,T1>800℃并且最大瞬时上升速率是≥10℃/秒,优选地≥20℃/秒。在第二实施例中,T1>900℃并且最大瞬时上升速率是≥20℃/秒,优选地≥50℃/秒。在第三实施例中,T1>950℃并且最大瞬时上升速率是≥50℃/秒,优选地≥100℃/秒。在第四实施例中,T1>1000℃并且最大瞬时上升速率是≥75℃/秒,优选地≥150℃/秒。 
一般而言,对于图8中所说明的实施例以及在此看到的然后脉冲式加热途径,第二温度T2可处于800℃-1450℃的范围内。脉冲能量Ep优选地被选择成使T2处于基片的熔点以下。可替换的是,Ep可被选择成产生在基片的前侧上熔化的表面。能量脉冲的脉冲宽度可处于1纳秒到50毫秒的范围内。 
简要地考虑脉冲模式加热情况下的温度约束和范围,对于高温过程,如离子注入物退火,过程温度通常大于950℃。在该温度时,掺杂剂的扩散是快速的,并且在该温度处的时间必须被最小化。由于对扩散的强(指数)温度相关性,时间约束在1000℃比在950℃有效得多,因此强加了容许时间对温度的“滑动标尺(sliding scale)”(也就是热预算——并且其限制随着器件技术的进步而减小)。此时,倾斜加热速率和冷却速率变得很有关。相当高的温度,例如近似1050℃,对于目前技术水平的器件是可容许的,只要例如在1050℃处有基本上零的停留时间,并且倾斜和冷却速率大于近似75℃/s(其在T>1000℃时花费了合计达小于近似1.4秒的总时间)。这给予读者对除了图8中所说明的倾斜+脉冲类 型的途径以外的状况种类和类似实施的理解。当然,对于下一代器件,所允许的限制将减小,因此这些限制要被相应地调节。注意,在实践中,可能需要以100℃/s倾斜到950℃(而不是1000℃),激发脉冲然后允许冷却(例如以对于50℃/s的速率)。额外的50℃给扩散问题造成了很大差异,并且是相对小的温度变化(就对于脉冲需要多少额外能量来产生对所需过程温度的温升而言)。 
这些论证对于离子注入物退火应用是相对直截了当的,但对于在此提及的其它过程,“规则”可以是相当不同的。 
为了借助脉冲模式加热来处理晶片,由于两个原因,预热至某个背景温度通常是需要的。第一个原因是它减小了脉冲中所需要的能量。第二个原因是如果晶片温度小于近似500℃,则借助强热冲击来处理硅晶片很有可能导致破裂。因此当峰值过程温度大于900℃时,背景温度有可能是至少500℃。如以上所述,背景温度受容许热预算的强烈影响。对于高级离子注入物退火过程,如例如图5中所示,如果“浸泡+脉冲”途径被考虑,则背景温度有可能低于950℃。当把低能量注入物用于产生高级器件结构时,为了对扩散效应的“完全”免疫力,通常需要它处于近似800℃或以下。 
在该总体讨论的情况下,另一个有意义的温度是1410℃,因为这是硅的熔点。通常,硅的熔化是不需要的,由此强加了用于大多数硅应用的上限。然而,放眼未来,有需要以很高温度来处理的一些材料——例如SiC、GaN和钻石可用作用于一些专门器件的半导体。通过使用这里的讲述,这些材料中的一些可被退火于高达1700℃或比它高的温度处。 
为了反馈控制的目的,图8的实施例之前可以是预脉冲(或测试脉冲),如将被进一步描述的。而且,脉冲78可包括处理脉冲,其被用于公式化一个或多个附加脉冲的参数。其亦可被用在依照在此与在以下被讨论的图9到11中所示的过程一起被包括的任何一个图的任何多脉冲模 式中。 
以下伴随的流程图和方程中的值被限定于表1中。 
表1 
  
T<sub>1</sub> 第一温度 在施加预脉冲之前晶片被稳定化或到达的温度
T<sub>2</sub> 第二温度 针对晶片而使用脉冲加热来提升的温度
T<sub>m</sub> 中间温度 在正好在施加脉冲之前的处理过程中任选的所测晶片温度
T<sub>α</sub> 在施加预脉冲时由晶片表面达到的峰值温度
T<sub>β</sub> 施加脉冲时晶片表面的峰值温度
T<sub>λ</sub> 施加预脉冲时晶片的体温升
T<sub>φ</sub> 施加脉冲时晶片的体温升
P 脉冲功率密度 每单位晶片面积的灯功率
E<sub>pr</sub> 预脉冲能量 预脉冲期间的灯能量
E<sub>p</sub> 脉冲能量 脉冲加热期间的灯能量
Ω 用于脉冲加热的脉冲宽度 该定义取决于功率供应。对于可变脉冲宽度的功率供应,这通常被定义为在最大能量的一半的能量值处取得的脉冲能量对    时间轮廓的宽度(FWHM,或半最大值全   宽度)
ω 用于预脉冲的脉冲宽度
S<sub>p</sub> 脉冲采样时间 从施加脉冲直到晶片温度沿厚度变得均匀的时间。这是处于热扩散时间常数的一到五倍之间。
F<sub>1</sub>、F<sub>2</sub>、F<sub>3</sub> 由晶片特性和脉冲宽度限定的常数
η 几何系数 从灯到晶片的交换因子,对系统是先验确定的
A<sub>w</sub> 晶片的表面面积
  
t 时间
ρ 晶片密度
C<sub>p</sub> 晶片比热
k 晶片热传导率
δ 晶片厚度
γ<sub>pr</sub> 在等于预脉冲脉冲宽度ω的时间段内热扩散的长度
γ<sub>p</sub> 在等于脉冲宽度Ω的时间段内热扩散的长度
α 对灯辐射的宽带晶片吸收率
τ 晶片宽带透射率
r 对灯辐射的晶片宽带反射率
ψ<sub>p</sub> 用于脉冲加热的光学效率 用于脉冲的闪光灯的电到光转换效率。这对于灯是先验确定的。
ψ<sub>pr</sub> 用于预脉冲的光学效率 用于预脉冲的闪光灯的电到光转换效率。这对于灯是先验确定的。
现在参考图9到11,过程流程图说明了用于依照本发明的脉冲式加热方法的各种闭环反馈控制。这些方法对于对晶片光学特性的原地估算是有用的,所述估算又使能对将晶片表面提升到所需处理温度T2所需要的脉冲能量的精确估算。在图9中,反馈是基于相对于目标或所需处理温度而比较的所测基片前侧温度。在图10中,反馈是基于在能量脉冲已被施加之后的预定时间间隔处所比较的基片温度(任何一个表面可被使用)上的增量变化。在图11中,反馈是基于所测的基片反射率和透射率。 
图9到11的每个中的所测参数通过模型而相关于灯能量Ep,并且 模型计算提供了对用于接下来的脉冲的随行脉冲参数(Ep和Ω)的估算。对脉冲参数的脉冲到脉冲的操纵提供了用于脉冲处理期间对晶片温升的反馈控制的机理。 
当使用多重脉冲来实施处理时,能量吸收使基片温度增加于脉冲之间。例如,如果基片被加热至第一温度T1,然后能量脉冲被施加给前表面,则前表面的温度快速增加至处理温度T2,而背侧在脉冲期间保持接近于T1。前表面温度然后通过对趋向于在整个厚度上均衡基片温度的下面的基片进行冷却而下降。在该过程中,在脉冲加热期间被吸收的能量使基片到达中间温度Tm,其然后通过辐射冷却而进一步减小。在施加接下来的脉冲之前,Tm可被测量以提供对接下来的脉冲所需的能量的改进估算。 
在可替换的安排中,脉冲参数可从预先编程的查询表或在经验上确定的表面拟合来估算。在一个选项中,系列实验被先验地(即在热处理所需晶片基片之前)进行。针对T1、Tβ、Ω和Ep的不同组合而记录晶片温度响应。这些结果被结合到查询表中并被存储在计算机中。在特定的处理运行期间,T1和Ω被预设于所述办法中,并且Tβ被测量。计算机然后访问查询表以检索用于所需T2的Ep。如果T2的实际值在查询表中不可用,则在围绕T2的值之间进行插值。该选项在图9到11中被表示为“选项1”。 
可替换的是,在“选项1”中,实验产生的数据可以以表面拟合的形式被存储。在此情况下,该拟合采取以下形式 
Figure G2008101683965D0026174819QIETU
在以上方程中,通过所述办法中的预设值或通过测量,RHS中的所有变量是已知的。Ep可由此从函数关系式来计算。该途径可被应用于图9到11中所示的流程图中的所有方法。
脉冲期间在顶部表面处测量的基片(晶片)温度 
接下来参考图9,反馈是基于相对于目标或所需处理温度而比较的前侧温度。在晶片被加载80到处理室中之后,输入参数被识别以便于步骤81中的加热。背侧加热温度T1和前侧加热温度T2是预定值。根据所需加热办法,预脉冲能量Epr和脉冲宽度ω亦是预定值。晶片被预热82至第一温度T1。一旦到达T1,预脉冲84根据预脉冲能量Epr而被施加。作为预脉冲的结果的晶片前侧的峰值温升Tα是通过高温测量技术86来确定的并且可被认为是基片的温度响应。对响应于预脉冲而达到的温度Tα的知识和脉冲参数可被用于确定晶片吸收率α。为了随后的脉冲,脉冲能量在步骤87中从查询表或曲线拟合(“选项1”)被确定或者作为T1、Tα和T2(“选项2”)的函数在步骤88中被计算。 
如果辐射损失在脉冲期间被忽略,则加热速率可通过以下而相关于所供应的功率 
&rho; C p &gamma; dT dt = &alpha;&eta;&psi;P - - - ( 1 )
在此,γ是对应于脉冲宽度的热扩散厚度。对于预脉冲,γ=γpr,并且对于脉冲加热,γ=γp。这些由以下给出 
&gamma; pr = D&omega; = k &rho; C p &omega;     &gamma; p = D&Omega; = k &rho; C p &Omega; - - - ( 2 )
并且ψ是闪光灯的光学转换效率。当预脉冲被施加时ψ=ψpr,而对于脉冲加热,ψ=ψp。ψpr和ψp是闪光灯的特征,并且被先验确定并被此处以便于在处理期间使用。 
晶片顶部表面的温升被测量于功率密度Ppr(能量Epr)的预脉冲期间。由此得到
&Integral; T 1 T &alpha; &rho; C p &gamma; pr dT = &Integral; 0 &omega; &alpha;&eta; &psi; pr P pr dt - - - ( 3 )
从以上方程,吸收率α可被确定 
&alpha; = F 1 ( T &alpha; - T 1 ) A w &eta; &psi; pr E pr - - - ( 4 )
其中 
如果晶片吸收率是常数,则用于给定温升(T2-Tm)的所需脉冲能量被估算为 
E p = F 2 ( T 2 - T m ) A w &alpha;&eta; &psi; p - - - ( 6 )
其中 
F 2 = &Integral; T 1 T 2 &rho; C p k &Omega; dT T 2 - T m - - - ( 7 )
通过使用所确定的或所计算的值,脉冲能量被释放90给闪光灯以使该灯发射脉冲以加热晶片的前侧。在该脉冲之后,晶片前侧的温度通过高温测量技术而确定92。晶片吸收率是使用表面温度的测量再次计算的。如果接下来的脉冲要被施加,系统返回以计算88作为T1、Tα和T2的函数的接下来的脉冲的脉冲能量。一旦所需加热过程被完成,则晶片可从处理室被上载96。该技术基本上依赖于所感生的温升。基片或经历处理的其它这样的对象的响应被感测为温度的增加。这个所感测的温度增加然后形成用于建立处理参数的基础,所述处理参数是诸如例如用于在对正被处理的对象的随后处理中使用的脉冲参数。处理对象的特征,如吸收率,容易被确定于这种高度有利的过程中。 
脉冲之后的“Sp”秒时在顶部或底部表面处测量的晶片温度
现在参考图10,反馈控制方法依赖于对作为吸收脉冲能量的结果的体晶片温升的测量。为此,可通过晶片温度的测量,并且具体而言在晶片的顶部表面或底部表面进行的测量来确定温升。就该方法中的步骤与图9的方法相同的方面而言,类似的参考数字已被应用。 
在本实例中,反馈是基于基片温度上的增量变化,其是通过将在脉冲之前被测量的温度比较于在能量脉冲已被施加之后的限定时间间隔处确定的后脉冲温度来确定的。在晶片被加载80到处理室中之后,用于加热的处理参数被识别。背侧加热温度T1、前侧加热温度T2、预脉冲能量Epr、预脉冲脉冲宽度ω和采样时间被限定。晶片被预热82至第一温度T1。预脉冲以已知预脉冲能量Epr和脉冲宽度ω被施加84。(晶片前侧或背侧的)晶片温度的上升Tλ在预脉冲之后的某个时间间隔(Sp秒)处通过高温测量技术来测量100。通过使用预脉冲参数和Tλ,晶片吸收率被计算。为了随后的脉冲,脉冲能量从查询表或曲线拟合(“选项1”)被确定101或者作为T1、Tα和T2(“选项2”)的函数而被计算102。 
如果辐射损失在脉冲期间被忽略,则由晶片从脉冲吸收的总能量可通过以下而相关于晶片加热 
&Integral; T 1 T &phi; &rho; C p &delta; &CenterDot; dT = &Integral; 0 S p &alpha;&eta; &psi; p Pdt - - - ( b 1 )
以上方程的RHS(右手侧)中的晶片吸收率α是通过施加具有能量Epr的预脉冲来确定的 
&alpha; = A w &Integral; T 1 T A &rho; C p &delta; &CenterDot; dT &eta; &psi; pr E pr - - - ( b 2 )
如果热物理特性在脉冲宽度量级的时间标度上不明显变化,则常数所需温升的所需脉冲能量是 
E p = &rho; C p &gamma; p &CenterDot; ( T 2 - T m ) A w &alpha;&eta; &psi; p = F 3 ( T 2 - T m ) A w &alpha;&eta; &psi; p - - - ( b 3 )
其中 
F 3 = &rho; C p k&Omega; - - - ( b 4 )
通过使用所确定的或所计算的值,脉冲能量Ep被释放104给闪光灯以使该灯发射脉冲以加热晶片的前侧。(前侧或背侧的)晶片温度在脉冲之后的时间间隔Sp秒处通过高温测量技术而确定106,并且晶片吸收率被再次计算。如果随后的脉冲要被施加,如图10中所示,所需的脉冲能量从查询表或曲线拟合(“选项1”)或者从模型(“选项2”)被再次计算。一旦加热过程被完成,则晶片可从处理室被上载96。与紧接着在以上参照图9描述的过程一样,该过程基本上依赖于所感生的温升。基片或经历处理的其它这样的对象的响应被感测为温度的增加,但是是在施加脉冲之后的某个时间而不是在脉冲期间。同样,这个所感测的温度增加然后形成用于建立处理参数的基础,所述处理参数是诸如例如用于在对正被处理的对象的随后处理中使用的脉冲参数。此外,处理对象的特征,包括吸收率,容易被确定于该实施期间。 
不管温度响应何时被感测,重要的是注意对所感生的温升的依赖性被认为是至少由于以下原因而高度有利:所感生的温升响应于将影响施加任何随后的脉冲的基片处的任何数量的物理特征。这些物理特征包括但不局限于反射率、吸收率、比热、热传导率、材料密度和结构(例如多层结构将具有光学和热影响)。因此本领域的普通技术人员将理解,这种物理特征不局限于仅包括可能温度响应影响条件的子集的光学特征。而且,这些条件的任何组合将产生高度有利的共同响应而无需识别哪个物理特征产生温度响应的哪个部分。实质上预脉冲或任何适当的脉冲被用于产生用于随后处理的经验基础。 
此时,适当的是注意使用预脉冲(或任何适当的脉冲)伴随有有关加热设备的特定优点。具体而言,相同的加热设备可被用于将预脉冲施 加为处理脉冲。以这种方式,例如加热装置的灯和晶片之间的几何关系式对于用于处理/处理脉冲的诊断预脉冲,如果不相同,则是很相似的。例如,当估算吸收率时,几何因素,如晶片上加热辐射的入射角的分布,是重要的。如本发明所讲述的,保持几何结构恒定由于以下是高度有利的:允许对所需脉冲能量的较为精确的预测而不引入表征和外推的额外步骤。 
所测的反射率和透射率 
接下来参考图11,在能量脉冲的施加期间,反馈是基于所测的基片反射率r和透射率τ。在晶片被加载80到处理室中之后,用于加热的处理参数被识别。背侧加热温度T1、目标前侧加热温度T2、预脉冲能量Epr、脉冲宽度ω和其它参数被限定。晶片被预热82至第一温度T1。预脉冲以已知预脉冲能量Epr和脉冲宽度ω被施加84。晶片反射率和透射率在预脉冲期间由传感器来测量110。注意,该步骤考虑了使用光学装置,其可用作用于随后处理的基础。为了随后的脉冲,脉冲能量从查询表或曲线拟合(“选项1”)被确定111或者作为T1和T2(“选项2”)的函数而被计算112。 
如果辐射损失在脉冲期间被忽略,则加热速率可通过以下而相关于所供应的功率 
&rho; C p &gamma; dT dt = &alpha;&eta;&psi;P = ( 1 - r - &tau; ) &eta;&psi;P - - - ( c 1 )
其中标识α=(1-r-τ)被使用。在此,γ是对应于脉冲宽度的热扩散厚度。对于预脉冲,γ=γpr,并且对于脉冲加热,γ=γp。这些由以下给出 
&gamma; pr = D&omega; = k &rho; C p &omega;     &gamma; p = D&Omega; = k &rho; C p &Omega; - - - ( c 2 )
并且ψ是闪光灯的光学转换效率。当预脉冲被施加时ψ=ψpr,而对于脉冲加热,ψ=ψp。ψpr和ψp是闪光灯的特征,并且被先验确定并被此处以 便于在处理期间使用。 
功率密度Ppr(能量Epr)的预脉冲被施加给晶片,并且在预脉冲期间晶片反射率和透射率被测量。这些值被存储以便于随后使用。当能量的随后脉冲被施加时,晶片上的能量平衡得到 
&Integral; T m T 2 &rho; C p &gamma; p dT = &Integral; 0 &Omega; ( 1 - r - &tau; ) &eta; &psi; p Pdt - - - ( c 3 )
如果晶片反射率和透射率是常数,则用于给定温升(T2-Tm)的所需脉冲能量被如下估算 
E p = F 2 ( T 2 - T m ) A w ( 1 - r - &tau; ) &eta; &psi; p - - - ( c 6 )
其中 
F 2 = &Integral; T m T 2 &rho; C p k &Omega; dT T 2 - T m - - - ( c 7 )
通过使用用于脉冲能量的所确定的或所计算的值,脉冲能量被释放114给闪光灯以使该灯发射脉冲以加热晶片的前侧。晶片前侧的峰值温度Tβ在脉冲期间通过高温测量技术而确定116。晶片反射率和透射率被再次测量。如果另外的脉冲被施加,则脉冲能量被再次确定或计算。一旦过程被完成,则晶片可从处理室被上载96。 
在多脉冲处理的情况下,在每个脉冲之前执行用于图9到11的任何一个方法的反馈控制中的这些计算确保了可在处理过程中产生的晶片特性的变化在计算脉冲能量的过程中被补偿。应理解,图9-11中所示的方法和各个步骤可以以任何适当的方式来重新安排,特别是在使用系列脉 冲来处理的情况下。在此情况下,应理解随后施加的脉冲的脉冲参数可基于处理对象的多于一个物理特征来确定。例如,在施加所述系列处理脉冲中的不同点处,不同的参数可具有不同的重要性。而且,用于各种参数的重要性的优先化可随着过程的前进而变化。此外,一些物理特征的最终值可能是关键的。在该实例中,可甚至结合确定不同的物理特征通过附加脉冲的总集而跟踪这样的参数。例如,可结合监视反射率来采用温升。在这一点上,在需要特定参数在处理结束时具有目标值的情况下,可能理想的是在整个处理方案中的相对早期跟踪该值。所述特定参数可与其它参数指示一起或不管其它参数指示而用作对终止处理的指示。同样,不同的物理参数可被交替依赖,或者到达特定于一个参数的目标值可触发监视或依赖于不同的参数。在这一点上,应理解可能配置的无限制范围被考虑,其全部都被认为是处于本发明的范围内。 
尽管以上讨论被提出以使本领域的普通技术人员能制定和利用本发明,包括所有其各种特点,但应理解,可以以几乎无限制数量的方式来组合这些特点。因此,此时许多可替换的加热曲线将被描述,它们说明了使用以上所讲述的某些概念以提供对这些概念和它们可被使用的通用方式的更加完整的理解。 
参考图12,依照本发明而被实施的第一可替换加热曲线由参考数字200来概括指示。轮廓200说明了相对于该图左边的垂直温度标度而绘出的基片的第一表面温度,并且类似于在以上参照图8而描述的热轮廓,而某些差异将被详细描述。与图8的轮廓一样,加热曲线200包括上升部分202,其被终止于热尖峰204。后者是将基片的第一表面暴露于能量脉冲的结果。应理解,所述加热曲线(如对在此描述的所有热轮廓而真实那样)可由任何适当的加热装置来施加,包括分离的背景和脉冲式热源,或者可替换的是,能在脉冲式和背景类型热模式两者下工作的多模式源。然而,为了清楚描述的目的,本实例考虑使用分离的背景和脉冲 加热装置。因此,背景加热绘图206相对于该图右边、使用任意单位的垂直加热器功率标度而被绘出,其由背景热源来施加以产生上升部分202。背景加热是以与施加例如在tp的间隔71内产生尖峰204的脉冲的定时关系来控制的。在本说明中,背景加热是借助施加产生尖峰204的脉冲来终止的。之后,基片被允许冷却。应理解,在该整个公开内容中,考虑可以以与背景加热的定时关系来实施脉冲启动是同等适用的。就是说,到达T1(作为背景加热的直接结果)的事件或者其预测可被用于启动脉冲加热以及减小或终止背景加热。 
继续参考图12,轮廓200进一步说明了在上升部分202期间由脉冲式加热装置将预脉冲施加给第一表面以产生预脉冲尖峰208的结果。在本实例中,预脉冲被施加用于测量的目的,这与完成或至少部分完成接收预脉冲的基片的处理形成对比。以略微不同的方式来说,预脉冲被施加以产生相对于处理结束时基片的所需或目标条件的可忽略的结果。然而,如将被描述的,这并不是要求。亦应指出,由预脉冲产生的温度Tpp现在由于预脉冲的位置而低于T1。依照本发明,背景加热以与施加预脉冲的高度有利的定时关系而被控制。在本实例中,背景功率在负尖峰210中的预脉冲加热开始时被减小以大体类似于预脉冲热尖峰208的镜像,由此以导致加热循环的上升部分在预脉冲热尖峰结束时前进的方式进行补偿,就象预脉冲热尖峰尚未发生那样。而且,重要的是指出,负尖峰210可将背景加热减小任何适当的量,包括完全将其关闭,但在该实例中,仅仅将背景加热减小近似三分之一,这足以实现在加热曲线200中看到的所需响应。 
参考图13,依照本发明而被实施的第二可替换加热曲线由参考数字220概括指示。轮廓220再次说明了相对于该图左边的温度标度而绘出的基片的第一表面温度。与图12的轮廓一样,上升部分202被包括,其被终止于热尖峰204。然而,在该实例中,中间稳定化间隔222被插入 到上升间隔中,在此期间基片温度被允许在所选中间温度Tint处稳定。在本实例中,中间温度被选择为近似650℃。在稳定化间隔期间的所选点处,一旦基片温度稳定,则预脉冲被施加以产生预脉冲热尖峰208。 
仍参考图13,背景加热曲线226被示出,其是相对于该图右边的任意加热器功率标度而被绘出的,其与预脉冲和随后处理脉冲的施加合作。依照本发明,背景加热再一次以与施加预脉冲的高度有利的定时关系而被控制。在本实例中,背景功率在负行进尖峰228中的预脉冲加热开始时被减小以至少大体类似于预脉冲热尖峰208的镜像,由此至少相对于基片的第二表面在温度稳定化间隔内维持热稳定性。上升加热然后从温度稳定化间隔结束时恢复。应理解,即使没有对背景加热功率的这种操纵,图12和13的预脉冲概念仍是有用的。 
图14说明了依照本发明而被实施并由参考数字230概括指示的第三可替换加热曲线,其是使用单个多模式热源来实施的,并且相对于出现在该图左边的温度标度而被绘出。在此情况下,通过调制从热源释放的功率来进行处理以产生用于正在经历处理的晶片或对象的所需温度-时间循环。由热源递送的辐射功率由入射功率绘图来说明,其由参考数字232来指示并且相对于出现在该图右边的加热器功率标度而被绘出。应指出,与这里的所有热源绘图一样,该绘图表示在晶片上入射的辐射能量。实际输入电功率电平因此应被调节以考虑使用中的特定源的响应特征。应指出,尽管加热器功率被示出为来自背景和来自脉冲能量模式的输入的组合,当使用分离的背景和脉冲能量源时,这种组合看起来基本上是相同的。在温度轮廓230的上升间隔234中,如在入射功率绘图232中看到的,由加热器递送的功率被增加至P1以基本上以等温方式将晶片加热至温度T1。在晶片在稳定状态间隔236期间被保持在温度T1的同时,被示出为P2的减小的功率水平足以平衡源自晶片表面的热损失。在稳定状态间隔236期间,预脉冲238由多模式热源来施加。随着预脉冲238 的施加,基片展示出热轮廓230中的预脉冲温度尖峰240形式的温度响应,其将第一表面的温度取为温度T2。在该附加热耗散时,基片的第一表面再次冷却到T1。 
在加热办法中的预定时间处,附加能量的处理脉冲242被施加给加热器,由此将加热器释放的功率提升至P3一个短时间间隔。这导致晶片的快速加热并将晶片表面温度提升至T3。在该脉冲之后,给加热器的功率被减小至水平P4,从而允许晶片冷却下来。功率脉冲242的脉冲参数是例如基于预脉冲温度尖峰240中的基片的响应来确定的。重要的是理解多模式源能模拟可通过使用分离的背景和脉冲加热源而获得的基本上任何行为。而且,可以以任何适当的方式来继续处理,如由这里的任何一个图所示例的。 
概括地参考图12-14,应理解可以以无限制数量的方式来时间预脉冲和处理/功率脉冲,根据本总体公开内容并且如将在紧接着以下而进一步描述的,所有这些方式都被认为是处于所附权利要求的范围内。 
图15说明了加热曲线250,其共享图12中所示并且在先前描述的加热曲线200的所有特点和优点。在该轮廓250中可观察到的进一步的优点包括背景加热曲线252,其产生展示出多重倾斜加热速率的上升间隔254,从而提供了更进一步的过程控制。 
与图12和15的加热曲线一样,图16的加热曲线260包括预脉冲,之后是处理脉冲,并因此提供了类似的优点。然而,图16的实施由于以下原因是不同的:背景加热功率间隔262包括减小的功率步阶264,其响应于晶片到达T1,由此启动稳定状态间隔266。处理脉冲在到达T1的指定间隔270内被施加以产生处理尖峰204。 
如以上所提及的,预脉冲可单独为了测量的目的而被施加。可替换的是,除了被用于测量的目的以外,预脉冲亦可以以部分导致处理对象中的所需处理结果的方式来施加。在这一点上,预脉冲的概念在待施加 给基片或其它这样的处理对象的系列脉冲的情况下是高度灵活的。例如,系列处理脉冲的第一脉冲可根据获得该第一脉冲感生的温升的量度而被用作预脉冲。所述系列脉冲内的脉冲的一个或多个随后的脉冲然后可根据所述所感生的温升来调节。 
现在转到图17,加热曲线280被说明,其由被系列附加脉冲跟随的预脉冲产生。所得到的处理热尖峰由参考数字204a-c来指示。恒定斜率上升间隔202是通过在基片到达温度T1时将背景加热功率增加至被指示为P1的水平而产生的。预脉冲热尖峰282响应于在稳定状态间隔期间到达T1而被产生,由此使基片温度在所述系列附加脉冲之前瞬间增加至T2。在预脉冲之后,第一附加脉冲204a然后以与基片返回到温度T1的定时关系被施加。之后,脉冲204b和204c在脉冲204a之后以相等的时间增量被施加,然而这不是要求。分离这些脉冲的增加至少部分地被确定以允许基片返回到温度T1。背景加热曲线284被用于以与施加预脉冲和随后系列处理脉冲的定时关系来控制背景加热。 
背景加热曲线284包括负行进脉冲286,其是以与预脉冲的定时关系而被施加的,并且将背景加热功率减小至被表示为P3的水平。此外,服行进脉冲288响应于每个处理脉冲204a-c而被提供于背景加热曲线中。应理解,处理脉冲204a-c的每个都可依照以上所讲述的被施加,如例如基于基片的预计响应。而且,附加脉冲可被配置成以任何数量的不同方式产生基片中的目标条件。就是说,每个脉冲,包括预脉冲,可在相同的程度上或变化的程度上至少部分地产生目标条件。亦重要的是理解附加脉冲的脉冲参数可从脉冲到脉冲而变化,如以上所述。对于任何系列的脉冲,可在附加脉冲之间进行测量以监视任何适当的物理特征,其中不同的参数可被监视于所述系列附加脉冲期间的不同时间处。例如,在施加脉冲204a之后,可通过光学特征的测量而不是基片的温度响应来确定脉冲参数。该特点在系列的最后脉冲之后可能是特别有用的,其中 系统可基于光学特征的某个目标值来启动附加脉冲。亦如以上所述,可与温度响应监视并行地监视光学特征。所强调的是所公开的特点提供了大量的灵活性。 
参考图18,另一个实施被说明,其中系列的附加处理脉冲204a-e形成加热曲线300的部分,其共享图17的轮廓280的优点。在该实例中,图13的背景加热曲线226被利用,如以上所述。所述系列处理脉冲是响应于基片到达温度T1以适当的方式被启动的。然而,在该实例中,背景加热以与使用脉冲204a来启动所述系列附加脉冲的定时关系被终止。随后,脉冲204b-c的每个基于第一表面返回到温度T1而被施加给第一表面。同样,与在此所讲述的一致,所述系列的附加脉冲被配置成合力将基片变换到其目标条件,并且基片的特征可以以任何适当的方式来监视。此外,附加脉冲以用来消除对脉冲系列期间背景加热的任何需要的频率被重复。 
对加热曲线的实施已由此在相当程度上说明了使用单个预脉冲,然而,没有对可在处理每个基片的过程中被利用的预脉冲的数量的限制。而且,如所述,任何脉冲都可起到两种功能:(1)通过在那个脉冲之后进行温度响应测量而作为预脉冲以及(2)作为处理脉冲。 
图19说明了加热曲线320,其利用了系列处理脉冲的每一个之前的预脉冲。直到第一处理脉冲204a结束时,轮廓320与图17的轮廓280相同。然而,之后为了测量的目的,预脉冲282b和282c分别被插入到处理脉冲204b和204c之前。该配置提供了对基片中目标条件的精确跟踪。依照本发明,背景加热曲线322已与散布的系列预脉冲和脉冲的定时关系被控制,所述预脉冲和脉冲具有分别与预脉冲热尖峰282a-c关联的负预脉冲尖峰286a-c和与处理脉冲热尖峰204a-c关联的负热尖峰288a-c。 
现在参考图20,加热曲线340被说明,其利用了断续散布的脉冲。 背景功率加热曲线342与脉冲加热合作以产生轮廓340。后者与图19的轮廓320相同,除了系列脉冲被提供于相继预脉冲之间,而背景加热曲线342类似地等同于图19的背景加热曲线322。因此,为了简洁起见,对轮廓340和342的类似特点的详细讨论将不被重复。对于预脉冲中的相继预脉冲之间的系列处理脉冲的使用,应指出在此对于脉冲系列的使用的所有讲述同等地适用于图20的情况。 
注意,脉冲系列可能已被说明于包括看起来相同的脉冲的图中,应理解这并不是要求,并且可以以任何适当的方式来调节各个脉冲的参数以实现处理的目的。 
本发明考虑了使用作为对脉冲式能量源的替换的扫描能量源。就是说,可通过使能量束扫描于表面上,如例如通过使用激光束,以依次的方式将能量脉冲递送给晶片上的每个位置。能量束本身不需要是脉冲式的,并且如果需要的话,连续波(CW)源可被使用。在这种扫描模式下,可认为有效脉冲持续时间被相关于能量束的尺寸除以扫描速度。可以以给出晶片的全覆盖的型式,例如通过光栅扫描使能量束扫描于表面上。如果需要的话,几个扫描可被重叠以提高处理的均匀性,或者扩展任何一个位置处的处理时间(后者等效于施加多重脉冲)。可能有用的另一个途径是将能量源形成为线形,并且在晶片上扫过该线形。如果所述线形包括比晶片直径短的长度,多重扫掠(sweep)可被用于获得对整个晶片的覆盖。当然,可在任何所选位置或多个位置处进行多重扫掠以将有效处理时间增加至所需值。至少匹配晶片直径的能量束可能是有利的,这是因为该束可在一遍中扫过整个晶片,从而至少潜在地使处理时间最小。在该扫描途径的情况下,重要的是理解本发明考虑了使用适合于在扫描模式下使用的能量的任何形式或源。例如,来自弧光灯的能量可被形成为所需线形或点形。而且,电子束和微波(例如陀螺振子)束用作其它适当的能量形式。
由扫描束途径产生的一个优点在于这样的事实:通过使束尺寸相当小,很高的温升被产生于晶片的表面处而无需递送很大能量脉冲。尽管用于处理完整晶片的处理时间增加,相对于脉冲式能量被同时递送给整个晶片的情况,用于递送能量的硬件可以是较小且较成本有效的。 
应指出,扫描处理模式可被有用地与背景加热组合。这样的背景加热用于更进一步减小所需功率的目的,并且亦用来减小由扫描能量源感生的热应力。减小热应力又减小了晶片破损或者从过度应力引入缺陷的可能性。如在图8中所介绍的并且在各个图的其它图中看到的,背景加热热尖峰可例如通过使能量线扫过完整晶片而用在扫描模式下。这样的实施可能是特别吸引人的,这是因为在此情况下,处理时间可被最小化,同时有较低热预算和较高晶片生产量的益处。加热循环可被设计,其中当晶片到达所选温度时执行能量扫掠,并且以定时关系控制扫描扫掠和背景加热的概念在这里是有用的。然而,由于扫掠一般采取比在脉冲加热模式下一般考虑的微秒持续时间脉冲长的时间,晶片温度可在能量束被扫描于晶片表面上时停留于固定温度处一个对应于扫描持续时间的周期,例如对应于至少0.5s的时间段的周期。 
如在以上讨论中所讲述的,对预脉冲的高度有利的使用享有了扫描模式实施领域内的更进一步的适用性。例如,能量源可被扫描于处理对象的表面上并且其效果通过先前针对脉冲式加热模式而考虑的几种方法之一来监视。可使用与处理能量应用相同的功率水平、束尺寸和扫描速度来实施预脉冲,或者任何这些参数可例如针对预脉冲而被改变以确保预脉冲不处理晶片并且仅用于测量的目的。 
在一个预脉冲扫描模式实施中,光学传感器被用于感测所述束撞击于晶片上的表面处由扫描束感生的温升。 
可替换的是,扫掠可被执行于表面上,而随后测量在晶片上达到的温度(即在扫掠结束时)。这种后者类型的测量可被执行于前或背表面上。 然而,在此情况下,重要的是认识到递送能量所花费的时间可能比在其中脉冲同时递送给整个晶片表面的脉冲式加热模式下所需的明显长,并且没有必要以空间上均匀的方式来递送。在任何给定时刻,作为与所述束的相对小的尺寸(相对于晶片尺寸)组合的所述束的扫描动作的结果,在晶片的表面上将有大的横向温度梯度。处理这个问题的一种方式是增加预脉冲期间的扫描速度。这起到两个有用的目的。首先,它允许在任何一个位置处递送的能量较低,结果使每个位置处的温升较低。与此一致,预脉冲不产生晶片状态的不需要的变化。第二,增加扫描速度意味着能量以很短的时间被递送给整个扫描区。因此,在扫描期间对于能量有较少的时间从晶片表面损失(例如通过辐射),结果,扫描结束时晶片温升的测量被紧密地联系到在扫描期间递送的能量,由此允许对功率耦合的较为精确的估算并因此允许对获得所需结果所需的处理条件的较为可靠的预测。 
在扫描处理模式下使用预脉冲概念的第三种方式是使能量束扫描于晶片表面上并感测扫描期间所反射和/或所透射的辐射。所测的辐射和透射能量可被用于推断有多少能量在晶片中被吸收并因此被用于调节处理条件。 
任何一种上述途径可被用于处理参数,如例如能量束的功率、扫描速度、束尺寸或形状。背景加热亦可被调节。 
在处理的扫描模式下,可执行较为复杂的校正,其中处理参数被相对于晶片上扫描能量源的位置而调节。在晶片被图形化并且晶片的不同部分具有不同物理特征的情况下,这种实施是有用的。例如,如果诸如红外线照相机的传感器被用于在处理期间观察晶片表面,然后观察结果可被用于推断预脉冲扫描期间由加热束感生的温升的空间分布。通过形成所感生的温升的地图,先验校正可被应用于处理条件,从而提供了整个晶片上更加均匀的温升的产生。当然,这种系统在处理本身期间可被 使用以提供对能量源的实时反馈,即使控制问题可委托紧密监视以确保所需结果。 
对处理条件的空间控制的类似途径可通过使用照相机来观察来自晶片的反射或透射光来应用。在此情况下,所考虑的是所需信息可通过用在谱上类似于处理能量源的能量来照射晶片而获得,即使它不完全是相同的能量源。例如,低功率光源可被用于在处理之前照明晶片。然而,通过处理束本身来感测所反射或透射的能量是有一些优点的。例如,几何照明条件与在处理模式下所使用的相同,因此所述信息较能表示实际条件。预脉冲再一次可以是有用的,因为它可收集所需信息而无需将晶片暴露于过度的处理。 
本发明被认为对于以下是高度有利的:在消除不理想的扩散效应的足够短的时间标度上退火离子注入损坏,同时允许使用很高的温度来消除缺陷和活性掺杂剂。应理解,与高温退火的极短持续时间组合,很高的加热速率和冷却速率允许通向用于最优化离子注入物的退火的新方案。在这一点上,本发明的几个示例方面是吸引人的: 
(a)消除瞬态增强扩散(TED):一个吸引人的应用在于退火在常规RTP期间一般受TED影响的注入物,所述RTP甚至包括最积极(aggressive)的“尖峰退火”。已提出超高加热速率可被用于使TED的效应最小,并且脉冲式加热方案可满足对加热和冷却速率以及递送消除造成TED的缺陷所需的极高峰值温度的必要要求。 
(b)使掺杂剂活化最大并且使掺杂剂扩散最小:对按比例缩小器件的主要挑战之一在于以足够高的电激活生成浅结。最常规的处理,包括尖峰退火RTP,具有产生大大大于1020/cm3的电载流子浓度,即使所注入的掺杂剂的浓度可能高的多。该限制可导致通过MOS器件的源和漏区的不理想的高电阻。该限制被认为是联系到在退火温度处对掺杂剂的固溶度限制。通过应用脉冲式退火方法,通过使用产生比实际用于常规 RTP的那些明显高的峰值温度的退火并且在掺杂剂的固溶度明显较大的情况下,有可能实现较高的掺杂剂激活。例如,将很困难的是在比1150℃大的温度处以等温模式来退火晶片而不引入过度的掺杂剂扩散、表面损坏和应力相关的缺陷,如滑动(slip),而暴露于这些温度小于10ms不可能导致这些不理想的副作用同时仍允许掺杂剂激活发生。具体而言,对于如此之低以至于TED不是确定扩散的过程中的显著因素的注入物能量,可通过以下来实现最小结深度:使用可实现掺杂剂激活和损坏退火的所需程度的可能的最短加热循环。这意味着使用可能的最高温度、最短的加热和冷却时间以及峰值温度处的最小停留时间。脉冲式加热满足所有这些要求,这是因为变热时间是很短的。由于被递送给晶片表面的很高能量密度,冷却下来是很快的,这是因为热传导提供了用于从晶片表面将热迁移到晶片体的很快的机理。而且,停留时间是短的,因为脉冲式灯具有很快的动态响应。 
所考虑的是,将发现本发明当使用例如以下物质和近似能量而与低能量离子注入物组合时是特别有效的:具有能量(E)<2keV的B;具有E<5keV的BF2;具有E<8keV的As和具有E<4keV的P。用于预无定形化(preamorphization)的Ge或Si离子的注入与B掺杂的组合亦有可能良好地工作。典型地,Ge离子注入物将具有处于2和10keV之间的范围内的能量并且剂量将是~1015/cm2。预无定形化途径对于P注入物亦可以是有用的。 
期望有用的一个概念包含使用低温退火来再结晶在离子注入过程中生成的无定形硅膜,然后施加高温脉冲。这可能具有单级退火之上的一些益处,这是因为对无定形层的高温退火可导致多晶体形成,这可能是不理想的。一个替换将是执行结晶所述膜的一个脉冲退火(具有相对低的峰值温度<~1000℃),之后是完成退火过程的具有相当高的峰值温度(>1000℃)的第二脉冲式过程。在注入过程中当无定形层被形成时, 已观察到对所述膜的固相外延(SPE)结晶可导致对掺杂剂的很高电激活,即使没有更高温度的退火。这样的过程可被实施于低达500℃的温度处。已观察到的一个问题是诸如所注入的掺杂剂本身的杂质的高浓度的存在可减小结晶过程生长速率,并且生长速率的这种减小与缺陷结构的形成相关联。该现象被简化为温度上升的过程,但在常规RTP系统中,可能的有限加热速率(<500℃/s)意味着在晶片可到达~800℃的温度之前被注入最多的膜将结晶。结果,在800℃以上的温度处很难实施SPE过程。脉冲式加热途径允许SPE过程被进行于包括更高温度的任何所需温度处,如900℃处,其中再生长不受掺杂效应这么多的影响。 
另一个问题由于在无定形层以上的晶片部分中缺陷的存在而产生。这些缺陷可能年通过低温SPE过程而退火掉(anneal out),并且它们可导致器件结构上的问题,包括引入过度的p-n结泄漏。作为一种解决方案,固相结晶过程可被实施于较高温度处以同时减小这些缺陷的效应而仍激活掺杂剂。亦可能理想的是组合相对低温的结晶过程与脉冲式退火,其中脉冲式退火可影响缺陷而SPE过程可激活掺杂剂。该益处至少潜在地通过以下而获得:通过对脉冲参数的适当调节在SPE过程之前或之后进行高温脉冲式退火。 
(c)在形成高K电介质膜之后进行源/漏退火:在器件尺度被按比例缩小时,已变得清楚的是,将常规二氧化硅栅绝缘体替换成具有较高电介质常数的材料将是重要的。已提出了几种材料,但产生了一个明显的问题,即它们常常不是热稳定的,并且可能不幸免于激活源/漏注入物所需的退火。这可导致可替换的制造方案,如“替换栅”方法,但对制造的常规序列的这种背离是不理想的。避免这种变化的一种方法是以允许有效退火和掺杂剂激活而不降级栅电介质的质量的方式进行源/漏退火。本发明的脉冲式退火方法在此被认为是有利的,这是因为用于退火的热过程可被实施于一个时间内,该时间是如此之短以至于对于电介质 来说没有机会经历不理想的反应或结晶变换。这允许栅材料被形成于源-漏注入物被实施之前,从而简化了过程。在栅或电容器结构包括诸如但不局限于Zr或Hf氧化物、硅酸盐或铝酸盐、氧化钛、五氧化二钽(tantalumpentoxide)、氧化铝、氧化镧、氧化镱、钛酸锶钡(Barium strontium Titanate)或其它高K材料的情况下,脉冲式退火可被实施于晶片上。 
d)便于从气相物质递送掺杂剂:有可能通过分解诸如B2H6、PH3或AsH3的气相化合物在晶片表面上淀积掺杂剂物质。原则上,该途径可免去对离子注入的需要。在掺杂剂物质被淀积于晶片表面上之后,高能量脉冲被用于熔化表面或通过固态扩散来推进掺杂剂。该途径已针对脉冲式激光处理而被提出,但亦有可能通过使用脉冲式灯途径来实施这样的过程。事实上可能有某些关联的优点。例如,对所述化合物的分解需要它们被暴露于uv辐射,其可从脉冲式灯被获得。可替换的是,准分子灯或激光器可被用于产生分解所述物质所需的uv光,而脉冲式灯可被用于热过程。 
作为一个宽范畴,当被用在用于栅和电容器的电介质膜的领域中时,本发明被认为享有适用性。在这一点上,本发明的几个示例方面是吸引人的: 
(a)薄氧化物膜的逐脉冲生长:脉冲式加热提供了在比干燥氧和包含蒸汽的环境两者中的常规方案中可能的高得多的温度处生长二氧化硅膜的机会。例如作为氧化物膜经历应力松弛的能力的结果,由于被形成于高温的氧化物膜可显示出较好的电质量,通过将晶片暴露于脉冲式加热来准备很薄的氧化物界面层可能是有益的。这可被实现于许多环境中,包括但不局限于氧、NO、N2O和具有蒸汽的环境。如在此所讲述的,脉冲式方法提供了对薄膜生长的严密过程控制而不管快的反应速率,同时使热预算最小。 
(b)薄氧化物中氮的结合:将氧化物膜暴露于包含氮的气体(特别 是NH3、NO和N2O)的能力可允许氧化物膜的氮化,这已表明对MOS器件是有益的。使用高温的能力可提高氮结合的效率而不引入过多的热预算。保持反应室中的大部分气体相对冷同时选择性地加热晶片表面的能力亦提供了被认为应包含气相化学的过程的机会。例如,通过加热晶片并保持气相相对冷,诸如N2O氧化的过程可以以不同的方式来发生。 
(c)硅的氮化:正常情况下,硅与N2或与NH3反应得很慢。通过使用脉冲式加热,很高的温度可被产生于硅的表面处以允许直接形成氮化硅或氮氧化硅的的薄膜。 
(d)高K材料退火:被提出用于电介质的许多新材料需要退火来提高其化学当量。然而,这些退火必须以以下方式被进行:不引入过多热预算,不导致硅氧化物的过度生长并且不导致高K材料的反应或结晶。脉冲式途径可允许对于这些退火可能有用的较高温度处理。 
(e)表面制备:例如在形成薄电介质涂层之前,能量的短脉冲可适合于准备表面。例如,用于清洁硅表面的一个众所周知的技术是将它们快速加热至>1200℃。这在正常晶片处理中将是不实际的,因为1200℃以上的长(大于一秒)循环将有可能引入缺陷、扩散和表面损坏。另一方面,依照本发明实施的脉冲式循环的短持续时间避免了这些有害作用。同样,其它表面准备方法可使用脉冲加热来帮助从晶片表面去除有机材料或去除金属杂质。对于有机材料,热处理与氧或臭氧的组合可能是有益的。对于金属杂质,卤素承载化合物的组合可能是有用的。在这些表面准备途径中,可能有用的是使用来自脉冲式灯的光的全谱,其可包括相当量的UV辐射。UV辐射在从氧承载气体产生臭氧和氧基并且在从卤素承载物质产生卤基的过程中可能是有用的。 
作为又一个广泛的范畴,当被用在硅化物处理和形成的领域中时,本发明被认为享有适用性。在这一点上,本发明的几个示例方面是吸引人的:
钛硅化物形成:在高级器件结构中使用Ti硅化物是有问题的,这是因为当它处于窄线的形式时,材料的C49相难以转换成所需的C54相。已有报道,快加热速率可帮助解决该问题,并且在此情况下,脉冲式加热方案中可能的很高加热速率和峰值温度可提供解决该问题的方式。 
硅化物处理问题:通常,在形成钛、钴、镍和铂硅化物膜的过程中期望有脉冲式处理的益处。例如,金属与硅或甚至与诸如Ge或SiGe的其它材料的反应可通过提高温度但降低过程所花费的时间来增强。这些途径将影响晶粒的成核和生长,从而给出处理的增加的灵活性。脉冲加热提供了用于处理硅化物(并且事实上其它金属或金属化合物)膜的几个有趣的优点: 
脉冲灯谱处于比常规W卤素灯短的波长,并且将较为有效地耦合于金属化表面,其通常在较长波长处较具有反射性。 
低背景温度和对高温的很短暴露可减小氧或水蒸气组合对过程的影响。 
通过消除用于晶片的大部分上升和冷却下来的时间,由本发明而促进的低背景温度被认为在根本上是较好的产出量。冷却下来的方面是特别重要的,因为在晶片上载期间金属膜和氧或水蒸气杂质的反应的危险可被最小化。 
作为又一个广泛的范畴,当被与铜膜一起使用时,本发明被认为是有利的。在这一点上,本发明的几个示例方面是吸引人的: 
在退火铜膜的过程中,过程要求看起来在温度控制方面不是过于关键的,但涉及生产量和成本的事项是极为重要的。脉冲处理可完全改变对RTP的生产量限制,其中在传统上生产量已受到加热速率并且特别是冷却速率的强烈影响,所述速率受到晶片的热质量的强烈影响。参照硅化物的以上讨论中所列出的优点亦对于Cu膜处理是特别有关的。 
亦有可能使用热脉冲来帮助在晶片上淀积的铜的重熔。该过程可被 用于用已例如通过溅射过程而淀积的铜来填充沟槽。能量的脉冲可帮助铜扩散来填充沟槽,或者它甚至可使铜膜熔化并且流到沟槽中。脉冲的短持续时间允许过程发生在晶片表面处而不引入过多的热暴露,其将损坏所存在的其它材料或导致不需要的掺杂剂扩散。 
作为另一个宽的范畴,当被用在化学气相淀积领域中时,本发明被认为享有适用性。考虑的是组合本发明的脉冲方法与借助化学气相淀积(CVD)方法对膜的淀积。例如,晶片温度可被保持在低得多的温度,从而减小系统其它部分中,如石英器皿、无滑动环或喷淋头中的热建立(heat build-up)。这些部件将保持是冷的,并且较不易于通过寄生CVD淀积而生成污染物。短高温循环的使用亦可允许用于改变膜的生长速率和微结构的新机会。它亦可改变涉及气相或表面成核的方面,例如通过保持气相较冷,有可能减少气相中颗粒的形成。亦存在用于过程控制的提高的可能性。例如,原地的传感器可检测能量脉冲被施加期间或者甚至在此之后在基片上生长的膜的量,并且过程状况可被改变以使接下来的脉冲导致膜生长方面的所需效果。这种反馈可被用于调节诸如以下的因素:脉冲持续时间、形状能量或时间间隔,或者“部件加热”条件,或者甚至其它因素,如气流、室压等。CVD情况下的另一个概念是使用温度脉冲来控制将掺杂剂或其它杂质结合到生长的膜中。对高温的很短暴露可允许产生突变或成形的掺杂轮廓方面的新的可能性。 
CVD应用可覆盖一个宽谱的情况,包括,例如硅、二氧化硅、氮氧化硅以及高和低K材料、金属和金属化合物的淀积。 
其它退火过程亦可得益于使用本发明。例如,脉冲式技术可被应用于整个范围的退火过程,包括为了应力或微结构控制或者为了“固化(curing)”的目的对所淀积的膜的退火。后者对低K膜是有用的。 
在本发明的情况下进一步认识到,对背景温度的调节可被用于提高从晶片到晶片的可重复性以及晶片内的均匀性。通过使用观测脉冲式加 热对晶片的效果的传感器,可在对脉冲效果的原地测量的基础上进行调节,或者可通过以下来进行调节:评价晶片上的过程结果并在背景加热条件下进行随后的调节以提高可重复性和/或均匀性。 
例如,如果发现脉冲处理条件导致过程温度过高,则背景加热温度可被减小以使随后的脉冲导致较低的峰值温度,由此用作对改变加热脉冲条件的替换。此外,背景加热条件可被改变于晶片之间或者甚至是对单独晶片处理期间。例如,如果通过任何适当的方法,包括在此所述的那些,预脉冲被施加并且其效果被分析,则背景加热温度可以以定时关系被改变,如在施加处理脉冲之前。类似的途径可被用在任何多脉冲处理办法中。 
在一些情况下,例如作为不同晶片上的不同表面涂层的结果,不同晶片上的结果将变化。在该实例中,背景加热温度可被调节以补偿脉冲效果的变化。温度的适当变化可例如通过以下来评估:在晶片已被处理之后评价晶片上的过程结果,或者通过在晶片处于处理室中的同时从观测加热对晶片的效果的传感器进行的原地测量。 
晶片内均匀性亦可使用这种类型的途径来调节。例如,例如作为晶片表面上脉冲加热能量的不均匀分布的结果,如果发现晶片的部分被处理得过热,则背景加热条件可被改变以使所感生的背景温度在晶片的那些部分中较低。当脉冲然后被施加时,不均匀的背景温度补偿不均匀的脉冲加热,并且均匀的过程结果被实现。可以以任何适当的方式来实现不均匀的背景加热,如例如通过用背景加热灯的阵列来加热晶片并调节给各个灯的功率水平以实现晶片上的所需温度轮廓。 
亦应指出,晶片上的均匀性亦可通过将不均匀脉冲加热施加给晶片表面来调节。例如,如果脉冲加热从在脉冲式模式下工作的一组灯被施加,则被递送给每个灯的能量可被调节以改变晶片表面上脉冲式能量的空间分布。可在处理之后在晶片上测量的过程结果的基础上或者通过使 用观测晶片表面上多重位置处脉冲的效果的处理系统内的传感器来进行测量。成像系统或照相机亦可被用于提供有关晶片上由脉冲感生的晚上的空间分布的信息。当然,不均匀背景加热可与被设计成故意感生不均匀加热结果的脉冲能量应用组合在一起而被使用。 
在均匀性最优化方面,预脉冲途径被认为是有用的,特别是如果多重传感器或成像系统被用于监视由脉冲在晶片上感生的温度分布。该信息可被用于通过以下来调节过程均匀性:改变背景加热分布或脉冲能量分布以实现接下来的脉冲的过程均匀性。 
在能量由被扫描的能量源来递送的情况下,明显类似的概念可被用于提高过程均匀性。再一次,背景加热或用于被扫描的能量源的束参数可被调节以获得提高的可重复性和均匀性。 
另一个途径可包含使用脉冲式能量源以顺序的方式将能量脉冲递送给晶片的所选区域。这可提供优点,因为能量源不是必须递送与如果同时用一个脉冲照射整个晶片一样大量的能量。因此,较小和较低成本的电源可被使用。可通过在脉冲之间相对于能量源来移动晶片(或反之亦然)来获得对整个晶片的覆盖。在该工作模式下,预脉冲概念再一次可被依次应用于晶片的每个区。同样,可通过为所照射的每个区匹配过程状况来最优化均匀性。这可能是有利的,特别是如果多重传感器或成像系统不可用。例如,如果脉冲能量源仅照射晶片的部分,传感器可观测那个区域处的热响应。然后晶片可相对于能量源和传感器而被平移以使另一个区域被暴露,并且该传感器再一次可监视所述过程。以这种方式,整个晶片可被处理,同时仍监视过程状况,但仅使用一个传感器。这样的配置可以以较低成本被产生并且与多传感器系统或成像系统相比,可提供作为其简单性的结果而产生的优点。 
如果需要,在脉冲式能量源在一个行进中不照射整个晶片的系统中,理想的是重叠被暴露的区以提高覆盖的均匀性。这种重叠可以以导致均 匀过程结果的方式来实现,并且可通过最优化脉冲的重叠程度以一种方式来实施,例如通过评价所处理的晶片上的过程结果然后改变在脉冲之间发生的晶片(或能量源)移动的量。 
重要的是理解涉及晶片表面一部分的多脉冲暴露的所有上述概念同等地适用于使用扫描能量源。 
在非半导体应用的范围内,根据本总体公开内容,本发明可容易地被用在非半导体材料处理中。例如,本发明可被应用于处理磁性材料,或者在快速加热或快速淬火导致理想特性和/或结果的任何情况下被使用。 
在详细描述了以上内容之后,值得再次考虑现有技术的某些方面。具体而言,现有技术脉冲模式加热实施未能认识到在脉冲式模式设置中恒温温度监视的不足。如以上所述,当被用作脉冲式模式加热系统中的单独控制机构时,恒温监视固有地提供“事后”响应。该问题正是由于脉冲式加热的性质而产生的,因为脉冲参数通常是被事先确定的。这样的脉冲然后在很短的间隔内递送大量的能量并且一旦脉冲已被触发或激发,则没有机会控制通过脉冲进行的加热。因此,以上所述的现有技术参考,如Logan,经历实际脉冲式模式加热领域内的不足。 
本公开内容被认为以许多高度有利的方式解决了该问题,所述方式结合了以下特点:如例如定时关系控制和使用预脉冲或其它测试脉冲,随后的处理基于用于所述脉冲的“处理时间”或运行时间经验结果。这些特点可被单独或组合使用。这些特点被进一步认为提供了优于现有技术的显著和大范围优点,特别是在过程可重复性方面。就是说,本发明提供了一致的结果,而不管基片到基片的变化或者实际上可从一个基片或处理对象到下一个而变化的任何有关物理特性。 
应理解,本公开内容享有关于处理如例如半导体晶片的对象的集合的适用性。例如,第一晶片可被用作测试晶片,其中可使用在此揭示的 各种特点的任何适当组合来建立一组处理参数。之后,对随后晶片的处理可基于那一组处理参数,其当然可在逐晶片的基础上被进一步微调。 
作为最后的回顾,本文字已公开了用于加热诸如半导体基片的对象的脉冲式处理方法和系统,特色在于用于以下的过程控制:对单个基片的多脉冲处理或对具有不同物理特性的不同基片的单脉冲或多脉冲处理。在背景加热模式期间,热以可控制的方式被施加给对象,由此选择性地加热对象以在背景加热期间至少通常地产生整个对象上的温升。对象的第一表面是在脉冲式加热模式下通过使其经历能量的至少第一脉冲来加热的。背景加热被以与第一脉冲的定时关系来控制。对第一能量脉冲的对象的第一温度响应可被感测并且被用于建立用于至少第二能量脉冲的第二组脉冲参数以至少部分地产生目标条件。 
根据本发明一方面,提供一种用于处理对象的方法,所述对象具有包括第一和第二表面的相反主表面,所述方法包括以下步骤:使用加热装置在背景加热模式期间以可控制的方式将热施加给对象,由此选择性地加热对象以至少通常地产生整个对象上的温升;通过使对象的第一表面经历具有脉冲持续时间的至少第一能量脉冲,与所述背景加热模式合作,在脉冲式加热模式下使用所述加热装置来加热所述第一表面;以及以与所述第一能量脉冲的定时关系来控制所述背景加热模式。 
可选地,所述对象是半导体基片。 
可选地,该方法还包括以下步骤:使用第一热源和第二热源作为所述加热装置的部分来分别执行背景加热模式和脉冲式加热模式。 
可选地,所述第一能量脉冲被施加于背景加热模式期间的一个时间点处,并且控制背景加热模式的步骤包括以下步骤:减少在与启动所述第一能量脉冲相关的特定间隔内由背景加热模式施加的热。 
可选地,由背景模式施加的热在启动所述第一能量脉冲之前被减 少。 
可选地,在被选择为(i)启动所述第一能量脉冲和(ii)在启动所述第一能量脉冲之后中的一个的时间处减少由背景模式施加的热。 
可选地,热是通过将电功率水平选择性地施加给加热装置的背景加热部的步骤来以所述可控制的方式施加给对象。 
可选地,所述功率水平被减小至近似零以控制背景加热部。 
可选地,热是通过以下步骤在背景加热模式期间以所述可控制的方式施加给对象的:将电功率水平选择性地施加给加热装置的背景加热部,并且所述电功率水平在施加所述第一能量脉冲之前被减小。 
可选地,所述功率水平在启动所述第一能量脉冲之前并且至少在所述第一能量脉冲的初始部分内被减小至近似零。 
可选地,所述背景加热模式使用初始上升间隔将对象带到第一温度,并在稳定状态间隔期间将对象保持在大体恒定的温度处,并且所述第一能量脉冲至少被启动于所述稳定状态间隔期间,由此中断稳定状态间隔。 
可选地,所述背景加热模式使用初始上升间隔将对象带到第一温度,并在具有间隔结尾的稳定状态间隔期间将对象保持在大体恒定的温度处,并且所述第一能量脉冲以与稳定状态间隔间隔结尾的定时关系被启动。 
可选地,所述背景加热模式使用以下步骤:在上升间隔内将对象带到第一温度并且所述第一能量脉冲以与对象到达所述第一温度的定时关系被施加。 
可选地,在所述上升间隔期间,对象的温度被连续增加。 
可选地,所述第一能量脉冲被施加于对象最初到达所述第一温度之后的一秒内。
可选地,对象在包括背景加热模式和脉冲式加热模式的整个处理持续时间内经历温度的连续变化。 
可选地,第一温度高达1000℃。 
可选地,第一温度处于200℃到1100℃的范围内。 
可选地,第一温度处于600℃到1000℃的范围内。 
可选地,所述第一能量脉冲将对象提升至处于600℃到1410℃的范围内的第二温度。 
可选地,所述第一能量脉冲将对象提升至处于1050℃到1400℃的范围内的第二温度。 
可选地,在所述上升间隔期间,对象以至少20℃每秒的速率被加热。 
可选地,在所述背景加热模式期间,对象以多重变化的速率被加热,其中最大瞬时倾斜速率是至少10℃每秒。 
可选地,所述脉冲式加热模式使用所述第一能量脉冲来照射对象的第一表面,所述第一能量脉冲具有由弧光灯、闪光灯和激光器的至少一个产生的辐射。 
可选地,所述背景加热模式使用所述加热装置来照射对象的第二表面以产生所述温升,并且所述脉冲式加热模式使用该加热装置来照射对象的第一表面以便于将第一表面加热至处理温度,其比由所述温升产生的对象温度高。 
可选地,所述方法包括以下步骤:在所述第一能量脉冲之后的脉冲式加热模式期间从加热装置施加第二能量脉冲,并且以与所述第二能量脉冲的定时关系来控制所述背景加热模式。 
可选地,所述方法进一步包括以下步骤:在所述第二能量脉冲被施加的同时将第二表面维持在第一温度处或其附近。
可选地,所述背景加热模式是通过在施加所述第二能量脉冲之前减小在背景加热模式下施加给对象的能量来控制。 
可选地,热是通过以下步骤在背景加热模式期间以所述可控制的方式来施加的:将电功率水平选择性地施加给加热装置的背景加热部,并且在所述第二能量脉冲期间将所述电功率水平减小至近似零。 
可选地,所述方法进一步包括以下步骤:以与启动所述第一能量脉冲的定时关系来测量与所述第一表面相反的对象的第二表面的温度。 
可选地,所述方法进一步包括以下步骤:在所述第一能量脉冲被施加的同时使用第二表面的所测温度将第二表面维持在第一温度处或其附近。 
可选地,对象第二表面的第一温度是通过将电功率水平选择性地施加给加热装置的背景加热部,并且在所述第一能量脉冲期间将所述电功率水平减小至近似零来维持。 
可选地,对象第二表面的第一温度是通过以下来维持的:在进入所述脉冲式加热模式之前控制在背景加热模式下施加的功率。 
可选地,功率水平被提供给背景加热装置的背景加热部并且响应于对象第二表面的所测温度,所述背景加热部由闭环反馈来控制。 
可选地,所述第一能量脉冲的特征在于一组脉冲参数,并且所述方法包括以下步骤:至少部分地基于对至少一个光学特征的原地确定来确定第一组脉冲参数。 
可选地,所述光学特征被选择为反射率和吸收率的至少一个。 
可选地,所述第一能量脉冲的特征在于一组脉冲参数,并且所述方法包括以下步骤:参照涉及对象的至少一个光学特征的一组所存经验数据来确定所述一组脉冲参数。
根据本发明另一方面,提供一种用于处理对象的系统,所述对象具有包括第一和第二表面的相反主表面,所述系统包括:加热装置,其被配置成在背景加热模式期间以可控制的方式将热施加给对象,由此选择性地加热对象以至少通常地产生整个对象上的温升;并且通过使对象的第一表面经历具有脉冲持续时间的至少第一能量脉冲,与所述背景加热模式合作,在脉冲式加热模式下使用该加热装置来加热所述第一表面;以及控制装置,用于以与所述第一能量脉冲的定时关系来控制所述背景加热模式。 
可选地,所述对象是半导体基片。 
可选地,所述系统包括作为所述加热装置的部分的第一热源和第二热源,用来分别执行背景加热模式和脉冲式加热模式。 
可选地,加热装置被配置成在背景加热模式期间的一个时间点处施加所述第一能量脉冲,并且减少在与启动所述第一能量脉冲相关的特定间隔内由背景加热模式施加的热。 
可选地,所述加热装置被配置成在启动所述第一能量脉冲之前减少由背景模式施加的热。 
可选地,所述加热装置被配置成在被选择为以下的一个的时间处减少由背景模式施加的热:(i)启动所述第一能量脉冲和(ii)在启动所述第一能量脉冲之后。 
可选地,加热装置包括背景加热部,用于实施背景加热模式,并且所述控制装置通过将电功率水平选择性地施加给加热装置的背景加热部来以所述可控制的方式将热施加给对象。 
可选地,所述控制装置在控制背景加热部的过程中将所述功率水平减小至近似零。 
可选地,所述加热装置包括背景加热部,并且所述控制装置被配 置成通过以下在背景加热模式期间以所述可控制的方式将热施加给对象:将电功率水平选择性地施加给加热装置的背景加热部,并且所述电功率水平在施加所述第一能量脉冲之前被减小。 
可选地,所述控制装置在启动所述第一能量脉冲之前并且至少在所述第一能量脉冲的初始部分内将所述功率水平减小至近似零。 
可选地,所述加热装置被配置成在背景加热模式下使用初始上升间隔将对象带到第一温度,并在稳定状态间隔期间将对象保持在大体恒定的温度处,并且被进一步配置成在所述稳定状态间隔期间至少启动所述第一能量脉冲,由此中断稳定状态间隔。 
可选地,所述加热装置被配置成在所述背景加热模式期间使用初始上升间隔将对象带到第一温度,并且被进一步配置成以与对象到达所述第一温度的定时关系来施加所述第一能量脉冲。 
可选地,在所述上升间隔期间,加热装置连续增加对象的温度。 
可选地,加热装置在对象最初到达所述第一温度之后的一秒内施加所述第一能量脉冲。 
可选地,所述加热装置使对象在包括背景加热模式和脉冲式加热模式的整个处理持续时间内经历温度的连续变化。 
可选地,第一温度高达1000℃。 
可选地,第一温度处于200℃到1100℃的范围内。 
可选地,第一温度处于600℃到1000℃的范围内。 
可选地,所述加热装置施加所述第一能量脉冲以将对象提升至处于600℃到1410℃的范围内的第二温度。 
可选地,所述加热装置施加所述第一能量脉冲以将对象提升至处于1050℃到1400℃的范围内的第二温度。
可选地,在所述上升间隔期间,加热装置以至少20℃每秒的速率来加热对象。 
可选地,在所述背景加热模式期间,加热装置被配置成以多重变化的速率来加热对象,其中最大瞬时倾斜速率是至少10℃每秒。 
可选地,所述脉冲式加热模式使用所述第一能量脉冲来照射对象的第一表面,所述第一能量脉冲具有由形成加热装置的部分的弧光灯、闪光灯和激光器的至少一个产生的辐射。 
可选地,所述加热装置被配置成照射对象的第二表面以产生所述温升,并且被配置成照射对象的第一表面以便于将第一表面加热至处理温度,其比由所述温升产生的对象温度高。 
可选地,所述加热装置和所述控制装置被合作地配置成在所述第一能量脉冲之后的脉冲式加热模式期间从所述加热装置施加第二能量脉冲,并且以与所述第二能量脉冲的定时关系来控制所述背景加热模式。 
可选地,所述控制装置被配置成在所述第二能量脉冲被施加的同时将第二表面的温度维持在第一温度处或其附近。 
可选地,所述控制装置通过在施加所述第二能量脉冲之前减小在背景加热模式下施加给对象的能量来控制所述背景加热模式。 
可选地,所述加热装置包括背景加热部,并且热是通过所述可控制的方式来施加的:使用控制装置将电功率水平选择性地施加给背景加热部,并且在所述第二能量脉冲期间将所述电功率水平减小至近似零。 
可选地,该系统包括感测装置,用于以与启动所述第一能量脉冲的定时关系来测量对象的第二表面的温度。 
可选地,所述控制装置以以下方式与加热装置合作:在所述第一 能量脉冲被施加的同时使用第二表面的所测温度将第二表面维持在第一温度处或其附近。 
可选地,加热装置包括背景加热部,并且控制装置通过以下来维持对象第二表面的第一温度:将电功率水平选择性地施加给加热装置的背景加热部,然后在所述第一能量脉冲期间将所述电功率水平减小至近似零。 
可选地,加热装置包括背景加热部,并且控制装置通过以下来维持对象第二表面的第一温度:将电功率水平选择性地施加给加热装置的背景加热部,并且在进入脉冲式加热模式之前减小所述电功率水平。 
可选地,所述第一能量脉冲的特征在于一组脉冲参数,并且所述控制装置被配置成至少部分地基于对至少一个光学特征的原地确定来确定第一组脉冲参数。 
可选地,所述光学特征被选择为反射率和吸收率的至少一个。 
可选地,所述第一能量脉冲的特征在于一组脉冲参数,并且所述控制装置被配置成参照涉及对象的至少一个光学特征的一组所存经验数据来确定第一组脉冲参数。 
由于在此所公开的安排和关联方法可以以各种不同的配置来提供并且以无限制数量的不同方式被修改,应理解,可在本发明的精神和范围内以许多其它特定形式来实施本发明。因此,当前实例和方法应被认为是说明性的而不是局限性的,并且本发明不被局限于在此给出的细节,而是可在所附权利要求的范围内被修改。

Claims (162)

1.一种用于处理对象的方法,所述对象具有相反主表面,即第一表面和第二表面,所述方法包括以下步骤:
使用加热装置在背景加热模式期间以可控制的方式将热施加给对象,由此选择性地加热对象以至少在整个对象上产生第一温度;
在脉冲式加热模式下通过使第一表面经历能量的至少第一脉冲使用加热装置来加热对象的第一表面以将对象的第一表面加热至比第一温度大的第二温度;
在施加所述第一脉冲之后的冷却间隔期间允许所述第一表面冷却,由此允许对象的第一表面降至第二温度以下并且至少在有限的程度上热均衡;以及
在所述冷却间隔之后,将能量的第二脉冲施加给对象的第一表面以再热第一表面。
2.权利要求1的方法,进一步包括以下步骤:在包括至少第一脉冲、冷却间隔和第二脉冲的所述脉冲加热模式期间,将对象的第二表面维持在第一温度处。
3.权利要求1的方法,其中所述对象是半导体基片。
4.权利要求1的方法,包括以下步骤:将第二脉冲配置成将第一表面再热至第二温度。
5.权利要求2的方法,其中将对象的第二表面维持在第一温度处包括以下步骤:以与施加所述第一脉冲和所述第二脉冲的至少一个的定时关系来控制背景加热模式。
6.权利要求1的方法,其中能量的第一和第二脉冲的特征在于一组脉冲参数,并且第一和第二脉冲是用相同组的脉冲参数来施加的。
7.权利要求6的方法,其中所述脉冲参数至少部分地基于对至少一个光学特征的原地确定来确定。
8.权利要求7的方法,其中所述光学特征被选择为反射率和吸收率的至少一个。
9.权利要求6的方法,包括以下步骤:参照涉及对象的至少一个光学特征的一组所存经验数据来确定用于第一和第二脉冲的至少一个的脉冲参数。
10.权利要求1的方法,其中能量的第一和第二脉冲的特征在于一组脉冲参数,并且能量的第一和第二脉冲的每个都是用所述一组脉冲参数中的至少一个不同值来施加的。
11.权利要求10的方法,包括步骤:响应于第一和第二脉冲的每一个而改变能量的第一和第二脉冲的脉冲参数以使第一表面到达第二温度。
12.权利要求11的方法,其中脉冲参数至少部分地基于对至少一个光学特征的原地确定来确定。
13.权利要求11的方法,包括以下步骤:参照涉及对象的至少一个物理特征的一组所存经验数据来确定脉冲参数。
14.权利要求1的方法,包括以下步骤:使用激光器来产生所述第一脉冲,并且所述第一脉冲包括从1ns到10ms的持续时间。
15.权利要求1的方法,包括以下步骤:使用激光器来产生所述第二脉冲,并且所述第二脉冲包括从1ns到10ms的持续时间。
16.权利要求1的方法,包括以下步骤:使用钨卤灯和弧光灯的至少一个以作为所述背景加热模式的一部分来加热对象。
17.权利要求1的方法,包括以下步骤:使用弧光灯、闪光灯和激光器的至少一个以作为所述脉冲式加热模式的一部分来加热对象。
18.权利要求1的方法,包括以下步骤:使用至少一个闪光灯来产生所述第一脉冲,并且所述第一脉冲包括从10μs到50ms的持续时间。
19.权利要求1的方法,包括以下步骤:使用至少一个闪光灯来产生所述第二脉冲,并且所述第二脉冲包括从10μs到50ms的持续时间。
20.权利要求1的方法,包括以下步骤:以从1μs到100秒之间的时隙来串行施加第一和第二脉冲。
21.权利要求1的方法,其中第一和第二脉冲以1nJ/cm2到100J/cm2的范围内的能量密度入射于第一表面上。
22.一种用于处理对象的系统,所述对象具有相反主表面,即第一表面和第二表面,所述系统包括:
加热装置,用于在背景加热模式期间以可控制的方式将热施加给对象,由此选择性地加热对象以至少产生整个对象上的温升并且用于在脉冲式加热模式下加热对象的第一表面;
控制装置,其与所述加热装置合作,用于:
(i)将对象最初加热至第一温度,
(ii)使第一表面经历能量的至少第一脉冲以将对象的第一表面加热至比第一温度大的第二温度,
(iii)在施加所述第一脉冲之后的冷却间隔期间允许所述第一表面冷却,由此允许对象的第一表面降至第二温度以下并且至少在有限的程度上热均衡,并且
(iv)在所述冷却间隔之后,将能量的第二脉冲施加给对象的第一表面以再热第一表面。
23.权利要求22的系统,其中所述控制装置被进一步配置成在包括至少第一脉冲、冷却间隔和第二脉冲的所述脉冲加热模式期间与加热装置合作以将对象的第二表面维持在第一温度处。
24.权利要求22的系统,其中所述对象是半导体基片。
25.权利要求22的系统,其中控制装置被进一步配置成施加第二脉冲以将第一表面再热至第二温度。
26.权利要求23的系统,其中控制装置通过以下将对象的第二表面维持在第一温度处:以与施加所述第一脉冲和所述第二脉冲的至少一个的定时关系来控制背景加热模式。
27.权利要求22的系统,其中能量的第一和第二脉冲的特征在于一组脉冲参数,并且所述控制装置使加热装置用相同组的脉冲参数来施加第一和第二脉冲。
28.权利要求27的系统,包括感测装置,用于产生对至少一个光学特征的原地测量以便于在确定第一和第二脉冲的脉冲参数的过程中由控制装置来使用。
29.权利要求28的系统,其中所述感测装置被配置成测量反射率和吸收率的至少一个。
30.权利要求27的系统,其中所述控制装置参照涉及对象的至少一个物理特征的一组所存经验数据来确定用于第一和第二脉冲的至少一个的脉冲参数。
31.权利要求22的系统,其中能量的第一和第二脉冲的特征在于一组脉冲参数,并且所述控制装置导致能量的第一和第二脉冲是用所述一组脉冲参数中的至少一个不同值来施加的。
32.权利要求31的系统,其中所述控制装置响应于第一和第二脉冲的每一个而改变能量的第一和第二脉冲的脉冲参数以使第一表面到达第二温度。
33.权利要求32的系统,包括感测装置,用于产生对至少一个光学特征的原地测量以便于在确定第一和第二脉冲的脉冲参数的过程中由控制装置来使用,并且所述控制装置使用所述测量来确定用于第一和第二脉冲的至少一个的脉冲参数。
34.权利要求32的系统,其中所述控制装置参照涉及对象的至少一个物理特征的一组所存经验数据来确定用于第一和第二脉冲的至少一个的脉冲参数。
35.权利要求22的系统,包括激光器,用于产生所述第一脉冲和所述第二脉冲以使第一和第二脉冲的每个都包括从1ns到10ms的持续时间。
36.权利要求22的系统,其中所述加热装置包括钨卤灯和弧光灯的至少一个,用于作为所述背景加热模式的一部分来加热对象。
37.权利要求22的系统,其中所述加热装置包括弧光灯、闪光灯和激光器的至少一个,用于作为所述脉冲式加热模式的一部分来加热对象。
38.权利要求22的系统,其中所述加热装置包括至少一个闪光灯,用于在产生所述第一脉冲的过程中使用,并且所述第一脉冲包括从10μs到50ms的持续时间。
39.权利要求22的系统,包括以下步骤:使用至少一个闪光灯来产生所述第二脉冲,并且所述第二脉冲包括从10μs到50ms的持续时间。
40.权利要求22的系统,其中所述控制装置和所述加热装置合作从而以从1μs到100秒之间的时隙来串行施加第一和第二脉冲。
41.权利要求22的系统,其中所述加热装置被配置成使第一和第二脉冲以1nJ/cm2到100J/cm2的范围内的能量密度入射于第一表面上。
42.一种用于借助系列脉冲中的脉冲式能量来处理对象的方法,每个所述脉冲的特征在于一组脉冲参数,所述对象包括第一和第二相反主表面,所述方法包括以下步骤:
将所述第一表面暴露于具有第一组脉冲参数的第一能量脉冲以产生对象的第一温度响应;
感测对象的第一温度响应;
与第一组脉冲参数组合使用所述第一温度响应,从而建立至少第二组脉冲参数以便于施加至少第二能量脉冲;以及
将所述第一表面至少暴露于所述第二能量脉冲以至少部分地产生所述对象的目标条件。
43.权利要求42的方法,其中所述对象包括至少一个物理特征,其影响第一温度响应以使第二组脉冲参数响应于物理特征的变化而变化。
44.权利要求42的方法,其中所述对象的温度响应是对象的温度的增加。
45.权利要求42的方法,进一步包括以下步骤:以与将对象暴露于所述第一能量脉冲和所述第二能量脉冲的步骤的定时关系将对象加热至第一温度。
46.权利要求45的方法,其中所述对象以连续速率被加热至所述第一温度。
47.权利要求45的方法,包括以下步骤:在对象到达所述第一温度之后将对象暴露于第一和第二脉冲。
48.权利要求45的方法,包括以下步骤:在启动将对象加热至所述第一温度的步骤之后但在对象到达第一温度之前施加第一能量脉冲。
49.权利要求45的方法,包括以下步骤:响应于对象到达所述第一温度而将对象暴露于所述第二能量脉冲。
50.权利要求49的方法,包括以下步骤:在对象到达所述第一温度的所选时间间隔内将第二能量脉冲施加给对象。
51.权利要求42的方法,其中所述第二能量脉冲被施加以通过以下来处理对象:加热对象的至少第一表面以至少部分地产生所述目标条件。
52.权利要求42的方法,其中所述对象包括影响第一温度响应的至少一个物理特征,并且其中第二脉冲的第二组脉冲参数被配置成使第二脉冲不能完全产生对象的所述目标条件,并且所述方法进一步包括以下步骤:施加系列的一个或多个附加脉冲,其每个的特征在于附加组的脉冲参数。
53.权利要求52的方法,其中附加组的脉冲参数响应于物理特征的变化在所述系列附加脉冲期间变化。
54.权利要求42的方法,其中第二脉冲的第二组脉冲参数被配置成使第二脉冲不能完全产生对象的所述目标条件,并且所述方法进一步包括以下步骤:施加系列的一个或多个附加脉冲,其具有整个组的脉冲参数,其被确定以合作地产生所述目标条件。
55.权利要求54的方法,包括以下步骤:在所述系列附加脉冲期间至少间歇地对对象的物理特征做出响应,至少基于所述系列附加脉冲产生的至少一个或多个附加温度响应,所述物理特征在施加所述系列附加脉冲期间变化。
56.权利要求55的方法,其中所述系列附加脉冲的第二组被散布于附加脉冲的第一组中以使至少一个第二组脉冲在每个第一组脉冲之后,并且第二组脉冲的每一个都至少部分地产生所述对象的所述目标条件。
57.权利要求56的方法,其中第一组脉冲的每个脉冲都以以下方式被配置:在所述对象中产生相对于所述目标条件的可忽略的变化以使第一组脉冲中的每个脉冲被施加用于测量的目的。
58.权利要求54的方法,其中所述系列附加脉冲的每个脉冲被施加以将所述对象至少部分地变换到所述目标条件。
59.权利要求58的方法,包括以下步骤:确定由所述系列附加脉冲的所选脉冲产生的一个或多个附加温度响应以便于在建立附加脉冲的随后脉冲的脉冲参数的过程中使用。
60.权利要求58的方法,包括以下步骤:确定每个附加脉冲之后的附加温度响应以便于在确定用于附加脉冲的接下来脉冲的脉冲参数组的过程中使用。
61.权利要求42的方法,其中所述第二能量脉冲被施加以通过以下来处理对象:加热对象的至少第一表面以至少部分地产生所述目标条件,并且第二脉冲的第二组脉冲参数被配置成使第二脉冲不能完全产生对象的所述目标条件,并且所述方法进一步包括以下步骤:(i)施加系列的一个或多个附加脉冲以便于合作改变对象以产生所述目标条件,(ii)在附加脉冲的至少所选脉冲之前,产生对对象的光学测量,以及(iii)至少部分地基于所述光学测量来确定用于所选附加脉冲的脉冲参数组。
62.权利要求61的方法,其中所述对象被暴露于所述附加脉冲的至少两个,并且所述光学测量被周期性地重复以便于跟踪所述系列附加脉冲期间的光学特性。
63.权利要求42的方法,其中第一脉冲的第一组脉冲参数被配置成部分地产生所述目标条件。
64.权利要求42的方法,其中第一脉冲的第一组脉冲参数以以下方式被配置:在所述对象中产生相对于所述目标条件的可忽略的变化以使第一组脉冲中的每个脉冲被施加用于测量的目的。
65.权利要求42的方法,包括以下步骤:使用特定的几何安排将第一表面暴露于所述第一脉冲,并且其中将第一表面暴露于第二能量脉冲的步骤使用所述特定几何安排。
66.权利要求65的方法,包括以下步骤:从一个辐射源发射所述第一和第二脉冲以使第一和第二能量脉冲以相同的方式至少有角度地入射于对象上。
67.权利要求42的方法,其中第一和第二脉冲以处于1nJ/cm2到100J/cm2的范围内的能量密度入射于第一表面上。
68.权利要求42的方法,其中第一脉冲具有比第二脉冲小的能量。
69.权利要求42的方法,其中第二脉冲具有与第一脉冲基本上相同组的脉冲参数。
70.权利要求42的方法,其中第一脉冲来自激光器,并且所述第一脉冲包括从1ns到10ms的持续时间。
71.权利要求42的方法,其中第二脉冲来自激光器,并且所述第二脉冲包括从1ns到10ms的持续时间。
72.权利要求42的方法,其中第一脉冲来自闪光灯,并且所述第一脉冲包括从10μs到50ms的持续时间。
73.权利要求42的方法,其中第二脉冲来自闪光灯,并且所述第二脉冲包括从10μs到50ms的持续时间。
74.权利要求42的方法,其中第一和第二脉冲是以从1μs到100秒之间的时隙来串行施加的。
75.权利要求42的方法,进一步包括以下步骤:在第一和第二脉冲的至少一个被施加的同时将对象的第二表面维持在第一温度处。
76.权利要求75的方法,包括以下步骤:使用第一热源来施加第一和第二脉冲并且使用第二热源来维持对象的第二表面的所选温度。
77.权利要求76的方法,其中第二热源包括钨卤灯和弧光灯的至少一个。
78.权利要求76的方法,其中对象的第二表面的温度是通过控制给第二加热源的功率来维持的。
79.一种用于借助系列脉冲中的脉冲式能量来处理对象的系统,每个所述脉冲的特征在于一组脉冲参数,所述对象包括第一和第二相反主表面,所述系统包括:
加热装置,用于将所述第一表面暴露于具有第一组脉冲参数的第一能量脉冲以产生对象的第一温度响应;
感测装置,用于感测对象的第一温度响应;以及
控制装置,用于与第一组脉冲参数组合使用所述第一温度响应,从而建立至少第二组脉冲参数以便于施加至少第二能量脉冲,并且用于使所述第一表面至少暴露于所述第二能量脉冲以至少部分地产生所述对象的目标条件。
80.权利要求79的系统,在一个配置中用于将半导体基片作为对象处理。
81.权利要求79的系统,其中所述对象包括影响第一温度响应的至少一个物理特征,并且所述控制装置响应于物理特征的变化而确定第二组脉冲参数。
82.权利要求79的系统,其中所述对象的温度响应是由所述加热装置产生的对象的温度的增加。
83.权利要求79的系统,其中所述加热装置和所述控制装置被合作地配置成以与将对象暴露于所述第一能量脉冲和所述第二能量脉冲的定时关系将对象加热至第一温度。
84.权利要求83的系统,其中加热装置以连续速率将所述对象加热至所述第一温度。
85.权利要求83的系统,其中加热装置在对象到达所述第一温度之后将对象暴露于第一和第二脉冲。
86.权利要求83的系统,其中加热装置在启动将对象加热至所述第一温度的步骤之后但在对象到达第一温度之前施加第一能量脉冲。
87.权利要求83的系统,其中所述加热装置响应于对象到达所述第一温度而将对象暴露于所述第二能量脉冲。
88.权利要求87的系统,其中加热装置在对象到达所述第一温度的所选时间间隔内将第二能量脉冲施加给对象。
89.权利要求79的系统,其中所述对象包括影响第一温度响应的至少一个物理特征,并且其中第二脉冲的第二组脉冲参数由控制装置配置成使第二脉冲不能完全产生对象的所述目标条件,并且所述控制装置施加系列的一个或多个附加脉冲,其每个的特征在于附加组的脉冲参数。
90.权利要求79的系统,其中所述控制装置与所述加热装置合作以通过以下来处理对象:响应于物理特征的变化在所述系列附加脉冲期间改变附加组的脉冲参数。
91.权利要求90的系统,其中控制装置配置第二脉冲的第二组脉冲参数以使第二脉冲不能完全产生对象的所述目标条件,并且所述控制装置和所述加热装置进一步合作以施加系列的一个或多个附加脉冲,其具有整个组的脉冲参数,其被确定以合作地将对象带到所述目标条件。
92.权利要求91的系统,其中所述控制装置至少间歇地对对象的物理特征做出响应,至少基于所述系列附加脉冲产生的至少一个或多个附加温度响应,所述物理特征在施加所述系列附加脉冲期间变化。
93.权利要求92的系统,其中所述控制装置将所述系列附加脉冲的第二组散布于附加脉冲的第一组中以使至少一个第二组脉冲在每个第一组脉冲之后,并且第二组脉冲的每一个都至少部分地产生所述对象的所述目标条件。
94.权利要求93的系统,其中所述控制装置以以下方式来配置第一组脉冲的每个脉冲:在所述对象中产生相对于所述目标条件的可忽略的变化以使第一组脉冲中的每个脉冲被施加用于测量的目的。
95.权利要求91的系统,其中所述系列附加脉冲的每个脉冲被施加以将所述对象至少部分地变换到所述目标条件。
96.权利要求95的系统,其中所述控制装置使用感测装置来确定由所述系列附加脉冲的所选脉冲产生的一个或多个附加温度响应以便于在建立附加脉冲的随后脉冲的脉冲参数的过程中使用。
97.权利要求95的系统,其中所述控制装置使用感测装置来确定每个附加脉冲之后的附加温度响应以便于在确定用于附加脉冲的接下来脉冲的脉冲参数组的过程中使用。
98.权利要求79的系统,其中感测装置包括用于产生表征所述对象的光学测量的装置,并且其中所述控制装置和所述加热装置合作以施加第二能量脉冲从而通过以下来处理对象:加热对象的至少第一表面以至少部分地产生所述目标条件,并且第二脉冲的第二组脉冲参数被配置成使第二脉冲不能完全产生对象的所述目标条件,并且所述加热装置和所述控制装置被进一步配置成合作地:(i)施加系列的一个或多个附加脉冲以便于合作改变对象以产生所述目标条件,(ii)在附加脉冲的至少所选脉冲之前,使用感测装置来产生对对象的光学测量,以及(iii)至少部分地基于所述光学测量来确定用于所选附加脉冲的脉冲参数组。
99.权利要求98的系统,其中所述加热装置将所述对象暴露于所述附加脉冲的至少两个,并且所述光学测量被周期性地重复以便于跟踪所述系列附加脉冲期间的光学特性。
100.权利要求79的系统,其中第一脉冲的第一组脉冲参数被配置成部分地产生所述目标条件。
101.权利要求79的系统,其中所述加热装置被配置成使用特定的几何安排将第一表面暴露于所述第一脉冲,并且其中加热装置使用所述特定几何安排将第一表面暴露于第二能量脉冲。
102.权利要求101的系统,其中所述加热装置从一个辐射源发射所述第一和第二脉冲以使第一和第二能量脉冲以相同的方式至少有角度地入射于对象上。
103.权利要求79的系统,其中第一和第二脉冲以处于1nJ/cm2到100J/cm2的范围内的能量密度入射于第一表面上。
104.权利要求79的系统,其中加热装置以比第二脉冲小的能量来发射第一脉冲。
105.权利要求79的系统,其中与第一脉冲相比,第二脉冲的特征在于基本上相同组的脉冲参数。
106.权利要求79的系统,包括用于产生第一脉冲的激光器,并且所述第一脉冲包括从1ns到10ms的持续时间。
107.权利要求79的系统,包括用于产生第一和第二脉冲的激光器,并且所述第二脉冲包括从1ns到10ms的持续时间。
108.权利要求79的系统,包括用于产生所述第一脉冲的闪光灯,并且所述第一脉冲包括从10μs到50ms的持续时间。
109.权利要求79的系统,包括用于产生第二脉冲的闪光灯,并且所述第二脉冲包括从10μs到50ms的持续时间。
110.权利要求79的系统,其中所述加热装置以从1μs到100秒之间的时隙来串行施加第一和第二脉冲。
111.权利要求79的系统,其中控制装置被进一步配置成通过以下而与加热装置合作:在第一和第二脉冲的至少一个被施加的同时将对象的第二表面维持在第一温度处。
112.权利要求111的系统,其中所述加热装置包括用于施加第一和第二脉冲的第一热源和用于维持对象第二表面的所选温度的第二热源。
113.权利要求112的系统,其中第二热源包括钨卤灯和弧光灯的至少一个。
114.权利要求112的系统,其中所述第二加热源需要输入功率水平,并且对象的第二表面的温度是通过控制给第二加热源的输入功率水平来维持的。
115.一种用于处理半导体基片的方法,所述基片包括第一和第二相反表面,所述方法包括以下步骤:
通过将基片暴露于特征在于一组脉冲参数的能量脉冲在所述半导体基片上感生温升;
感测半导体基片的温升;以及
基于与所述组的脉冲参数组合的所述温升,确定半导体基片的吸收率。
116.权利要求115的方法,进一步包括以下步骤:使用如所确定的吸收率作为建立用于连续处理所述半导体基片的一组处理参数的过程中的值。
117.权利要求115的方法,进一步包括以下步骤:
使用所述吸收率来建立用于至少一个附加能量脉冲的一组处理参数;以及
基于所述组的处理参数将所述半导体基片暴露于所述附加能量脉冲。
118.权利要求117的方法,包括以下步骤:使用特定几何安排将第一表面暴露于所述能量脉冲,并且其中将第一表面暴露于所述附加能量脉冲的步骤使用所述特定几何安排。
119.权利要求117的方法,其中所述能量脉冲包括比附加能量脉冲的处理功率水平低的功率水平。
120.权利要求119的方法,其中所述能量脉冲以以下方式被配置:在所述半导体基片中产生相对于目标条件的可忽略的变化以使能量脉冲被施加用于测量的目的。
121.权利要求119的方法,其中所述能量脉冲被施加以将所述半导体基片至少部分地变换到所述目标条件。
122.权利要求118的方法,包括以下步骤:从一个辐射源发射所述第一和第二脉冲以使第一和第二能量脉冲以角度上相同的方式入射于半导体基片上。
123.权利要求115的方法,其中所述第一表面和所述第二表面的所选的一个被暴露于所述能量脉冲,并且所述温升被感测于所述第一表面和所述第二表面的所选的一个处。
124.权利要求115的方法,其中所述第一表面和所述第二表面的所选的一个被暴露于所述能量脉冲,并且所述温升被感测于与所选表面相反的所述第一表面和所述第二表面的一个处。
125.一种用于处理半导体基片的系统,所述基片包括第一和第二相反表面,所述系统包括:
加热装置,用于通过将基片暴露于特征在于一组脉冲参数的能量脉冲在所述半导体基片上感生温升;
感测装置,用于感测半导体基片的温升;以及
处理装置,用于基于与所述组的脉冲参数组合的所述温升来确定半导体基片的吸收率。
126.权利要求125的系统,其中所述处理装置被配置成将所述吸收率用作建立一组处理参数的过程中的参数以便于在完成对所述半导体基片的处理的过程中使用。
127.权利要求125的系统,其中所述处理装置被配置成使用所述吸收率来建立用于至少一个附加能量脉冲的一组处理参数,并且应用于基于所述组的处理参数将所述半导体基片暴露于所述附加能量脉冲。
128.权利要求127的系统,其中所述加热装置被配置成使用特定几何安排将第一表面暴露于所述能量脉冲,并且使用所述特定几何安排将第一表面暴露于所述附加能量脉冲。
129.权利要求127的系统,其中所述能量脉冲包括比附加能量脉冲的处理功率水平低的功率水平。
130.权利要求129的系统,其中所述加热装置和所述处理装置合作从而以以下方式来发射所述能量脉冲:在所述半导体基片中产生相对于目标条件的可忽略的变化以使能量脉冲被施加用于测量的目的。
131.权利要求129的系统,其中所述能量脉冲被施加以将所述半导体基片至少部分地变换到所述目标条件。
132.权利要求128的系统,其中所述加热装置包括辐射源,用于发射所述第一和第二脉冲以使第一和第二能量脉冲以角度上相同的方式入射于半导体基片上。
133.权利要求125的系统,其中所述加热装置被配置成将所述第一表面和所述第二表面的所选的一个暴露于所述能量脉冲,并且所述感测装置感测所述第一表面和所述第二表面的所选的一个处的所述温升。
134.权利要求125的系统,其中所述加热装置被配置成将所述第一表面和所述第二表面的所选的一个暴露于所述能量脉冲,并且所述感测装置感测与所选表面相反的所述第一表面和所述第二表面的一个处的所述温升。
135.一种用于使用热来处理对象的系统,所述系统包括:
脉冲式加热源,用于将能量的第一脉冲施加给对象的第一表面以加热该表面,从而使对象产生辐射能量;
传感器,用于在能量的第一脉冲被施加之后使用来自对象的辐射能量来产生测量;以及
装置,用于至少部分地基于所述测量来调节用于至少一个附加脉冲能量的一组脉冲参数以便于由脉冲式加热源来使用。
136.权利要求135的系统,在一个配置中将半导体基片作为所述对象处理。
137.权利要求135的系统,包括背景加热源,其具有钨卤灯和弧光灯的至少一个,用于等温地加热对象。
138.权利要求135的系统,其中脉冲式加热源包括弧光灯、闪光灯和激光器的至少一个。
139.权利要求135的系统,进一步包括过滤器,其被关联于所述脉冲式加热源以筛选出由脉冲式加热源发射的所选辐射波长范围。
140.权利要求139的系统,其中过滤器是将对象隔离于脉冲式加热源的水冷窗。
141.权利要求139的系统,其中过滤器是高OH石英窗。
142.权利要求135的系统,其中所述传感器是光学传感器。
143.权利要求142的系统,进一步包括第二传感器,用于采样由脉冲式加热源发射并且在对象的所述第一表面上入射的入射脉冲辐射。
144.权利要求142的系统,进一步包括第二传感器,用于感测经过对象的能量的第一脉冲的一部分。
145.权利要求135的系统,进一步包括高温计,用于测量由对象的第一表面发射的所述辐射能量以监视对象第一表面的温度。
146.权利要求135的系统,进一步包括高温计,用于测量由对象的第二表面发射的第二表面辐射能量以监视对象第二表面的第二表面温度。
147.权利要求135的系统,其中所述系统包括背景加热源,其被放置成将热能导向对象的第二表面。
148.一种用于使用热来处理对象的系统,所述系统包括:
加热源,用于在第一工作模式下将对象加热至第一温度,所述加热源被进一步配置成在第二工作模式下将能量的至少第一脉冲施加给对象的第一表面以将第一表面加热至比第一温度大的第二温度,所述对象响应于加热源而产生辐射能量;
传感器,用于通过采样来自对象的所述辐射能量来产生测量;以及
装置,用于至少部分地基于所述测量来调节用于至少一个附加脉冲能量的脉冲参数以便于由加热源来使用。
149.权利要求148的系统,其中加热源包括弧光灯、闪光灯和激光器的至少一个。
150.权利要求148的系统,包括过滤器,其被关联于所述加热源以筛选出由加热源发射的所选波长辐射。
151.权利要求150的系统,其中过滤器是将对象隔离于加热源的水冷窗。
152.权利要求150的系统,其中过滤器是高OH石英窗。
153.权利要求150的系统,其中加热源包括至少一个灯泡,并且过滤器包括单独包围每个灯泡的一个或多个包层。
154.权利要求148的系统,其中传感器是光学传感器。
155.权利要求154的系统,进一步包括第二传感器,用于采样由加热源最初发射并且之后在对象的所述第一表面上入射的入射脉冲辐射。
156.一种用于借助系列脉冲中的脉冲式能量来处理对象的方法,每个所述脉冲的特征在于一组脉冲参数,所述方法包括以下步骤:
将所述对象暴露于具有第一组脉冲参数的第一能量脉冲以产生对象的第一温度响应;
感测对象的第一温度响应;
与第一组脉冲参数组合使用所述第一温度响应,从而确定对第二组脉冲参数的对象的预计响应以便于至少部分地基于用于所述对象的目标条件将对象暴露于至少第二能量脉冲;以及
将所述对象暴露于所述第二能量脉冲以至少部分地产生所述对象的所述目标条件。
157.权利要求156的方法,其中所述对象是半导体基片。
158.权利要求156的方法,其中所述第一能量脉冲和所述第二能量脉冲被配置成能只是部分地产生所述目标条件,并且所述方法包括以下步骤:施加一组附加脉冲以使将对象暴露于所述组的附加脉冲导致对象增量地接近所述目标条件。
159.一种用于借助系列脉冲中的脉冲式能量来处理对象的系统,每个所述脉冲的特征在于一组脉冲参数,所述系统包括:
加热装置,用于将所述对象暴露于包括具有第一组脉冲参数的第一能量脉冲的所述系列脉冲以产生对象的第一温度响应;
感测装置,用于感测对象的第一温度响应;
控制装置,用于组合使用第一组脉冲参数与所述第一温度响应,从而确定对第二组脉冲参数的对象的预计响应以便于至少部分地基于用于所述对象的目标条件将对象暴露于至少第二能量脉冲,并且使加热装置将所述第一表面暴露于至少所述第二能量脉冲以至少部分地产生所述对象的所述目标条件。
160.权利要求159的系统,其中所述对象是半导体基片。
161.权利要求159的方法,其中所述第一能量脉冲和所述第二能量脉冲被配置成能只是部分地产生所述目标条件,并且所述控制装置被配置成施加一组附加脉冲以使将对象暴露于所述组的附加脉冲导致对象增量地接近所述目标条件。
162.一种用于处理具有第一表面的对象的方法,该方法包括以下步骤:
通常在背景加热模式下加热对象;
通过使该表面经历能量的至少第一脉冲在脉冲式模式下加热第一表面;以及
相对于脉冲而控制背景加热模式。
CN2008101683965A 2002-03-29 2003-03-19 使用加热源组合的脉冲式处理半导体加热方法 Expired - Lifetime CN101392409B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US36886302P 2002-03-29 2002-03-29
US60/368,863 2002-03-29
US10/209,155 US6849831B2 (en) 2002-03-29 2002-07-30 Pulsed processing semiconductor heating methods using combinations of heating sources
US10/209,155 2002-07-30

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB038072599A Division CN100444334C (zh) 2002-03-29 2003-03-19 使用加热源组合的脉冲式处理半导体加热方法

Publications (2)

Publication Number Publication Date
CN101392409A CN101392409A (zh) 2009-03-25
CN101392409B true CN101392409B (zh) 2010-12-01

Family

ID=28456815

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2008101683965A Expired - Lifetime CN101392409B (zh) 2002-03-29 2003-03-19 使用加热源组合的脉冲式处理半导体加热方法
CNB038072599A Expired - Lifetime CN100444334C (zh) 2002-03-29 2003-03-19 使用加热源组合的脉冲式处理半导体加热方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB038072599A Expired - Lifetime CN100444334C (zh) 2002-03-29 2003-03-19 使用加热源组合的脉冲式处理半导体加热方法

Country Status (8)

Country Link
US (5) US6849831B2 (zh)
JP (1) JP2005527972A (zh)
KR (1) KR101078708B1 (zh)
CN (2) CN101392409B (zh)
AU (1) AU2003218303A1 (zh)
DE (1) DE10392472B4 (zh)
TW (1) TWI228778B (zh)
WO (1) WO2003085343A1 (zh)

Families Citing this family (191)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
TW200304175A (en) * 2001-11-12 2003-09-16 Sony Corp Laser annealing device and thin-film transistor manufacturing method
CN100416243C (zh) * 2001-12-26 2008-09-03 加拿大马特森技术有限公司 测量温度和热处理的方法及系统
US6809801B2 (en) * 2002-03-11 2004-10-26 Sharp Laboratories Of America, Inc. 1:1 projection system and method for laser irradiating semiconductor films
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources
US7223660B2 (en) * 2002-07-31 2007-05-29 Intel Corporation Flash assisted annealing
JP2004134674A (ja) * 2002-10-11 2004-04-30 Toshiba Corp 基板処理方法、加熱処理装置、パターン形成方法
AU2003280184A1 (en) * 2002-12-09 2004-06-30 Koninklijke Philips Electronics N.V. System and method for suppression of wafer temperature drift in cold-wall cvd system
CN1729554B (zh) 2002-12-20 2014-05-07 马特森技术有限公司 用来支撑工件和用来热处理工件的方法和系统
JP3929939B2 (ja) * 2003-06-25 2007-06-13 株式会社東芝 処理装置、製造装置、処理方法及び電子装置の製造方法
JP2005079110A (ja) * 2003-08-29 2005-03-24 Toshiba Corp 半導体装置およびその製造方法
JP4411907B2 (ja) * 2003-08-29 2010-02-10 セイコーエプソン株式会社 半導体装置の製造方法
JP4618705B2 (ja) * 2003-09-18 2011-01-26 大日本スクリーン製造株式会社 熱処理装置
US7148159B2 (en) * 2003-09-29 2006-12-12 Ultratech, Inc. Laser thermal annealing of lightly doped silicon substrates
US6911376B2 (en) * 2003-10-01 2005-06-28 Wafermasters Selective heating using flash anneal
EP1676300B1 (en) * 2003-10-03 2014-10-01 Applied Materials, Inc. Method for annealing a substrate comprising an absorber layer
US7109087B2 (en) * 2003-10-03 2006-09-19 Applied Materials, Inc. Absorber layer for DSA processing
WO2005059991A1 (en) * 2003-12-19 2005-06-30 Mattson Technology Canada Inc. Apparatuses and methods for suppressing thermally induced motion of a workpiece
CN100389489C (zh) * 2003-12-30 2008-05-21 中芯国际集成电路制造(上海)有限公司 利用注入晶片的注入机的低能量剂量监测
US7781947B2 (en) * 2004-02-12 2010-08-24 Mattson Technology Canada, Inc. Apparatus and methods for producing electromagnetic radiation
US7750341B2 (en) * 2004-05-17 2010-07-06 The Regents Of The University Of California Bistable nanoparticle-polymer composite for use in memory devices
JP4925571B2 (ja) * 2004-08-09 2012-04-25 アプライド マテリアルズ インコーポレイテッド 基板の熱的性質判定方法及び熱処理条件の決定方法
US20140003800A1 (en) * 2004-09-24 2014-01-02 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
TWI237857B (en) * 2004-10-21 2005-08-11 Nanya Technology Corp Method of fabricating MOS transistor by millisecond anneal
JP4444090B2 (ja) * 2004-12-13 2010-03-31 東京エレクトロン株式会社 熱処理板の温度設定方法,熱処理板の温度設定装置,プログラム及びプログラムを記録したコンピュータ読み取り可能な記録媒体
US20070037346A1 (en) * 2005-02-22 2007-02-15 Grant Robert W Rapid thermal annealing of targeted thin film layers
US7655160B2 (en) * 2005-02-23 2010-02-02 Electromagnetics Corporation Compositions of matter: system II
US7642205B2 (en) * 2005-04-08 2010-01-05 Mattson Technology, Inc. Rapid thermal processing using energy transfer layers
WO2006130573A2 (en) 2005-06-01 2006-12-07 Mattson Technology, Inc. Optimizing the thermal budget during a pulsed heating process
WO2007011523A2 (en) * 2005-06-30 2007-01-25 Sc Materials, Inc. Rapid thermal annealing of targeted thin film layers
US8152365B2 (en) 2005-07-05 2012-04-10 Mattson Technology, Inc. Method and system for determining optical properties of semiconductor wafers
WO2007030941A1 (en) * 2005-09-14 2007-03-22 Mattson Technology Canada, Inc. Repeatable heat-treating methods and apparatus
US7184657B1 (en) 2005-09-17 2007-02-27 Mattson Technology, Inc. Enhanced rapid thermal processing apparatus and method
US10279557B2 (en) 2006-01-13 2019-05-07 Cmd Corporation Method and apparatus for making skirtless seals
US7445590B2 (en) * 2006-01-13 2008-11-04 Cmd Corporation Method and apparatus for making bags
US8029428B2 (en) * 2006-01-13 2011-10-04 Cmd Corporation Method and apparatus for making skirtless seals
US20070212859A1 (en) * 2006-03-08 2007-09-13 Paul Carey Method of thermal processing structures formed on a substrate
JP4896555B2 (ja) * 2006-03-29 2012-03-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
US7981212B2 (en) * 2006-03-29 2011-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Flash lamp annealing device
DE102006019807B3 (de) * 2006-04-21 2007-08-23 Leibnitz-Institut für Festkörper- und Werkstoffforschung Dresden e.V. Verfahren zur pyrometrischen Messung der Temperatur des Schmelzgutes in Einkristallzüchtungsanlagen
US20070293026A1 (en) * 2006-06-16 2007-12-20 Hynix Semiconductor Inc. Method of manufacturing semiconductor device
US8571396B2 (en) * 2006-06-26 2013-10-29 Tp Solar, Inc. Rapid thermal firing IR conveyor furnace having high intensity heating section
US9301340B2 (en) 2006-06-26 2016-03-29 Tp Solar, Inc. IR conveyor furnace having single belt with multiple independently controlled processing lanes
US7548364B2 (en) 2006-07-31 2009-06-16 Applied Materials, Inc. Ultra-fast beam dithering with surface acoustic wave modulator
US20080025354A1 (en) * 2006-07-31 2008-01-31 Dean Jennings Ultra-Fast Beam Dithering with Surface Acoustic Wave Modulator
US8450193B2 (en) * 2006-08-15 2013-05-28 Varian Semiconductor Equipment Associates, Inc. Techniques for temperature-controlled ion implantation
US8454356B2 (en) * 2006-11-15 2013-06-04 Mattson Technology, Inc. Systems and methods for supporting a workpiece during heat-treating
US20090014423A1 (en) * 2007-07-10 2009-01-15 Xuegeng Li Concentric flow-through plasma reactor and methods therefor
US7718707B2 (en) * 2006-12-21 2010-05-18 Innovalight, Inc. Method for preparing nanoparticle thin films
JP4874830B2 (ja) * 2007-02-06 2012-02-15 株式会社東芝 半導体装置の製造方法
JP5214153B2 (ja) 2007-02-09 2013-06-19 大日本スクリーン製造株式会社 熱処理装置
JP2008235858A (ja) * 2007-02-20 2008-10-02 National Institute Of Advanced Industrial & Technology 半導体表面温度測定方法及びその装置
WO2008102596A1 (ja) * 2007-02-20 2008-08-28 National Institute Of Advanced Industrial Science And Technology 半導体表面温度測定方法及びその装置
WO2008131513A1 (en) 2007-05-01 2008-11-06 Mattson Technology Canada, Inc. Irradiance pulse heat-treating methods and apparatus
US8968438B2 (en) * 2007-07-10 2015-03-03 Innovalight, Inc. Methods and apparatus for the in situ collection of nucleated particles
US8471170B2 (en) * 2007-07-10 2013-06-25 Innovalight, Inc. Methods and apparatus for the production of group IV nanoparticles in a flow-through plasma reactor
US8148663B2 (en) 2007-07-31 2012-04-03 Applied Materials, Inc. Apparatus and method of improving beam shaping and beam homogenization
US20090053878A1 (en) * 2007-08-21 2009-02-26 Maxim Kelman Method for fabrication of semiconductor thin films using flash lamp processing
JP5465373B2 (ja) * 2007-09-12 2014-04-09 大日本スクリーン製造株式会社 熱処理装置
JP5221099B2 (ja) * 2007-10-17 2013-06-26 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US20090107527A1 (en) * 2007-10-31 2009-04-30 United Microelectronics Corp. Method of cleaning transparent device in a thermal process apparatus, thermal process apparatus and process using the same thermal process apparatus
US20090120924A1 (en) * 2007-11-08 2009-05-14 Stephen Moffatt Pulse train annealing method and apparatus
US7800081B2 (en) * 2007-11-08 2010-09-21 Applied Materials, Inc. Pulse train annealing method and apparatus
US9498845B2 (en) 2007-11-08 2016-11-22 Applied Materials, Inc. Pulse train annealing method and apparatus
US20090130864A1 (en) * 2007-11-19 2009-05-21 Narendra Singh Mehta Systems and methods for flash annealing of semiconductor devices
DE102007058002B4 (de) * 2007-12-03 2016-03-17 Mattson Thermal Products Gmbh Vorrichtung zum thermischen Behandeln von scheibenförmigen Halbleitersubstraten
JP4816634B2 (ja) 2007-12-28 2011-11-16 ウシオ電機株式会社 基板加熱装置及び基板加熱方法
JP2009164451A (ja) * 2008-01-09 2009-07-23 Dainippon Screen Mfg Co Ltd 熱処理装置
JP2009164525A (ja) * 2008-01-10 2009-07-23 Dainippon Screen Mfg Co Ltd 熱処理装置
JP5228495B2 (ja) 2008-01-11 2013-07-03 富士通セミコンダクター株式会社 半導体装置の製造方法
JP2009188209A (ja) * 2008-02-06 2009-08-20 Panasonic Corp 不純物活性化熱処理方法及び熱処理装置
US10260811B2 (en) * 2008-03-05 2019-04-16 Ivoclar Vivadent Ag Dental furnace
JP5349819B2 (ja) * 2008-03-25 2013-11-20 大日本スクリーン製造株式会社 熱処理装置
JP5291965B2 (ja) * 2008-03-25 2013-09-18 大日本スクリーン製造株式会社 熱処理装置
JP5346484B2 (ja) * 2008-04-16 2013-11-20 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
JP5289815B2 (ja) * 2008-04-17 2013-09-11 大日本スクリーン製造株式会社 石英窓の製造方法
JP5562529B2 (ja) * 2008-04-17 2014-07-30 大日本スクリーン製造株式会社 熱処理装置
KR101892467B1 (ko) * 2008-05-02 2018-08-28 어플라이드 머티어리얼스, 인코포레이티드 회전하는 기판들에 대한 비 방사상 온도 제어를 위한 시스템
JP5718809B2 (ja) 2008-05-16 2015-05-13 マトソン テクノロジー、インコーポレイテッド 加工品の破壊を防止する方法および装置
JP5214347B2 (ja) * 2008-06-24 2013-06-19 株式会社東芝 半導体装置の製造方法および半導体装置の製造装置
JP5642359B2 (ja) * 2009-06-04 2014-12-17 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP2010123588A (ja) * 2008-11-17 2010-06-03 Sumco Corp シリコンウェーハ及びその熱処理方法
JP2010141103A (ja) * 2008-12-11 2010-06-24 Toshiba Corp 半導体装置の製造方法および熱処理装置
JP5668270B2 (ja) * 2008-12-11 2015-02-12 富士電機株式会社 半導体素子の製造方法
KR101610260B1 (ko) * 2008-12-15 2016-04-08 삼성전자주식회사 전자빔 어닐링 장치 및 이를 이용한 어닐링 방법
KR101565794B1 (ko) * 2008-12-16 2015-11-05 삼성전자주식회사 게더링 효과를 향상시킬 수 있는 실리콘 기판 및 실리콘 웨이퍼, 상기 실리콘 웨이퍼의 열처리 방법
US8461033B2 (en) 2009-01-13 2013-06-11 Dainippon Screen Mfg. Co., Ltd. Heat treatment apparatus and method for heating substrate by light-irradiation
US8912102B2 (en) * 2009-03-02 2014-12-16 Globalfoundries Singapore Pte. Ltd. Laser annealing
US20100304527A1 (en) * 2009-03-03 2010-12-02 Peter Borden Methods of thermal processing a solar cell
JP5507102B2 (ja) * 2009-03-19 2014-05-28 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
US8129284B2 (en) * 2009-04-28 2012-03-06 Dainippon Screen Mfg. Co., Ltd. Heat treatment method and heat treatment apparatus for heating substrate by light irradiation
GB2474032B (en) 2009-10-01 2016-07-27 Heraeus Noblelight Gmbh Flash lamp or gas discharge lamp with integrated reflector
JP5507195B2 (ja) * 2009-10-13 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
JP5507227B2 (ja) * 2009-12-07 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
JP5507274B2 (ja) 2010-01-29 2014-05-28 大日本スクリーン製造株式会社 熱処理方法および熱処理装置
KR101206500B1 (ko) * 2010-02-26 2012-11-29 에스케이하이닉스 주식회사 반도체 장치의 트랜지스터 제조 방법
KR101097348B1 (ko) * 2010-03-11 2011-12-23 삼성모바일디스플레이주식회사 결정화 장치, 결정화 방법, 박막 트랜지스터 제조 방법 및 유기 발광 표시 장치의 제조 방법
JP5813291B2 (ja) * 2010-03-24 2015-11-17 株式会社Screenホールディングス 熱処理装置および熱処理方法
US8907258B2 (en) * 2010-04-08 2014-12-09 Ncc Nano, Llc Apparatus for providing transient thermal profile processing on a moving substrate
JP5604955B2 (ja) 2010-04-19 2014-10-15 ウシオ電機株式会社 放電ランプ点灯装置
JP5556431B2 (ja) * 2010-06-24 2014-07-23 富士電機株式会社 半導体装置の製造方法
WO2012009636A1 (en) * 2010-07-15 2012-01-19 Despatch Industries Limited Partnership Firing furnace configuration for thermal processing system
JP5530856B2 (ja) * 2010-08-18 2014-06-25 信越半導体株式会社 ウエーハの熱処理方法及びシリコンウエーハの製造方法並びに熱処理装置
TWI435391B (zh) 2010-09-16 2014-04-21 Dainippon Screen Mfg 閃光熱處理裝置
JP5606852B2 (ja) * 2010-09-27 2014-10-15 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP2012074430A (ja) 2010-09-28 2012-04-12 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
TWI489075B (zh) * 2010-09-28 2015-06-21 Tp太陽能公司 具有高反射率加熱區段的快速點火ir輸送帶爐
US8383513B2 (en) 2010-10-05 2013-02-26 Taiwan Semiconductor Manufacturing Company, Ltd. Asymmetric rapid thermal annealing to reduce pattern effect
JP5559656B2 (ja) * 2010-10-14 2014-07-23 大日本スクリーン製造株式会社 熱処理装置および熱処理方法
JP2012104808A (ja) * 2010-10-14 2012-05-31 Dainippon Screen Mfg Co Ltd 熱処理装置および熱処理方法
KR101733179B1 (ko) 2010-10-15 2017-05-08 맛선 테크놀러지, 인코포레이티드 워크피스를 노출할 조사 펄스의 형상을 결정하는 방법, 장치 및 매체
CA2817391A1 (en) 2010-11-22 2012-05-31 Electromagnetics Corporation Devices for tailoring materials
CN102485935B (zh) * 2010-12-06 2013-11-13 北京北方微电子基地设备工艺研究中心有限责任公司 均热板及应用该均热板的基片处理设备
US9905443B2 (en) 2011-03-11 2018-02-27 Applied Materials, Inc. Reflective deposition rings and substrate processing chambers incorporating same
US8404048B2 (en) * 2011-03-11 2013-03-26 Applied Materials, Inc. Off-angled heating of the underside of a substrate using a lamp assembly
TWI467660B (zh) 2011-03-14 2015-01-01 Screen Holdings Co Ltd Heat treatment method and heat treatment device
TWI566300B (zh) 2011-03-23 2017-01-11 斯克林集團公司 熱處理方法及熱處理裝置
US20120060758A1 (en) * 2011-03-24 2012-03-15 Primestar Solar, Inc. Dynamic system for variable heating or cooling of linearly conveyed substrates
US8247741B2 (en) 2011-03-24 2012-08-21 Primestar Solar, Inc. Dynamic system for variable heating or cooling of linearly conveyed substrates
US10150230B2 (en) 2011-04-08 2018-12-11 Ncc Nano, Llc Method for drying thin films in an energy efficient manner
US9302348B2 (en) * 2011-06-07 2016-04-05 Ultratech Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
US8309474B1 (en) * 2011-06-07 2012-11-13 Ultratech, Inc. Ultrafast laser annealing with reduced pattern density effects in integrated circuit fabrication
JP5951209B2 (ja) * 2011-09-26 2016-07-13 株式会社Screenホールディングス 熱処理方法
JP5944131B2 (ja) 2011-09-27 2016-07-05 株式会社Screenホールディングス 熱処理方法
JP5951241B2 (ja) * 2011-12-07 2016-07-13 株式会社Screenホールディングス 熱処理方法および熱処理装置
US20140011373A1 (en) * 2011-12-28 2014-01-09 Aravind Killampalli Annealing a sacrificial layer
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US9449825B2 (en) * 2012-02-03 2016-09-20 SCREEN Holdings Co., Ltd. Heat treatment apparatus for heating substrate by irradiation with flashes of light, and heat treatment method
DE102012005428B4 (de) * 2012-03-16 2014-10-16 Centrotherm Photovoltaics Ag Vorrichtung zum Bestimmen der Temperatur eines Substrats
US9099514B2 (en) 2012-03-21 2015-08-04 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer holder with tapered region
CN103374698A (zh) * 2012-04-23 2013-10-30 北京北方微电子基地设备工艺研究中心有限责任公司 加热腔室以及等离子体加工设备
TWI494174B (zh) * 2012-05-16 2015-08-01 Kern Energy Entpr Co Ltd 基板表面處理設備
TWI582837B (zh) * 2012-06-11 2017-05-11 應用材料股份有限公司 在脈衝式雷射退火中使用紅外線干涉技術之熔化深度測定
JP5955658B2 (ja) * 2012-06-15 2016-07-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
KR101428569B1 (ko) * 2012-07-04 2014-09-25 엘지디스플레이 주식회사 개선된 기판 열처리용 챔버 및 이를 구비한 기판 열처리 장치 및 방법
KR101503117B1 (ko) * 2012-08-31 2015-03-16 엘지디스플레이 주식회사 경화장치
US9750091B2 (en) * 2012-10-15 2017-08-29 Applied Materials, Inc. Apparatus and method for heat treatment of coatings on substrates
JP5996409B2 (ja) * 2012-12-12 2016-09-21 株式会社Screenホールディングス 熱処理装置および熱処理方法
KR102090708B1 (ko) * 2013-01-22 2020-04-16 삼성디스플레이 주식회사 레이저 어닐링 장치
US9786529B2 (en) * 2013-03-11 2017-10-10 Applied Materials, Inc. Pyrometry filter for thermal process chamber
US20140270731A1 (en) * 2013-03-12 2014-09-18 Applied Materials, Inc. Thermal management apparatus for solid state light source arrays
US9754807B2 (en) * 2013-03-12 2017-09-05 Applied Materials, Inc. High density solid state light source array
US20140342473A1 (en) * 2013-05-14 2014-11-20 United Microelectronics Corp. Semiconductor processing method
WO2014186085A1 (en) * 2013-05-15 2014-11-20 Applied Materials, Inc. Diffuser for lamp heating assembly
CN103325961B (zh) * 2013-05-22 2016-05-18 上海和辉光电有限公司 Oled封装加热装置及工艺方法
US10526232B2 (en) * 2013-05-30 2020-01-07 Ppg Industries Ohio, Inc. Microwave heating glass bending process
US10410890B2 (en) * 2013-06-21 2019-09-10 Applied Materials, Inc. Light pipe window structure for thermal chamber applications and processes
KR101462460B1 (ko) * 2013-07-24 2014-11-18 주식회사 나래나노텍 기판 열처리용 챔버의 분할된 윈도우 플레이트 지지 장치, 및 이를 구비한 기판 열처리용 챔버 및 기판 열처리 장치
KR101464662B1 (ko) * 2013-07-24 2014-11-25 주식회사 나래나노텍 개선된 보트, 및 이를 구비한 기판 열처리 챔버 및 기판 열처리 장치
WO2015023791A1 (en) * 2013-08-16 2015-02-19 Applied Materials, Inc. Dynamic optical valve for mitigating non-uniform heating in laser processing
JP5602917B2 (ja) * 2013-08-19 2014-10-08 大日本スクリーン製造株式会社 基板処理方法および基板処理装置
DE102013113866B4 (de) 2013-12-11 2019-03-07 Fhr Anlagenbau Gmbh Anordnung zur thermischen Prozessierung von Substraten
TW201527013A (zh) * 2013-12-20 2015-07-16 Xenon Corp 用於連續閃光燈燒結的系統和方法
US20150311067A1 (en) * 2014-04-24 2015-10-29 Applied Materials, Inc. Millisecond annealing in ammonia ambient for precise placement of nitrogen in thin film stacks
JP6087874B2 (ja) * 2014-08-11 2017-03-01 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP5998191B2 (ja) * 2014-12-01 2016-09-28 株式会社Screenホールディングス 熱処理方法
US11089657B2 (en) 2015-03-06 2021-08-10 SCREEN Holdings Co., Ltd. Light-irradiation heat treatment apparatus
JP6473659B2 (ja) * 2015-05-13 2019-02-20 株式会社Screenホールディングス 熱処理方法および熱処理装置
JP2017017277A (ja) 2015-07-06 2017-01-19 株式会社Screenホールディングス 熱処理装置および熱処理方法
JP6560550B2 (ja) 2015-07-06 2019-08-14 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10403880B2 (en) * 2015-09-11 2019-09-03 Iftikhar Ahmad Apparatus and method for processing battery electrodes
JP6539568B2 (ja) 2015-11-04 2019-07-03 株式会社Screenホールディングス 熱処理方法および熱処理装置
US10727140B2 (en) * 2015-12-30 2020-07-28 Mattson Technology, Inc. Preheat processes for millisecond anneal system
US20170194162A1 (en) * 2016-01-05 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor manufacturing equipment and method for treating wafer
JP6622617B2 (ja) 2016-02-18 2019-12-18 株式会社Screenホールディングス 熱処理装置
JP6587955B2 (ja) 2016-02-24 2019-10-09 株式会社Screenホールディングス 熱処理装置
US10009957B2 (en) 2016-03-30 2018-06-26 The Markov Corporation Electronic oven with infrared evaluative control
KR102527578B1 (ko) * 2016-05-24 2023-05-02 삼성전자주식회사 기판 가열 방법
JP6839939B2 (ja) * 2016-07-26 2021-03-10 株式会社Screenホールディングス 熱処理方法
US10840114B1 (en) * 2016-07-26 2020-11-17 Raytheon Company Rapid thermal anneal apparatus and method
RU2638690C1 (ru) * 2016-08-11 2017-12-15 Общество с ограниченной ответственностью НТЦ "АгроЭСБ" Способ сушки семян рапса
JP6847610B2 (ja) * 2016-09-14 2021-03-24 株式会社Screenホールディングス 熱処理装置
DE102016119703A1 (de) * 2016-10-17 2018-04-19 Kraussmaffei Technologies Gmbh Verfahren und Vorrichtung zur Herstellung von Formteilen mit einem Halbzeug
KR102589287B1 (ko) * 2017-01-19 2023-10-13 내션얼 리서치 카운슬 오브 캐나다 배터리에서 열폭주를 개시하기 위한 장치 및 방법
CN111032889B (zh) 2017-08-16 2022-04-08 玛特森技术公司 闭合形状工件的热加工
JP6982446B2 (ja) 2017-09-21 2021-12-17 株式会社Screenホールディングス 熱処理装置
JP6942615B2 (ja) 2017-11-20 2021-09-29 株式会社Screenホールディングス 熱処理方法および熱処理装置
TWI778205B (zh) * 2018-03-13 2022-09-21 日商住友重機械工業股份有限公司 雷射功率控制裝置、雷射加工裝置及雷射功率控制方法
CN112385028A (zh) 2018-04-12 2021-02-19 玛特森技术公司 低热量预算退火
US10879371B2 (en) * 2018-06-12 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Thermal treatment for gate dielectrics
US10573532B2 (en) * 2018-06-15 2020-02-25 Mattson Technology, Inc. Method for processing a workpiece using a multi-cycle thermal treatment process
EP3667704A1 (en) 2018-12-13 2020-06-17 Laser Systems & Solutions of Europe Method for thermally processing a substrate and associated system
CN109798768A (zh) * 2018-12-17 2019-05-24 浙江智造热成型科技有限公司 高效率的箱式加热炉
JP7304768B2 (ja) * 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
KR20220147112A (ko) * 2020-02-28 2022-11-02 매슨 테크놀로지 인크 열 처리 시스템 내의 워크피스의 투과 기반 온도 측정
JP7461214B2 (ja) * 2020-05-19 2024-04-03 株式会社Screenホールディングス 熱処理装置
CN111621853A (zh) * 2020-05-29 2020-09-04 武汉大学 脉冲微波及射频电磁感应两段式晶体生长退火装置
US20240014052A1 (en) * 2020-08-13 2024-01-11 Ci Systems (Israel) Ltd. Synchronization between temperature measurement device and radiation sources
CN113238279B (zh) * 2021-05-10 2023-05-12 中国辐射防护研究院 一种可产生单脉冲γ射线的辐射装置
WO2023212325A1 (en) * 2022-04-29 2023-11-02 Cornell University Microwave annealer for semiconductor wafers
US11621168B1 (en) 2022-07-12 2023-04-04 Gyrotron Technology, Inc. Method and system for doping semiconductor materials

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4504323A (en) * 1980-09-12 1985-03-12 Ushio Denki Kabushiki Kaisha Method for annealing semiconductors with a planar source composed of flash discharge lamps
US4615765A (en) * 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US5219786A (en) * 1991-06-12 1993-06-15 Sony Corporation Semiconductor layer annealing method using excimer laser

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4151008A (en) 1974-11-15 1979-04-24 Spire Corporation Method involving pulsed light processing of semiconductor devices
JPS5567132A (en) * 1978-11-15 1980-05-21 Toshiba Corp Method for manufacturing semiconductor device
JPS58127381A (ja) * 1982-01-26 1983-07-29 Seiko Epson Corp 半導体装置の製造方法
US4501323A (en) * 1982-12-27 1985-02-26 Hughes Tool Company Method and apparatus for monitoring the corrosive effects of well fluids
JPS59169125A (ja) 1983-03-16 1984-09-25 Ushio Inc 半導体ウエハ−の加熱方法
JPS60258928A (ja) * 1984-02-28 1985-12-20 タマラツク・サイエンテイフイツク・カンパニ−・インコ−ポレ−テツド 半導体ウエ−ハの加熱装置および方法
JPS63181419A (ja) * 1987-01-23 1988-07-26 Matsushita Electronics Corp 電界効果トランジスタの製造方法
US4865683A (en) * 1988-11-03 1989-09-12 Lasa Industries, Inc. Method and apparatus for laser process control
US5270222A (en) 1990-12-31 1993-12-14 Texas Instruments Incorporated Method and apparatus for semiconductor device fabrication diagnosis and prognosis
FR2674768B1 (fr) 1991-04-02 1994-09-02 France Telecom Procede de traitement photochimique d'un materiau utilisant une source de lumiere a tubes a eclairs.
US5508934A (en) * 1991-05-17 1996-04-16 Texas Instruments Incorporated Multi-point semiconductor wafer fabrication process temperature control system
JPH05114570A (ja) * 1991-10-03 1993-05-07 Dainippon Screen Mfg Co Ltd 光照射加熱装置
JP3277533B2 (ja) * 1992-01-08 2002-04-22 ソニー株式会社 半導体装置の製造方法
KR100255689B1 (ko) * 1993-05-27 2000-05-01 윤종용 반도체 레이져 소자 및 그 제조방법
US5487768A (en) 1994-01-31 1996-01-30 Zytka; Donald J. Minienvironment for material handling
US6059873A (en) * 1994-05-30 2000-05-09 Semiconductor Energy Laboratory Co., Ltd. Optical processing method with control of the illumination energy of laser light
EP0708318A1 (en) * 1994-10-17 1996-04-24 International Business Machines Corporation Radiance measurement by angular filtering for use in temperature determination of radiant object
DE19513749B4 (de) * 1995-04-11 2004-07-01 Infineon Technologies Ag Verfahren und Vorrichtung zur Bestimmung des Emissionsfaktors von Halbleitermaterialien durch Bestrahlung mit elektromagnetischen Wellen
JPH09246202A (ja) 1996-03-07 1997-09-19 Shin Etsu Handotai Co Ltd 熱処理方法および半導体単結晶基板
US6179465B1 (en) 1996-03-28 2001-01-30 Applied Materials, Inc. Method and apparatus for infrared pyrometer calibration in a thermal processing system using multiple light sources
US5847768A (en) * 1996-04-26 1998-12-08 Lg Electronics Inc. Apparatus for converting screen aspect ratio
US6156030A (en) * 1997-06-04 2000-12-05 Y-Beam Technologies, Inc. Method and apparatus for high precision variable rate material removal and modification
US5841110A (en) 1997-08-27 1998-11-24 Steag-Ast Gmbh Method and apparatus for improved temperature control in rapid thermal processing (RTP) systems
US6222990B1 (en) 1997-12-03 2001-04-24 Steag Rtp Systems Heating element for heating the edges of wafers in thermal processing chambers
DE59813773D1 (de) * 1997-12-08 2006-11-30 Steag Rtp Systems Gmbh Verfahren zum Messen elektromagnetischer Strahlung
US6268270B1 (en) 1999-04-30 2001-07-31 Advanced Micro Devices, Inc. Lot-to-lot rapid thermal processing (RTP) chamber preheat optimization
US6570656B1 (en) * 2000-04-10 2003-05-27 Ultratech Stepper, Inc. Illumination fluence regulation system and method for use in thermal processing employed in the fabrication of reduced-dimension integrated circuits
US6376806B2 (en) 2000-05-09 2002-04-23 Woo Sik Yoo Flash anneal
US6594446B2 (en) * 2000-12-04 2003-07-15 Vortek Industries Ltd. Heat-treating methods and systems
JP2002198322A (ja) * 2000-12-27 2002-07-12 Ushio Inc 熱処理方法及びその装置
KR100887813B1 (ko) 2001-02-12 2009-03-09 가부시키가이샤 히다치 고쿠사이 덴키 매우 신속한 열 처리 챔버 및 사용 방법
US6656749B1 (en) * 2001-12-13 2003-12-02 Advanced Micro Devices, Inc. In-situ monitoring during laser thermal annealing
CN100416243C (zh) 2001-12-26 2008-09-03 加拿大马特森技术有限公司 测量温度和热处理的方法及系统
US6849831B2 (en) * 2002-03-29 2005-02-01 Mattson Technology, Inc. Pulsed processing semiconductor heating methods using combinations of heating sources

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4504323A (en) * 1980-09-12 1985-03-12 Ushio Denki Kabushiki Kaisha Method for annealing semiconductors with a planar source composed of flash discharge lamps
US4649261A (en) * 1984-02-28 1987-03-10 Tamarack Scientific Co., Inc. Apparatus for heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4698486A (en) * 1984-02-28 1987-10-06 Tamarack Scientific Co., Inc. Method of heating semiconductor wafers in order to achieve annealing, silicide formation, reflow of glass passivation layers, etc.
US4615765A (en) * 1985-02-01 1986-10-07 General Electric Company Self-registered, thermal processing technique using a pulsed heat source
US5219786A (en) * 1991-06-12 1993-06-15 Sony Corporation Semiconductor layer annealing method using excimer laser

Also Published As

Publication number Publication date
US20050236395A1 (en) 2005-10-27
DE10392472T5 (de) 2005-02-17
DE10392472B4 (de) 2018-01-18
CN101392409A (zh) 2009-03-25
AU2003218303A1 (en) 2003-10-20
US8837923B2 (en) 2014-09-16
JP2005527972A (ja) 2005-09-15
WO2003085343A1 (en) 2003-10-16
US6849831B2 (en) 2005-02-01
US20030183612A1 (en) 2003-10-02
US8000587B2 (en) 2011-08-16
US6951996B2 (en) 2005-10-04
KR20050007451A (ko) 2005-01-18
US20110236844A1 (en) 2011-09-29
US7317870B2 (en) 2008-01-08
KR101078708B1 (ko) 2011-11-01
US20080069550A1 (en) 2008-03-20
TW200306630A (en) 2003-11-16
CN100444334C (zh) 2008-12-17
TWI228778B (en) 2005-03-01
CN1643323A (zh) 2005-07-20
US20040149715A1 (en) 2004-08-05

Similar Documents

Publication Publication Date Title
CN101392409B (zh) 使用加热源组合的脉冲式处理半导体加热方法
US8693857B2 (en) Irradiance pulse heat-treating methods and apparatus
US6051483A (en) Formation of ultra-shallow semiconductor junction using microwave annealing
US9805932B2 (en) Heat treatment method and heat treatment apparatus for heating substrate by irradiating substrate with light
US6963692B2 (en) Heat-treating methods and systems
Tian et al. Laser-enhanced diffusion of nitrogen and aluminum dopants in silicon carbide
Timans et al. Challenges for ultra-shallow junction formation technologies beyond the 90 nm node
Russo Rapid thermal processing—where has it been? Where is it going?
US11195732B2 (en) Low thermal budget annealing
Fiory Methods in microelectronics for rapid thermal annealing of implanted dopants
Timans A short history of pattern effects in thermal processing
Gill Rapid isothermal processing of silicon wafers
Lefrancois et al. Source/Drain Extension Formed by Impulse Anneal
Aderhold et al. Photon influence on P and B diffusion
Peuse Evolution of Commercial RTP Modules
Venturini et al. Experiment and Modelisation Results on Laser Thermal Processing for Ultra-Shallow Junction Formation: Influence of Laser Pulse Duration

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right

Effective date of registration: 20181129

Address after: California, USA

Co-patentee after: Beijing Yitang Semiconductor Technology Co.,Ltd.

Patentee after: MATTSON TECHNOLOGY, Inc.

Address before: California, USA

Patentee before: MATTSON TECHNOLOGY, Inc.

TR01 Transfer of patent right
CP01 Change in the name or title of a patent holder

Address after: California, USA

Patentee after: MATTSON TECHNOLOGY, Inc.

Patentee after: Beijing Yitang Semiconductor Technology Co.,Ltd.

Address before: California, USA

Patentee before: MATTSON TECHNOLOGY, Inc.

Patentee before: Beijing Yitang Semiconductor Technology Co.,Ltd.

CP01 Change in the name or title of a patent holder
CX01 Expiry of patent term

Granted publication date: 20101201

CX01 Expiry of patent term