CN101438391A - 用于介电薄膜的原子层沉积的化学品的光激发的方法和装置 - Google Patents

用于介电薄膜的原子层沉积的化学品的光激发的方法和装置 Download PDF

Info

Publication number
CN101438391A
CN101438391A CNA2007800162536A CN200780016253A CN101438391A CN 101438391 A CN101438391 A CN 101438391A CN A2007800162536 A CNA2007800162536 A CN A2007800162536A CN 200780016253 A CN200780016253 A CN 200780016253A CN 101438391 A CN101438391 A CN 101438391A
Authority
CN
China
Prior art keywords
base material
chamber
predecessor
gas
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2007800162536A
Other languages
English (en)
Other versions
CN101438391B (zh
Inventor
K·K·辛格
M·马哈贾尼
S·G·加那耶姆
J·约德伏斯基
B·麦克道尔
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/381,970 external-priority patent/US7798096B2/en
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101438391A publication Critical patent/CN101438391A/zh
Application granted granted Critical
Publication of CN101438391B publication Critical patent/CN101438391B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0209Pretreatment of the material to be coated by heating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0227Pretreatment of the material to be coated by cleaning or etching
    • C23C16/0245Pretreatment of the material to be coated by cleaning or etching by etching with a plasma
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/403Oxides of aluminium, magnesium or beryllium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4405Cleaning of reactor or parts inside the reactor by using reactive gases
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45504Laminar flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45502Flow conditions in reaction chamber
    • C23C16/45508Radial flow
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45574Nozzles for more than one gas
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/46Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for heating the substrate
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/482Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation using incoherent light, UV to IR, e.g. lamps
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources

Abstract

本发明大体来说提供一种沉积材料的方法,并且更明确地说,本发明的实施例有关于使用光激发技术来沉积阻障层、种层、导电材料、以及介电材料的化学气相沉积制程以及原子层沉积制程。本发明的实施例大体来说提供辅助制程方法及设备,其中可执行该辅助制程以提供均匀沉积的材料。

Description

用于介电薄膜的原子层沉积的化学品的光激发的方法和装置
技术领域
本发明的实施例大体来说提供一种沉积材料的方法,并且更明确地说,本发明的实施例有关于使用光激发技术来沉积阻障层、种层、导电材料、以及介电材料的化学气相沉积制程以及原子层沉积制程。
背景技术
一基材生产制程通常由两个相关且重要的因素来评估,也就是组件良率和持有成本(COO)。持有成本,虽然受许多因素影响,但大幅度受到每次处理的基材数量,即,生产制程的产量,以及制程材料的成本影响。已发现批处理对增加产能的尝试而言是大有可为的。但是,在增加的基材数量上提供均匀的制程条件是一个具挑战性的课题。
除此之外,已显示出等离子体辅助ALD或CVD制程、UV辅助(光辅助)ALD或CVD制程、以及直接拥有提供至处理区的离子辅助的ALD或CVD制程对于某些沉积制程是有利的。例如,UV和等离子体辅助制程已显示出可提供高k介电材料良好的薄膜品质,这在组件规格驱近次65纳米应用时更加需要。等离子体辅助ALD或CVD也显示出可降低热预算及制程时间要求,与类似的热辅助制程相比。
若在如上所述的ALD或CVD制程、UV辅助(光辅助)ALD或CVD制程、以及直接拥有提供至处理区的离子辅助的等离子体辅助ALD或CVD制程的该些制程加入其它辅助处理,则在增加的基材数量上提供均匀的制程条件是更具挑战性的。
等离子体辅助ALD制程使用远程等离子体产生,以尝试在批次腔室内将基材暴露在均匀的等离子体条件下。等离子体经导入通过一传送系统,例如批次设备的气体传送系统。但是,此制程可能受到等离子体在进入该处理区域之前即回复(relaxation)的困扰。
因此,需要一种在拥有UV辅助的ALD或CVD制程期间在批次设备内均匀且有效地沉积材料的方法。
发明内容
本发明大体来说提供一种沉积材料的方法,并且更明确地说,本发明的实施例有关于使用光激发技术来沉积阻障层、种层、导电材料、以及介电材料的化学气相沉积制程以及原子层沉积制程。本发明的实施例大体来说提供辅助制程方法及设备,其中可执行该辅助制程以提供均匀沉积的材料。
根据一实施例,提供在基材上形成金属氮化物的方法。该方法包含在一处理腔室内设置一基材,使该基材暴露在包含有一含金属前驱物以及一含氮前驱物的沉积气体中,在该处理腔室内使该沉积气体暴露在从UV来源衍生出的能量束中,以及在该基材上沉积一金属氮化物。在一实施例中,该基材在沉积该金属氮化物之前的前处理期间暴露在该能量束中,或者该基材在沉积该金属氮化物之后的后处理期间暴露在该能量束中。
根据另一实施例,提供一种在基材上形成金属氧化物的方法,该方法包含在一处理腔室内设置一基材,使该基材暴露在包含有一含金属前驱物以及一含氧前驱物的沉积气体中,使该沉积气体暴露在从该处理腔室内的UV来源衍生出的能量束中,以及在该基材上沉积一金属氧化物。在一实施例中,该基材在沉积该金属氧化物之前的前处理期间暴露在该能量束中。在一实施例中,该基材在沉积该金属氧化物之后的后处理期间暴露在该能量束中。
根据另一实施例,提供一种在基材上形成金属层的方法,该方法包含在一处理腔室内设置一基材,使该基材暴露在包含有一含金属前驱物以及一还原气体的沉积气体中,使该沉积气体暴露在从该处理腔室内的UV来源衍生出的能量束中,以及在该基材上沉积一金属层。在一实施例中,该基材在沉积该金属层之前的前处理期间暴露在该能量束中。在一实施例中,该基材在沉积该金属层之后的后处理期间暴露在该能量束中。
附图说明
因此可以详细了解上述本发明特征的方式,即对本发明更明确的描述,其已简短地在前面概述过,可以藉由参考实施例来得到,其中某些在附图中示出。但是需注意的是,附图仅示出本发明的一般实施例,因此不应被认定为对其范围的限制,因为本发明可允许其它等效实施例。
图1标出本发明的一例示批处理腔室的剖面侧视图,其包含用来激发该些制程气体物种的组件;
图2标出本发明的批处理腔室的另一实施例的剖面上视图,其包含用来激发该些制程气体物种的组件;
图3标出本发明的批处理腔室的一实施例的剖面侧视图,其包含用来在一处理区域内激发该些制程气体物种的组件;
图4标出本发明的批处理腔室的另一实施例的剖面侧视图,其包含用来在一处理区域内激发该些制程气体物种的组件;
图5标出本发明的一例示批处理腔室的剖面侧视图,其包含用来在一注射组件内激发该些制程气体物种的组件;
图6标出本发明的例示批处理腔室的另一实施例的剖面侧视图,其包含用来在一注射组件内激发该些制程气体物种的组件;
图7标出本发明的例示批处理腔室的又一实施例的剖面侧视图,其包含用来在一注射组件内激发该些制程气体物种的组件;
图8标出本发明的例示批处理腔室的另一实施例的剖面侧视图,其包含用来在一注射组件内激发该些制程气体物种的组件;
图9标出本发明的批处理腔室的注射组件的另一实施例的剖面侧视图,其包含用来在一注射组件内激发该些制程气体物种的组件;
图10如此间实施例所述的沉积阻障材料的制程的流程图;
图11如此间实施例所述的沉积介电材料的制程的流程图;
图12如此间实施例所述的沉积导电材料的制程的流程图;
图13如此间实施例所述的沉积种层的制程的流程图;以及
图14A-14D标出集成电路生产程序的简要剖面图。
主要组件符号说明:
100、200、300、400、500、600、700、800  批处理腔室
101、201 内腔室                103、203 排出气室
104、204 注入气室              113、213 外腔室
117、217 处理区域              120、220 晶舟
121、1400 基材
150、250、350、550、650、750、850  注入组件
152、252、852 面板              153、253 开口
154、174、354、374 密封件
155、255、555、755、855、955 垂直信道
156、256 进气信道         158、178 阀门
159 气体来源              160、260 分散器
170、270、370 排出组件    173、273 狭缝
175、275 垂直腔室         176、276 排出埠
179 真空帮浦
180、280、380、480、580、680、880、980 电源供应器
211 加热器区块            212 绝热体
261 导电筛                470、652 电极
553 杆状物                559、659、859 绝缘部件
651、851 主体             790 UV光源
792 灯源                  793 窗口
854、954 尖端             950 导电组件
1000、1100、1200、1300 制程
1010、1020、1030、1040、1050、1060、1110、1120、1130、1140、1150、1160、1210、1220、1230、1240、1250、1260、1310、1320、1330、1340、1350、1360 步骤
1402 介电层              1403 孔洞
1404 金属接触层          1406 阻障层
1408 层                  1410 成核层
1412 主体层
具体实施方式
本发明大体来说提供利用组件批处理半导体基材的设备及方法,以藉由所产生的离子来辅助该些制程。在本发明的一实施例中,提供拥有一激发组件的批处理腔室,其设置在该批处理腔室外罩内。可用于在此所述的一实施例的批处理腔室的范例可由加州圣塔克拉拉的应用材料公司取得的FLEXSTAR
Figure A200780016253D0007094541QIETU
系统。
一般而言,可产生制程气体的激发物种以如在此所述般辅助ALD或CVD制程。这些物种可利用等离子体辅助、UV辅助(光辅助)、离子辅助(例如,离子源产生的离子)、或其组合物来激发。该些物种在该腔室外罩中该处理区域内或邻近处激发,以避免该受激发态在该些离子抵达该批处理腔室的处理区域之前回复。
在此所提及的「基材」包含,但不限于,半导体晶圆、半导体工作件、及其它工作件,例如光学板(optical plank)、内存磁盘和诸如此类者。本发明的实施例可应用在任何其上藉由在此所述的方法沉积材料的一般的平坦工作件上。
应将「垂直方向」和「水平方向」理解为表示相对方向。因此,应将水平方向理解为与该垂直方向实质上垂直的方向,且反之亦然。但是,所述实施例和态样可以全体旋转,而使指称为垂直方向的维度定向为水平并且,同时,使指称为水平方向的维度定向为垂直,此亦落在本发明范围内。
可用于在此所述实施例的ALD或CVD制程的批处理腔室在2005年10月13号提出申请的共同让渡的标题为「拥有注入及排出气体用的相对气室的腔室」的美国专利申请案第11/249,555号中描述,其在此藉由引用的方式并入本文中,以提供对于腔室、加热系统、气体传送系统、以及排放系统更进一步的描述。
硬件
图1标出拥有内腔室101(例如,石英腔室),以及受控制的注入及排出的批处理腔室的一实施例。通常,该注入组件150和该排出组件170的温度是受到控制的,以避免制程气体凝结。图1批处理腔室100的剖面侧视图。该批处理腔室100一般含有界定出处理区域117的内腔室101,其经配置以容纳堆栈在一晶舟120内的一批基材121。在该处理区域内提供欲利用各种沉积制程处理的该些基材,例如ALD制程或CVD制程。一般来说,在该内腔室101周围设置一或多个加热器区块(未示出),并且经配置以加热提供在该处理区域117内的基材121。在一实施例中,该内腔室101可以是例如石英腔室。一外腔室113通常配置在该内腔室101周围。可在该外腔室113和任何加热器之间提供一或多个绝热体(未示出),以使该外腔室保持冷却。
该些加热器区块和该些绝热体的范例,其可用于图1所示实施例中,在图2的实施例中示出。图2标出一或多个加热器区块211,其设置在该内腔室201周围,并且经配置来加热提供在该处理区域内的该些基材。一外腔室213通常设置在该内腔室201周围。在一实施例中,该内腔室201可以是,例如,石英腔室。
图1标出该内腔室101,例如石英腔室,通常包含一腔室主体,其拥有位于底部的开口、形成在该腔室主体一侧上的注入气室(injector pocket)、形成在该腔室主体该注入气室相反侧上的排出气室(exhaust pocket)。该内腔室101拥有与该晶舟120者类似的圆筒形状。因此,可将该处理区域117保持得很小。缩小的处理区域减少每一批次的制程气体量,并缩短批处理期间的停留时间。
在一实施例中,该排出气室103和该注入气室104可利用铣在内腔室101的腔室主体上的狭缝焊接在适当位置上。根据一实施例,该注入气室和该排出气室是平坦的石英管,其一端焊接在该腔室主体上,并且一端是开放的。该注入气室104和该排出气室103经配置以容纳注入组件150和排出组件170。如在2005年10月13号提出申请的标题为「拥有注入及排出气体用的相对气室的腔室」的美国专利申请案第11/249,555号中更详细描述者,藉由如上引用而并入,注入组件150和排出组件170的温度通常可以是受到控制的。此外,一支撑该内(石英)腔室的支撑板更与设置在内腔室101底部开口下方的负载锁定室连接。该晶舟120可通过该负载锁定室加载及载出。该晶舟120可在该处理区域117和该负载锁定室之间通过该内腔室底部处的开口垂直移动。
可在此间所述处理期间用于批处理腔室的晶舟的范例在2005年8月31号提出申请的标题为「批次沉积设备及压缩晶舟」的美国专利申请案第11/216,969号中进一步描述,其在此藉由引用的方式并入本文中。加载及载出用于批处理的晶舟的方法及设备的范例在2005年9月30号提出申请的标题为「批次晶圆处理系统」的美国专利申请案第11/242,301号中进一步描述,其在此藉由引用的方式并入本文中。
该些加热器区块通常包围该内腔室101的外围,除了该注入气室104和该排出气室103附近之外。根据另一实施例(未示出),该些加热器区块211也可包围该注入气室104及/或该排出气室103。利用该些加热器区块通过该内腔室101加热该些基材121至适当温度。该些加热器经控制以均匀加热该些基材。在一实施例中,一批处理中的该些基材121上的若干点达到相同设定点温度的正负1℃。该批处理腔室100的配置改善批处理的温度均匀性。例如,一圆筒状的内腔室101使该些基材121的边缘与该内腔室之间的距离一样远。此外,该些加热器可有多个可控制区,以调整各区之间的温度差异。该些加热器区块可由设置在多个垂直区内的电阻加热器构成。在一范例中,该些加热器区块可以是陶瓷电阻加热器。
图1标出该注入气室104可焊接在该腔室主体的一侧上,界定出与该处理区域117交流的注入空间。当该晶舟位于处理位置时,该注入空间通常沿着该晶舟120的整个高度延伸。因此,配置在该注入气室内的注入组件150可提供水平的制程气体流至每一个基材121。
形成一凹槽以支持该注入气室104的侧壁。该注入组件隔热的,例如,藉由密封件154。密封件154,其可以是O型环或其它适合组件,也提供真空密封,以控制该内腔室101内的压力。可能希求该注入组件的热隔离,以独立控制该注入器的温度。
因为该处理区域117和该注入空间在制程期间通常保持在真空状态,故也可排空内腔室101和外腔室113之间的外部空间。将该外部空间保持在降低的压力下可降低压力在内腔室101上产生的应力。也可在腔室100的适当部件之间设置其它真空密封件,例如O型环,以控制该处理区域117的压力、施加在内腔室101上的真空/压力应力,以使通入的制程气体的气流仅朝向该处理区域流动。此外,可直接或通过其它排气室(未示出)将一或多个真空帮浦与该内腔室连接,以控制该内腔室101内的压力。
批处理腔室内各个零组件的温度可以是可独立控制的,特别是欲在该批处理腔室内执行沉积制程时。若该注入组件的温度太低,注入的气体可能会凝结而停留在该注入组件的表面上,其可产生微粒并影响该腔室制程。若该注入组件的温度高到足以引起气相分解及/或表面分解,其可「阻塞」该注入组件内的路径。一批处理腔室的注入组件经加热至比所注入气体的分解温度低并且比该气体的凝结温度高的温度。该注入组件的温度通常与该处理区域内的制程温度不同。在一范例中,可将基材加热至约600℃,而原子层沉积处理期间该注入组件的温度约为80℃。因此,该注入组件的温度是独立控制的。
图1标出该排出气室103可焊接在该腔室主体的一侧上,界定出与该处理区域117交流的排出空间。当该晶舟位于处理位置时,该排出空间通常覆盖该晶舟120的整个高度,而使配置在该排出气室内的排出组件可提供水平的制程气体流至每一个基材121。
形成一凹槽以支持该排出气室103的侧壁。该排出组件是隔热的,例如,藉由密封件174。密封件174,其可以是O型环或其它适合组件,也提供真空密封,以便控制该内腔室101内的压力。可能希求该排出组件的热隔离,以独立控制该排出器的温度。
因为该处理区域117和该排出空间在制程期间通常保持在真空状态,故也可排空内腔室101和外腔室113之间的外部空间。将该外部空间保持真空可降低压力在内腔室101上产生的应力。也可在腔室100的适当部件之间设置其它真空密封件,例如O型环,以控制该处理区域117的压力、施加在内腔室101上的真空/压力应力,以使通入的制程气体的气流仅朝向该处理区域流动。此外,可直接或通过其它排气室(未示出)将一或多个真空帮浦与该内腔室连接,以控制该内腔室101内的压力。
批处理腔室内各个零组件的温度可独立控制,特别是欲在该批处理腔室内执行沉积制程时。一方面,希望将该排出组件内的温度保持得比该处理腔室的温度低,而使沉积反应不会在该排出组件内发生。另一方面,希望加热排出组件,而使通过该排出组件的制程气体不会凝结而停留在该表面上,造成微粒污染。若真的造成反应副产物在该排出组建件的沉积,则该排出组件的高温可确保该沉积拥有良好的附着力。因此,该排出组件可独立于该处理区域加热。
图1标出另外提供一气体来源159。该气体来源159通过阀门158提供制程气体,例如前驱物气体或沉积气体、处理气体、载气、以及清洁气体,并且通过进气信道156进入该注入组件的垂直信道155。该垂直信道155也可称之为气室(plenum)155或空腔155。该制程气体经由该注入组件的开口153进入该处理区域117。该平板及开口形成一面板152,以使该气体在该晶舟120内的基材121上平均分布。
一般来说,载气及清洁气体,其可用来做为制程气体,包含氮气、氢气、氩气、氦气、其组合物、及诸如此类者。在预处理阶段期间,可用氢气、氨气、二硼烷(B2H6)、二硅烯(Si2H4)、二硅烷(Si2H6)、水、氟化氢、氯化氢、氧气、臭氧、过氧化氢或其它已知气体来做为制程气体。在一实施例中,沉积气体或前驱物气体可含有铪前驱物、硅前驱物或其组合物。
例示铪前驱物包含含有配位基的铪化合物,例如卤化物、烷胺基(alkylamino)、环戊二烯基(cyclopentadienyl)、烷基、烷氧基、其衍生物或其组合物。可用来沉积含铪材料的铪前驱物包含四氯化铪、四(二乙胺基)铪((Et2N)4Hf)、四(二甲胺基)铪((Me2N)4Hf)、四(甲基乙基胺基)铪((MeEtN)4Hf)、二(第三丁基环戊二烯)二氯化铪((tBuC5H4)2HfCl2)、二(环戊二烯)二氯化铪((C5H5)2HfCl2)、二(乙基环戊二烯)二氯化铪(EtC5H4)2HfCl2、二(五甲基环戊二烯)二氯化铪((Me5C5)2HfCl2)、(五甲基环戊二烯)三氯化铪((Me5C5)HfCl3)、二(异丙基环戊二烯)二氯化铪((iPrC5H4)2HfCl2)、(异丙基环戊二烯)三氯化铪((iPrC5H4)HfCl3)、二(第三丁基环戊二烯)二甲基铪((tBuC5H4)2HfMe2)、四(乙醯丙酮)铪((acac)4Hf)、四(六氟戊二酮)铪((hfac)4Hf)、四(三氟戊二酮)铪((tfac)4Hf)、四(四甲基庚二酮)铪((thd)4Hf)、四硝酸铪((NO3)4Hf)、四(第三丁氧基)铪((tBuO)4Hf)、四(异丙氧基)铪((iPrO)4Hf)、四(乙氧基)铪((EtO)4Hf)、四(甲氧基)铪((MeO)4Hf)、或其衍生物。例示硅前驱物包含硅烷、二硅烷、四(二甲胺基)硅烷(TDMAS)、三(二甲胺基)硅烷(tris-DMAS)、三乙醇胺(TEOA)、二氯硅烷(DCS)、六氯二硅烷(Si2Cl6)、二(第三丁胺)硅烷(BTBAS)或其衍生物。
在此所述的气相沉积制程期间使用的其它金属前驱物包含四氯化锆、双(环戊二烯)锆(Cp2Zr)、四(二甲胺基)锆((Me2N)4Zr)、四(二乙胺基)锆((Et2N)4Zr)、五氟化钽、五氯化钽、五(第三丁氧基)钽((tBuO)5Ta)、五(二甲胺基)钽((Me2N)5Ta)、五(二乙胺基)钽((Et2N)5Ta)、三(二甲胺基)钽(第三丁胺基)((Me2N)3Ta(NtBu))、三(二乙胺基)钽(第三丁胺基)((Et2N)3Ta(NtBu))、四氯化钛、四碘化钛、四(异丙氧基)钛((iPrO)4Ti)、四(二甲胺基)钛((Me2N)4Ti)、四(二乙胺基)钛((Et2N)4Ti)、三氯化铝、三甲基铝、二甲基铝烷(Me2AlH)、((AMD)3La)、三((三甲基硅基)(第三丁基)胺基)镧(((Me3Si)(tBu)N)3La)、三(二(三甲基硅基)胺基)镧(((Me3Si)2N)3La)、三(二第三丁胺基)镧((tBu2N)3La)、三(二异丙胺基)镧((iPr2N)3La)、其衍生物或其组合物。
虽然图1仅示出一个气体来源,但熟知技艺者会了解可连接多个气体来源,例如,一第一前驱物气体来源、一第二前驱物气体来源、以及一载气及清洁气体的气体来源,至该批处理腔室100。来自不同气体的气流可根据制程需要开启或关闭。因此,可使用3或4向阀来提供该些不同气体至该进气信道156。或者,两个、三个、或多个进气信道156可水平铣在该注入组件150上,并且可提供数个垂直信道155,以通入不同制程气体至该处理区域内。
做为一范例,注入组件250拥有多于一个进气信道,例如,三个进气信道256,如图2所示般。在一实施例中,三个进气信道256的每一个皆经配置,以彼此独立地供应制程气体至该处理区域217。每一个进气信道256皆与一垂直信道255连接。该些垂直信道255也可称为空腔255或气室255。该些垂直信道255更与多个平均分布的水平孔洞连接,并在该注入组件250中央部分上形成一垂直面板。
在内腔室101与注入组件150的相反端上,在腔室101内提供一排出气室103。排出气室容纳排出组件170。一排出埠176水平形成在该排出组件170上接近中央部分处。该排出埠176开放至形成在该中央部分内的垂直腔室175。该垂直腔室175更与多个水平狭缝173连接,其开放至该处理区域117。当通过阀门178以真空帮浦179排空该处理区域117时,制程气体首先从该处理区域117经过该多个水平狭缝173流至该垂直腔室175。该些制程气体然后通过该排出端口176流入排出系统。在一态样中,该些水平狭缝173的尺寸可改变,取决于一特定水平狭缝173和该排出埠176之间的距离,以提供该晶舟120从上至下的均匀排出。
制程气体,例如前驱物气体、沉积气体、处理气体、清洁或载气,如下面更详细描述般,藉由注入组件及排出组件传送进出处理区域117。预期每一个基材121上有均匀的气流,并且该晶舟120内垂直排列的所有基材上皆有均匀气流。但是,晶圆边缘处气流的不规则可导致不均匀。这些不规则可藉由在该注入器和该晶舟之间提供分散器160来避免。该分散器160可避免气流直接冲撞基材边缘。分散器160可拥有V形形状,并且可引导来自该进气口的气体切线地沿着该些基材流动。
可提供各种形状及位置的分散器。一般来说,可在该注入组件的面板和该晶舟之间提供分散器。因此,该分散器可以整合在该基材组件内及/或可设置在该内腔室101的注入气室内。可用于本应用的腔室及方法中的分散器的各种实施例在与本案同日提出申请的标题为「拥有分散板和注入组件的批处理腔室」的美国专利申请案(美国专利申请案第11/381,966号)中更详细描述,其在此藉由引用的方式并入本文中。
拥有改善的均匀性的气流携带该些制程气体的离子化物种,例如前驱物气体或载气或清洁气体。气流的均匀性也改善离子化物种的均匀性,其用来提供等离子体辅助、UV辅助、或离子辅助制程。一般来说,利用等离子体、UV、离子产生的制程辅助的特征可在于激发所通入的气体或离子化所通入的气体。提供制程气流至该处理区域117的零组件经配置以形成在每一个基材上以及在该晶舟内的该些基材上均匀沉积的材料。
以前已利用远程等离子体源执行过等离子体辅助批处理。但是,远程等离子体在相对于该处理区域较远的距离处产生。因此,等离子体内激发物种的数量在等离子体进入该处理区域时已经减少许多。远程等离子体源在等离子体进入该处理区域前造成等离子体的回复。
本发明大体来说提供在一批次设备内处理半导体基材的设备及方法,其中,例如基材的等离子体辅助制程的等离子体在该处理区域内或接近或毗邻该处理区域处提供。应将接近或毗邻该处理区域理解为使等离子体产生直接在该处理区域邻近处发生,或至少在该内腔室、该注入气室、或该注入组件中。
图1所示的实施例包含一电源供应器180以产生等离子体,其与该分散器160和该注入组件150的面板152连接。等离子体产生在该分散器160和该注入组件150的面板152之间。该注入器面板用来做为阳极,而该分散器用来做为阴极,以在其间产生等离子体。供应来产生等离子体的功率可根据预期应用调整,并且可取决于离子化通入该处理区域的制程气体内的特定物种所需的能量。因此,等离子体功率可根据当时执行的制程步骤来改变。例如,就等离子体辅助ALD制程而言,可在第一前驱物气流期间、清洁或泵吸以除去该第一前驱物期间、第二前驱物气流期间和清洁或泵吸以除去该第二前驱物期间施加不同功率。或者,可在相似的等离子体功率或无等离子体辅助下执行某些制程步骤。例如,可以相同功率或无功率执行清洁步骤,而在提供前驱物至该处理区域时,施加分别适用于该第一及第二前驱物的等离子体功率。
如前面已提及者,阻障密封件154设置在该注入气室104和该注入组件150之间,并且阻障密封件174设置在该排出气室103和该排出组件170之间。藉此可避免制程化学品进入该批处理腔室内任何不预期区域。此外,可利用密封件154、174提供石英腔室的真空密封。或者,该些密封件,其可以O形环或诸如此类的形式提供,可使该腔室内的不同零组件彼此电气隔离。这在电源供应器180提供的功率增加时更加适切。施加至电极,例如该注入组件,的较高电压可能需要该注入组件有强化的电气隔离。
在图1所示实施例中,可将等离子体限制在该注入组件150的面板和该分散器160之间。藉此可避免基材直接暴露在等离子体中。这可能是所欲的,以避免等离子体伤害该些基材的表面。据此,该分散器提供该些基材与该等离子体隔离的屏障。
在参考图1所述的实施例中,等离子体以水平方向产生。该等离子体沿着该分散器160和该注入组件150的垂直方向延伸。因此,水平的等离子体沿着该处理区域117的垂直方向延伸。该晶舟120内的该些基材沿着整个基材堆栈暴露在该等离子体下。先前描述的均匀气流提供该等离子体的离子化物种在该些晶圆上的均匀分布。
图2标出拥有内腔室201,以及受控制的注入及排出的批处理腔室的进一步实施例。通常,该注入组件250和该排出组件270的温度是受到控制的,以避免制程气体凝结。图2批处理腔室200的剖面上视图。该批处理腔室200一般含有界定出处理区域217的内腔室201,其经配置以容纳堆栈在一晶舟220内的一批基材。在该处理区域内提供欲利用各种沉积制程处理的该些基材,例如ALD制程或CVD制程。一般来说,一或多个加热器区块211,其设置在该内腔室201周围,并且经配置以加热提供在该处理区域内的基材。一外腔室213通常配置在该内腔室201周围。在图2中,绝热体212经提供在该外腔室213和任何加热器之间,以使该外腔室保持冷却。
该内腔室201,例如石英腔室,通常包含一腔室主体,其拥有位于底部的开口、形成在该腔室主体一侧上的注入气室、形成在该腔室主体该注入气室相反侧上的排出气室。该内腔室201拥有与该晶舟220者类似的圆筒形状。因此,可将该处理区域217保持得很小。缩小的处理区域减少每一批次的制程气体量,并缩短批处理期间的停留时间。
该排出气室203和该注入气室204可利用铣在腔室主体上的狭缝焊接在适当位置上。根据另一实施例,可以连接该处理区域和该垂直腔室275的垂直排列管的形式提供该排出气室。根据一实施例,该注入气室204和该排出气室203是平坦的石英管,其一端焊接在该腔室主体上,并且一端是开放的。该注入气室204和该排出气室203经配置以容纳注入组件250和排出组件270。注入组件250和排出组件270的温度通常是受到控制的。
图2所示的实施例包含一电源供应器280以产生等离子体,其与该分散器260和该注入组件250的面板252连接。等离子体产生在该分散器260和该注入组件的面板之间。该注入器面板用来做为阳极,而该分散器用来做为阴极,以在其间产生等离子体。供应来产生等离子体的功率可根据预期应用调整,并且可取决于离子化通入该处理区域的制程气体内的特定物种所需的能量。因此,等离子体功率可根据当时执行的制程步骤来改变。例如,就等离子体辅助ALD制程而言,可在第一前驱物气流期间、清洁或泵吸以除去该第一前驱物期间、第二前驱物气流期间和清洁或泵吸以除去该第二前驱物期间施加不同功率。
或者,可在相似的等离子体功率或无等离子体辅助下执行某些制程步骤。例如,可以相同功率或无功率执行清洁步骤,而在注入个别前驱物气体期间施加分别适用于该第一及第二前驱物的等离子体功率。
在一实施例中,如图2所示者,可将等离子体限制在该注入组件250的面板和该分散器260之间。藉此可避免基材直接暴露在等离子体中。这可能是所欲的,以避免等离子体伤害该些基材的表面。据此,该分散器提供该些基材与该等离子体隔离的屏障。
在参考图2所述的实施例中,产生水平方向的等离子体。该等离子体沿着该分散器和该注入组件的垂直方向延伸。因此,水平的等离子体沿着该处理区域217的垂直方向延伸。该晶舟220内的该些基材沿着整个基材堆栈暴露在该等离子体下。先前描述的均匀气流提供该等离子体的离子化物种在该些晶圆上的均匀分布。
该批处理腔室200包含一外腔室213,藉由绝热体212与该外腔室隔离的加热器区块211。一内腔室201包含注入气室204和排出气室203,或环绕位于该处理区域内的晶舟220的排出管。该注入组件250拥有三个进气信道256。可通过该些信道提供制程气体至垂直信道255,并通过注入组件250的面板内的开口253进入该处理位置。该排出组件270包含排出端口276、垂直腔室275及水平狭缝273。
此外,示出一V形分散器260。与图1类似,一电源供应器通过该注入组件与该注入器面板和该分散器连结,以在该注入器面板和该分散器之间产生等离子体。图2更示出一导电筛261,其进一步将等离子体限制在该分散器和该注入器面板之间的狭缝中。另外可将该分散器制作为可穿透的,以限制该等离子体并加强保护该些基材不受能量微粒伤害。可穿透的分散器可改善气流在该晶圆上的均匀性。在可穿透分散器的情况中,可以筛网形式提供该分散器。根据另一实施例(未示出),可将筛网261和可穿透筛网分散器260提供为一个单元,以提供阴极并且将该等离子体限制在此阴极和作用为阳极的注入组件面板之间。等离子体的限制-若要求的话-可藉由最小化或省略该注入组件和该筛网或分散器之间的缝隙来强化。但是,应了解可在形成阳极和阴极的事件相邻组件中提供绝缘,以利等离子体点火及维护。
该导电及可穿透筛网、该分散器及该注入组件的面板沿着基材在晶舟内彼此堆栈的方向延伸。在此间所述实施例中,此方向是垂直方向。该些基材是垂直堆栈的。当等离子体毗邻处理区域沿着该处理区域的整个高度产生时,一方面,可能在该处理区域内提供均匀的等离子体辅助制程条件。另一方面,因为等离子体毗邻该处理区域产生,几乎不会产生任何激发的回复,直到该些激发物种与该处理区域内的基材接触为止。
图3标出批处理腔室300的另一实施例,在其中可执行等离子体辅助ALD制程、等离子体辅助CVD制程或其它等离子体辅助制程。在图3中,与图1实施例相同的组件以相同的组件符号表示。或者,这些组件可与图2所示实施例中者相同。为了简洁,省略这些组件和相关目的或用法的重复描述。
一电源供应器380与该注入组件350和该排出组件370连结,以在该注入器面板和相对的排出埠之间产生等离子体。
等离子体水平形成,其与该些基材的表面平行。等离子体沿着该内腔室101的处理区域117延伸。该排出端口可用来做为阴极,而该注入组件面板可用来做为阳极。鉴于阳极和阴极之间增加的距离,该电源供应器供应至该阴极和该阳极之间的电压必须增加,以提供相同的作用在该制程气体物种上的电场。由于增加的电位差,带电零组件可能需要与周围零组件之间有更进一步的电气隔离。在图3中,这是由该注入组件350和该内腔室101的注入气室之间加大的缝隙来表示。此外,该排出组件370的缝隙加大。密封件354和374的尺寸也增加,以表示进一步的电气隔离。虽然,在石英腔室的情况中,该注入组件面板和该排出组件端口的绝缘可部分由该非导电的内腔室提供,但足够高而可在该处理区域上产生等离子体的电位可能需要该批处理腔室300内的零组件的额外的绝缘。
图4标出提供执行等离子体辅助制程的选择的批处理腔室400的进一步实施例。在图4中,以相同的组件符号表示与图1实施例或其它先前实施例相同的组件。或者,这些组件可与图2所示实施例中者相同。为了简洁,省略这些组件和相关目的或用法的重复描述。
在图4中,与图3的腔室300比较,一电极470设置在该内腔室101内。可以配置在该腔室腔体内毗邻该排出组件的杆状物形式提供该电极470或该些电极470。电源供应器480与电极470和该注入组件350连结。该注入组件的面板作用为一电极。在图4所示实施例中,等离子体水平产生,与该晶舟内的基材的基材表面平行。所产生的等离子体在该处理区域上延伸,并且接触该些基材。
图4标出三个杆状物470,做为等离子体产生的电极。或者,也可用一或两个垂直的杆状物来做为电极。此外,也可用四或多个杆状物来做为电极。应调整电极的数目及配置,以在该些基材上提供均匀的等离子体,并且不干扰该些制程气体的气流的均匀性。
根据另一实施例(未示出),该些杆状物也可设置在该注入组件面板和该晶舟之间。藉此,可发生能够与图1比拟的等离子体产生。该等离子体在内腔室101内,例如一石英腔室,毗邻该晶舟产生。该等离子体在该注入组件垂直延伸的面板和垂直延伸的杆状物组之间水平产生。藉此,可减少该些基材直接暴露在该等离子体中。但是,该制程气体物种,其已经由该等离子体激发,在与该基材表面接触之前没有太多时间回复。做为另一种选择(未示出),电极也可设置在该内腔室101中的其它位置。
图5和6标出进一步实施例。以相同的组件符号表示与图1实施例或其它先前实施例相同的组件。或者,这些组件可与图2所示实施例中者相同。为了简洁,省略这些组件和相关目的或用法的重复描述。
就图5和6的实施例而言,等离子体可在该注入组件内产生。在一实施例中,等离子体可在该注入组件内部的垂直信道中产生。此外,该垂直信道可表示为气室或空腔。
图5标出一批处理腔室500。该注入组件550包含利用绝缘部件559彼此隔离的垂直杆状物553。或者,该注入器550可由绝缘材料形成。一等离子体电源供应器580与该上杆状物553和该下杆状物553连接。根据一实施例,该上杆状物可以是阳极,并且该下杆状物可以是阴极,而在另一实施例中,该上杆状物可以是阴极,而该下杆状物则是阳极。该些杆状物形成电极以产生等离子体。所产生的等离子体被限制在垂直延伸的信道555中。等离子体垂直产生,并且该制程气体的激发物种通过该注入组件面板内的开口水平进入该处理区域。
根据另一实施例,该注入器的面板可由导电材料构成,以强化对于等离子体在该垂直信道内的限制。关于图5所述的实施例可选择性地包含一分散器160,如图5所示,并且关于图1和2更详细描述。
图6所示的实施例也包含等离子体产生组件,其在该注入组件650的垂直信道内提供等离子体。该等离子体在该垂直信道的侧壁之间产生。一侧壁是包含该些开口153的面板152。另一侧壁是提供在注入组件650主体651内的电极652。电极652形成该垂直信道相对于该面板152的侧壁。与该电源供应器680连接的该两电极利用绝缘组件659隔离。
根据另一实施例(未示出),该注入组件的主体651可构成该些电极之一,以产生等离子体。该注入器由导电材料形成,并且不需要独立的电极652。根据此实施例,形成该相对电极的面板也会利用绝缘组件659与该主体651连接。关于图6所述的实施例可选择性地包含一分散器160,如图5所示,并且关于图1和2更详细描述。
在此关于图1至6所述的实施例示出可在等离子体辅助制程期间,例如ALD或CVD制程,使用的批处理腔室。其中,该等离子体辅助在该腔室内并且在该处理区域内或邻近处提供该些制程气体的离子化物种。等离子体在该处理区域内或在该处理区域邻近处的存在减少激发态的回复。因为等离子体辅助提供该些制程气体的离子化物种至该些基材表面,故可将等离子体辅助制程归类为一种基于该些制程气体的激发物种的制程。
下面将描述拥有激发物种的辅助的另一种类型的制程及个别的腔室实施例。该些制程,例如ALD制程或CVD制程,由UV辐射辅助。可用UV光来激发及/或离子化该些制程气体的物种或,例如,以维持臭氧浓度在预期水准。从制程气体物种的激发的观点而言,即电子被激发至较高的激发能阶,也可将批处理期间的UV辅助归类为受到激发物种辅助的制程。
在以UV光照射该些制程气体时,该些制程气体物种被激发至高于基态。该激发取决于UV光的波长。波长可在126纳米至400纳米范围内。该些激发物种藉由初始或加强该些前驱物或反应物的表面反应来辅助ALD或CVD制程。该加强可致使暴露时间缩短,因此而增加产量。另外,薄膜品质会因为更完整的前驱物反应而改善。
就UV辅助的薄膜成长而言,激发物种的回复时间可在制程气体抵达该处理区域时,一远程激发的制程气体即已回复的程度。例如,若在远程激发,臭氧浓度在其抵达该沉积腔室的处理区域时可能已降低。可藉由活化该腔室内的臭氧来维持较高的臭氧浓度。
图7标出拥有UV辅助的批处理腔室700的一实施例。在图7中,以相同的组件符号表示与图1实施例或其它先前实施例相同的组件。或者,这些组件可与图2所示实施例中者相同。为了简洁,省略这些组件和相关目的或用法的重复描述。
图7标出在该注入组件750的垂直信道755内垂直照射UV光的一实施例。在该垂直信道755上端提供UV光源790,并且在该垂直信道下端提供UV光源。每一个光源皆包含一灯源792以及面向该垂直信道的窗口793。该窗口材料可取决于UV波长来选择。例如,一石英窗口可用在高至约180纳米至220纳米的波长。蓝宝石、氟化镁或氟化钙窗口可在较短波长时用来做为窗口793。
该UV光沿着该垂直信道755垂直延伸,并在进入该处理区域之前在该注入组件内激发该些制程气体物种。在图7所示实施例中,可使用UV灯,诸如填充汞或氙的氘灯或电弧灯。在该垂直信道内激发的制程气体物种利用该注入组件、该排出组件以及选择性地该分散器产生的均匀气流均匀地提供,该气流关于图1更详细地描述。
图8标出拥有注入组件850的批处理腔室800的另一实施例。该实施例可用来进行UV辅助制程。在图8中,以相同的组件符号表示与图1实施例或其它先前实施例相同的组件。或者,这些组件可与图2所示实施例中者相同。为了简洁,省略这些组件和相关目的或用法的重复描述。
图8标出该注入组件水平地照射UV光通过该面板的开口153,并且与堆栈在晶舟内的基材的基材表面平行。该UV光藉由在垂直信道855内以惰性气体击出辉光放电在该垂直信道855内产生。该面板的注入面852经配置为阳极。该注入器的主体851利用绝缘体859与该阳极电气隔离。该垂直信道855作用为一中空阴极。
如先前关于图2所描述般,该注入组件可有多个垂直信道。可用单一个垂直信道或多个垂直信道来做为中空阴极,以在该腔室内提供UV光。
当该注入器内的电场可能太小而无法击出辉光放电时,可在该注入器内装设尖端854。藉此,该些尖端附近的电场强度增加,而可以较小的施加电压来点燃辉光放电。根据另一实施例(未示出),可省略该些尖端854,若电源供应器880提供足够的功率来在该垂直信道内击出该辉光放电。
图9标出注入组件的另一实施例。与图8所示实施例相比,在该垂直信道955的后端提供一独立的导电组件950做为阴极。该阴极950经提供有多个小空腔。这些空腔呈圆柱形状,拥有1毫米至12毫米范围内的微小直径,并且提供为附加的中空阴极数组。藉此,提供波长对应于该垂直信道955及/或该阴极材料内的气体的UV光的中空阴极效应可倍增。因此,该垂直信道955内以及处理基材的处理区域内的光子密度可增加。中空阴极和该些面板孔洞之间的对准确保最佳化进入该处理区域的传输。
可在该些中空阴极内提供尖端954。该些尖端可用来增加电场强度,因为该尖端的小弯曲度,并改善在较低电压水准时放电的辉光的击出。
根据另一实施例(未示出),也可在该分散器和该注入器表面,其该面板的一侧,之间产生辉光放电,藉此,该分散器经提供做为阳极,而该注入器表面是阴极。
就辉光放电包含在该注入器的一空间内以产生UV的所有实施例而言,可使用压差泵吸(未示出)。在某些例子中,该些基材处的制程压力可比用来产生UV的辉光放电所需的压力低。在此情况中,辉光放电所使用的气体可从该处理腔室转移出。
就辉光放电包含在该注入器的一空间内以产生UV的所有实施例而言,可在该注入器面板的反应器侧固接上一可穿透UV薄膜(未示出)。在某些例子中,该些基材处的制程压力可比用来产生UV的辉光放电所需的压力高。在此情况中,来自该制程的气体利用一阻隔物与辉光放电用的气体隔离。因为该阻隔物可穿透UV,故UV被传送至该些基材。该阻隔物是薄的,以增强UV传输,但足够厚以支撑高至约10托耳的制程压力。
一般来说,就UV辅助批处理腔室而言,UV辐射的波长,也就是光子能量,可基于在该中空阴极内使用的气体来选择。典型的惰性气体和相应的基于该些激发态的再结合的放射光子能量是氦气(例如,21.22eV、40.82eV、40.38eV)、氖企(例如,16.85eV、16.67eV、26.9eV)或氩气(例如,11.83eV、11.63eV、13.48eV、13.30eV)。也可应用来自氘灯,或其它UV来源(例如汞灯),以及较柔和的UV辐射的宽谱UV。
就UV辅助批处理腔室而言,由碳化硅(SiC)形成的承载该些基材用的晶座可适于反射UV光。该晶座轮廓及粗糙度可适于将UV光反射聚焦在该些基材表面上。藉此,利用UV辐射激发制程气体物种的位置甚至可更接近该些基材表面。该内腔室101的圆筒状构形有助于UV反射率相对于垂直入射增强的掠射角(glancing angle)。利用在该注入器垂直信道内的辉光放电,可在拥有适当的辉光放电条件的任何制程步骤期间提供UV辐射。如上所提及者,该注入器空间和该处理区域内的条件可不同,若提供气体转移、阻隔物或其它措施。藉此,可在该腔室部件内提供适于辉光放电的条件。适当的制程条件可包含注入希望用来进行辉光放电的气体。就来自氩气的11.63eV和11.83eV的光子而言,辉光放电的最佳压力是0.45托耳,并且碳化硅的反射率在垂直入射以及π/4时是0.4。
就需要UV辅助的CVD制程而言,预期的工作周期是连续的。就ALD制程而言,有可能因为薄膜特性及/或产能而需要UV辅助的若干情况。在可能需要光子能量来起始前驱物分子和表面键结位置之间的反应时,一或所有的前驱物暴露可能需要UV辅助。ALD循环尾声时的循环清洁步骤期间可能需要UV辅助,以完成例如该表面反应,而使反应副产物的并入最小化。
如下实施例会参考图8及9描述。如上所述,可利用垂直延伸的阳极和垂直延伸的中空阴极来提供UV辅助制程,其中阳极和阴极经设置而使阳极更接近容纳该晶圆堆栈的晶舟。
上面关于等离子体辅助制程和中空阴极效应所述的实施例也可用于离子辅助ALD或CVD批处理腔室。因此,根据一实施例,一分散器会是阴极,而该注入器表面会是阳极。根据另一实施例,该垂直信道的注入器表面侧(该垂直信道的面板侧)会是阴极,而朝向该注入组件主体设置的注入器的相反侧会是阳极。一般来说,电源供应器980以一极性与先前实施例的个别零组件连接,而使离子可被提供至该处理区域。鉴于制程气体物种的离子化,批处理期间的离子产生辅助也可归类为一种受到激发物种辅助的制程。此外,也可调整该分散器以提供中空阴极效应。
然后将辉光放电所产生的离子加速朝向该处理区域。离子和中子可穿过该阴极,通过提供在其中的开口。因此,离子和中子进入该处理区域并且可利用该些离子的能量或动量来辅助制程。该些离子和中子的动能可以是约600eV。选择性地,可用减速栅极来降低离子能量。可以拥有施加至其上的电位的筛网的形式来提供减速栅极。该电位使该些离子减速。减速的离子可通过该栅极中的开口。因此,装设在该注入器和该晶舟之间的带电栅极可降低能量及动量至预期水准。
就与等离子体辅助制程、UV辅助制程或离子辅助制程相关的实施例而言,可将该注入器和该排出的组件形成的电极接地,并偏压另一个电极。该注入器或排出组件的组件可以是阳极或阴极,以产生等离子体、产生UV或产生离子。一般来说,应了解可将阳极或阴极的任一者接地。
沉积材料的制程
图10-13标出以UV辅助的光激发沉积材料的制程1000、1100、1200、和1300的流程图,如此间实施例所述者。可以处理腔室600执行制程1000、1100、1200、和1300,例如此间范例、或其它适合腔室和设备所描述者。此类适合腔室之一是在2005年6月21号提出申请的标题为「利用光激发处理基材及薄膜的方法」的共案审查中的美国专利申请案第11/157,567号中描述,其在此藉由引用至不与本说明书相违背的程度下并入本文中。在此所述制程可用来沉积阻障材料(图10),例如钽和氮化钽,介电材料(图11),例如二氧化钌、二氧化铱、三氧化二铱、二氧化锆、二氧化铪、三氧化二铝、五氧化二钽、二氧化钛、二氧化铑、氧化钯、氧化锇、氧化铂、氧化钒、五氧化二钒、三氧化二钒、十一氧化六钒、钛酸锶钡(BST)、锆钛酸铅(PZT)、钛酸钡锶(SBT)、稀土氧化物(Ln2O3)、及其硅酸盐,导电材料(图12),例如氮化钨、氮化钛、和铜,以及种层材料(图13),例如钌、铱、钨、钽、氮化钽、铑、以及铂。可利用在此所述前驱物和制程沉积的其它材料包含氮化物,例如氮化硼、氮化铪、氮化铝、以及氮化锆,和金属硼化物,例如硼化镁、硼化钒、硼化铪、硼化钛、硼化钨、以及硼化钽。该些材料可在基材上沉积为层,以形成例如集成电路的电子特征。
阻障材料
图10标出沉积阻障材料的制程1000的流程图,如此间实施例所述者。该基材可设置在处理腔室内(步骤1010),选择性地暴露在预处理制程(步骤1020)中,并且加热至一预定温度(步骤1030)。随后,可在该基材上沉积一阻障材料(步骤1040)。该基材可选择性地暴露在一后沉积处理制程中(步骤1050),并且该处理腔室可选择性地暴露在一腔室清洁制程中(步骤1060)。
在步骤1010期间,可将该基材设置在一处理腔室内。该处理腔室可以是单晶圆腔室或含有多个晶圆或基材(例如,25、50、100个或更多)的批次腔室。该基材可保持在一固定位置上,但较佳地,藉由一支撑台座旋转。选择性地,可在制程1000的一或多个步骤期间将基材作索引。
可在制程1000期间使用处理腔室600,在图7中示出,以如此间范例所述般在基材121上沉积阻障材料。在一范例中,可在处理腔室600内的基材支撑台座上以高至约120rpm(每分钟转数)的速率旋转基材121。或者,可将基材121设置在基材支撑台座上,并且在该沉积制程期间不加以旋转。
在一实施例中,在步骤1020期间,该基材121选择性地暴露在至少一种预处理制程中。该基材表面可能含有原氧化物,其在预处理期间被除去。可利用一直接光激发系统所产生的能量束来预处理该基材,以在步骤1040沉积阻障材料之前从该基材表面上除去该些原氧化物。可在该预处理制程期间使一制程气体接触该基材。该制程气体可含有氩气、氮气、氦气、氢气、形成气体、或其组合物。该预处理制程可持续一段约2分钟至约10分钟范围内的时间,以促进光激发制程期间原氧化物的移除。此外,可在步骤1020期间将该基材121加热至约100℃至约800℃范围内的温度,较佳地,约200℃至约600℃,并且更佳地,约300℃至约500℃,以促进制程1000期间原氧化物的移除。
范例提供在步骤1020期间,基材121可暴露在灯源792所产生的能量束中。灯源792可提供拥有约2eV至约10eV范围内的光子能量的能量束,例如约3.0eV至约9.84eV。在另一范例中,灯源792提供波长在约123纳米至约500纳米范围内的UV辐射能量束。可能量化灯源792一段足以除去氧化物的时间。该能量化时间基于窗口793的尺寸及形状和该基材旋转速度来选择。在一实施例中,灯源792经能量化一段约2分钟至约10分钟范围内的时间,以促进光激发制程期间原氧化物的移除。在一范例中,可在步骤1020期间将基材121加热至约100℃至约800℃范围内的温度。在另一范例中,可在步骤1020期间将该基材121加热至约300℃至约500℃范围内的温度,而灯源792可提供拥有约2eV至约10eV范围内的光子能量的能量束一段约2分钟至约5分钟范围内的时间,以促进原氧化物的移除。在一范例中,该能量束拥有约3.2eV至约4.5eV范围内的光子能量约3分钟。
在另一实施例中,可在步骤1020的预处理制程期间,藉由含有能量传输气体的制程气体的存在的光激发制程来提升原氧化物的移除。该能量传输气体可以是氖气、氩气、氪气、氙气、溴化氩、氯化氩、溴化氪、氯化氪、氟化氪、氟化氙(例如,二氟化氙)、氯化氙、溴化氙、氟气、氯气、溴气、其准分子、其自由基、其衍生物、或其组合物。在某些实施例中,除了至少一种能量传输气体之外,该制程气体也可包含氮气(N2)、氢气(H2)、形成气体(例如氮气/氢气或氩气/氢气)。
在一范例中,可藉由在步骤1020期间提供该制程气体至处理腔室600的内腔室101来使基材121暴露在含有能量传输气体的制程气体中。可从气体来源159通过面板152提供该能量传输气体。与和基材121的距离相比,该制程气体和灯源792间的邻近性能够轻易激发其中的能量传输气体。当该能量传输气体去激发(de-excite)并移动接近基材121时,该能量经有效率地传输至基材121表面,因此促进原氧化物的移除。
在另一实施例中,可在步骤1020的预处理制程期间,藉由含有有机蒸气的制程气体的存在的光激发制程来提升原氧化物的移除。在一范例中,可使该基材暴露在含有环芳碳氢化合物的制程气体中。该环芳碳氢化合物可在UV辐射存在的环境下。可在预处理制程期间使用的单环芳香碳氢化合物和多环芳香碳氢化合物包含醌(quinone)、羟基醌(hydroxyquinone)(对苯二酚(hydroquinone))、蒽(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物、或其组合物。在另一范例中,可使该基材暴露在含有其它碳氢化合物的制程气体中,例如不饱和碳氢化合物,包含乙烯、乙炔、丙烯、烷基衍生物、卤化衍生物、或其组合物。在另一范例中,在步骤1020的预处理制程期间,该有机蒸气可含有烷类化合物。
在一范例中,在步骤1020期间,可由灯源产生波长在123纳米至约500纳米范围内的UV辐射。在另一实施例中,多环芳香碳氢化合物可在UV存在下除去原氧化物,藉由与该些原氧化物内的氧原子反应。在另一实施例中,可藉由使基材暴露在醌或羟基醌中同时形成衍生产物来除去原生氧化物。可利用真空帮浦制程将该衍生产物从该处理腔室除去。
在步骤1030,可在预处理制程期间或之后将该基材121加热至预定温度。该基材121在步骤1040沉积该阻障材料之前加热。该基材可利用该基材支撑内的嵌入式加热组件、该能量束(例如,UV源)、或其组合来加热。一般来说,该基材经加热足够久以得到预期温度,例如一段约15秒至约30分钟范围内的时间,较佳地,约30秒至约20分钟,并且更佳地,从约1分钟至约10分钟。在一实施例中,可将该基材加热至约200℃至约1000℃范围内的温度,较佳地,约400℃至约850℃,并且更佳地,约550℃至约800℃。在另一实施例中,可将该基材加热至低于约550℃,较佳地,低于约450℃。
在一范例中,可在处理腔室600内将基材121加热至该预定温度。该预定温度可在约300℃至约500℃范围内。可藉由从电源供应器施加功率至加热组件,例如加热器区块211,来加热该基材121。
在一实施例中,在步骤1040的沉积制程期间在该基材上沉积阻障材料。该阻障材料可包含,例如,一或多层钛(Ti)、氮化钛(TiN)、钽(Ta)、氮化钽(TaNx)、钨(W)、或氮化钨(WNx)、除了其它的之外,在该基材上。可藉由在该沉积制程期间将该基材暴露在至少一种沉积气体中来形成该阻障层材料。在一范例中,该沉积制程拥有沉积气体的CVD制程,该沉积气体可包含钽前驱物、钛前驱物、或钨前驱物和氮前驱物或含有两种来源的前驱物。使用CVD技术,可藉由热分解前述前驱物来形成该一或多个阻障层。或者,该沉积制程可以是拥有至少两种沉积气体的ALD制程,因此,该基材相继暴露在钽前驱物、钛前驱物、或钨前驱物和氮前驱物中。该沉积制程可以是热制程、自由基制程、或其组合。例如,该基材可在藉由直接光激发系统所产生的能量束的存在下暴露在制程气体中。
当欲形成氮化物基底的阻障层时,例如氮化钛(TiNx)、氮化钽(TaNx)或氮化钨(WNx),提供氮气(N2)至该处理腔室。氮气流速可在约100sccm至约2000sccm范围内。在步骤1040形成阻障材料的适合氮前驱物的范例包含氨气(NH3)、联氨(N2H4)、有机胺、有机联氨、有机二氮杂苯(diazines)(例如,甲基二氮杂苯((H3C)NNH))、硅烷基叠氮化物(silylazides)、硅烷基联氨(silyhydrazines)、氢叠氮酸(HN3)、氰化氢(HCN)、原子氮、氮气(N2)、其衍生物、或其组合物。做为氮前驱物的有机胺包含RxNH3-x,其中R是独立的烷基或芳烃基(aryl group),并且x是1、2或3。有机胺的范例包含三甲胺((CH3)3N)、二甲胺((CH3)2NH)、甲胺((CH3)NH2)、三乙胺((CH3CH2)3N)、二乙胺((CH3CH2)2NH)、乙胺((CH3CH2)NH2)、第三丁胺(((CH3)3C)NH2)、其衍生物、或其组合物。做为氮前驱物的有机联氨包含RxN2H4-x,其中每一个R是独立的烷基或芳烃基,并且x是1、2、3、或4。有机联氨的范例包含甲基联氨((CH3)N2H3)、二甲基联氨((CH3)2N2H2)、乙基联氨((CH3CH2)N2H3)、二乙基联氨((CH3CH2)2N2H2)、第三丁基联氨(((CH3)3C)N2H3)、二第三丁基联氨(((CH3)3C)2N2H2)、其自由基、其等离子体、其衍生物、或其组合物。
该钨前驱物可选自六氟化钨(WF6)和六羰钨(W(CO)6)。该含钽前驱物可选自,例如,五氯化钽、五(二乙胺基)钽(PDEAT)(Ta(Net2)5)、五((乙基)(甲基)胺基)钽(PEMAT)(Ta(N(Et)(Me))5)、以及五(二甲胺基)钽(PDMAT)(Ta(Nme2)5),除了其它的之外。该含钛前驱物可选自,例如,四氯化钛(TiCl4)、四(二乙胺基)钛(TDEAT)(Ti(Net2)4)、四((乙基)(甲基)胺基)钛(TEMAT)(Ti(N(Et)(Me))4)、以及四(二甲胺基)钛(TDMAT)(Ti(NMe2)4),除了其它的之外。
适合的还原气体包含传统还原剂,例如,氢(例如,氢气或原子氢)、氨气(NH3)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、二甲基硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、一氯硅烷(ClSiH3)、二氯硅烷(Cl2SiH2)、六氯二硅烷(Si2Cl6)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、烷基硼烷,例如三乙基硼烷(Et3B)、其衍生物及其组合物。
在一范例中,可在步骤1040的沉积制程期间于处理腔室600内的基材121上沉积阻障材料。在一实施例中,可在CVD制程期间将基材121暴露在含有钨前驱物、含钛前驱物、或含钽前驱物及氮前驱物的制程气体中。该些前驱物通常从气体来源159通过面板152提供至内腔室101。
在一实施例中,可在步骤1040藉由进气信道156同步,如在传统CVD制程期间或相继,如在ALD制程期间,将该些前驱物通入该处理腔室600或接触基材121。该ALD制程可将该基材121暴露在至少两种制程气体中,因此,该基材121相继暴露在一第一前驱物,例如含钨前驱物、含钛前驱物、或含钽前驱物,以及一第二前驱物,例如氮前驱物中。在沉积钨层时,预期到该第一前驱物含钨前驱物,例如六氟化钨,而该第二前驱物还原气体,例如二硼烷。虽然示出一进气信道156,但预期到该第一前驱物和该第二前驱物以不同的气线提供至制程腔室600。可控制每一条气线的温度。
对于CVD和ALD制程及可调整的设备(例如,并入UV辐射源)的描述和可用来沉积阻障材料的化学前驱物在2004年12月21号核准的共同让渡的标题为「用于金属氧化物闸极电极的氮化钨的周期沉积」的美国专利第6,833,161号、2005年10月4号核准的标题为「氮化钽层的形成」的美国专利第6,951,804号、2006年5月23号核准的标题为「铜金属化的ALD氮化钽的整合」的美国专利第7,049,226号、2003年8月19号核准的标题为「铜内联机组障层结构及其形成」的美国专利第6,607,976号、2005年6月28号核准的标题为「钛及氮化钛层的整合」的美国专利第6,911,391号、以及2003年6月12号公开的标题为「耐火金属氮化硅的周期沉积」的美国专利申请公开案第2003-0108674号、2006年1月12号公开的标题为「使用原子层沉积技术沉积钨层的方法」的美国专利公开案第2006-0009034号中进一步揭示,所有皆在此藉由引用其整体的方式并入本文中。
例如,当含钛前驱物和氮前驱物在该处理腔室内合并时,一含钛材料,例如氮化钛,经形成在该基材表面上。沉积的氮化钛材料展现出良好的薄膜性质,例如折射率和湿蚀刻速率。在一实施例中,可以约10埃/分钟至约500埃/分钟范围内的速率来沉积该氮化钛材料,并且沉积至约10埃至约1,000埃范围内的厚度。
可在步骤1040期间提供载气,以控制氮前驱物和钛前驱物的分压。单一晶圆处理腔室的总内压可在约100毫托耳至约740毫托耳范围内的压力下,较佳地,约250毫托耳至约100托耳,并且更佳地,约500毫托耳至约50托耳。在一范例中,该处理腔室的内压维持在约10托耳或更低的压力下,较佳地,约5托耳或更低,并且更佳地,约1托耳或更低。在某些实施例中,可提供载气以将批处理系统的氮前驱物或硅前驱物的分压控制在约100毫托耳至约1托耳范围内。适合的载气的范例包含氮气、氢气、氩气、氦气、形成气体、或其组合物。
该基材、该第一前驱物、及/或该第二前驱物可在步骤1040的沉积制程期间暴露在该光激发系统产生的能量束或能量通量中。能量束的使用有利地增加沉积速率,并且改善表面扩散或该阻障材料内的原子迁移率,以为接踵而来的物种产生活化位置。在一实施例中,该能量束拥有约3.0eV至约9.84eV范围内的能量。此外,该能量束可拥有约123纳米至约500纳米范围内的波长。
在一范例中,灯源792提供一能量束以供应该第一前驱物或氮前驱物的至少一种的激发能量。高沉积速率和低沉积温度产生拥有可调整特性的薄膜,其具有最小的寄生副反应。在一实施例中,该能量束或通量可拥有约4.5eV至约9.84eV范围内的光子能量。
在另一实施例中,含有该阻障材料(在步骤1040中形成)的基材在步骤1050期间暴露在一后沉积处理制程中。该后沉积处理制程在沉积之后增加基材表面能量,这有利地除去挥发物及/或其它薄膜污染物(例如藉由降低氢含量)及/或退火该沉积膜。来自该沉积材料的较低的氢含量有利地增加薄膜的张应力。或者可使用至少一个灯源(例如,灯源790)来能量化一能量传输气体,其接触该基材以在沉积后增加该基材的表面能量,并除去挥发物及/或其它薄膜。
选择性地,在步骤1050,可提供一能量传输气体至处理腔室600的内腔室101。适合的能量传输气体的范例包含氮气、氢气、氦气、氩气、及其组合物。范例提供在步骤1050期间,该基材121以能量束或能量通量来处理。在一范例中,灯源792提供一能量束以在步骤1050期间供应基材121的表面能量。在退火该阻障材料的另一范例中,该能量束或通量可拥有约3.53eV至约9.84eV范围内的光子能量。此外,灯源790可产生波长约123纳米至约500纳米范围内的能量束。一般来说,可能量化灯源790一段约1分钟至约10分钟范围内的时间,以促进利用光激发的后沉积处理。
在一范例中,可藉由将该基材暴露在灯源790产生的拥有约3.2eV至约4.5eV范围内的光子能量的能量束中从沉积膜表面除去挥发性化合物或污染物,该能量束用来分解处理腔室600内的自由基。因此,准分子灯,例如溴化氙*(283纳米/4.41eV)、溴气*(289纳米/4.29eV)、氯化氙*(308纳米/4.03eV)、碘气*(342纳米/3.63eV)、氟化氙*(351纳米/3.53eV)可经选择来分解氮-氢键,以从氮化钛、氮化钽、和氮化钨网络除去氢。预期到可改变该基材的旋转速度,例如,藉由增加步骤1050相对于之前的沉积步骤的旋转速度。
在另一实施例中,可从该处理腔室600移出该基材121,随后在步骤1060将该处理腔室600暴露在一腔室清洁制程中。可利用一光激发清洁剂来清洁该处理腔室。在一实施例中,该清洁剂包含氟。范例提供可利用灯源790在处理腔室600内光激发该清洁剂。
可在腔室清洁制程期间清洁处理腔室600,以强化沉积效能。例如,可用该腔室清洁制程来除去包含在处理腔室600表面上的污染物或包含在窗口793上的污染物,藉此最小化通过窗口793的能量束或通量的传输损失,并最大化传输至该些气体及表面的能量。可以比处理腔室600高的频率清洁窗口793,例如,可在处理一些基材之后清洁处理腔室600,但窗口793则在处理每一个基材之后清洁。适合的清洁剂包含,例如,氢气、HX(其中X=氟、氯、溴、或碘)、NX3(其中X=氟或氯)、卤间化合物,例如XFn(其中X=氯、溴、碘而n=1、3、5、7),以及其氢化的卤间化合物,和惰性气体卤化物,例如二氟化氙、四氟化氙、六氟化氙、和二氟化氪。
步骤1040期间沉积的阻障材料的元素成分可藉由控制该些化学前驱物的浓度或流速来预先决定。可藉由控制该阻障材料内钽、钛、钨、氢、和氮气的相对浓度来为特定应用调整薄膜性质。在一实施例中,可藉由改变沉积制程期间或之后UV能量的范围来调整钽、钛、钨、氢、和氮气的元素浓度。薄膜性质包含湿蚀刻速率、干蚀刻速率、应力、介电常数、以及诸如此类者。例如,藉由降低氢含量,所沉积的材料可拥有较高的张应力。在另一范例中,藉由降低碳含量,所沉积的材料可拥有较低的电阻。
如在此所述的制程1000期间所沉积的阻障材料因为若干物理性质而可在整个电子特征/组件上使用。该些阻障特性抑制不同材料或元素之间的离子扩散,当阻障材料设置在其间时,例如闸极材料和电极,或低介电常数多孔材料和铜之间。在一实施例中,可在制程1000期间在基材上将阻障材料沉积成层以形成电子特征,例如集成电路(第14图)。
介电材料
图11标出沉积介电材料的制程1100的流程图,如此间实施例所述者。该基材可设置在处理腔室内(步骤1110),选择性地暴露在预处理制程(步骤1120)中,并且加热至一预定温度(步骤1130)。随后,可在该基材上沉积一介电材料(步骤1140)。该基材可选择性地暴露在一后沉积处理制程中(步骤1150),并且该处理腔室可选择性地暴露在一腔室清洁制程中(步骤1160)。
在步骤1110期间,可将该基材设置在一处理腔室内。该处理腔室可以是单晶圆腔室或含有多个晶圆或基材(例如,25、50、100个或更多)的批次腔室。该基材可保持在一固定位置上,但较佳地,藉由一支撑台座旋转。选择性地,可在制程1100的一或多个步骤期间将基材作索引。
可在制程1100期间使用处理腔室600,在图7中示出,以如此间范例所述般在基材121上沉积介电材料。在一范例中,可在处理腔室600内的基材支撑台座上以高至约120rpm(每分钟转数)的速率旋转基材121。或者,可将基材121设置在基材支撑台座上,并且在该沉积制程期间不加以旋转。
在一实施例中,在步骤1120期间,该基材121选择性地暴露在至少一种预处理制程中。该基材表面可能含有原氧化物,其在预处理期间被除去。可利用一直接光激发系统所产生的能量束来预处理该基材121,以在步骤1140沉积介电材料之前从该基材表面上除去该些原氧化物。可在该预处理制程期间使一制程气体接触该基材。该制程气体可含有氩气、氮气、氦气、氢气、形成气体、或其组合物。该预处理制程可持续一段约2分钟至约10分钟范围内的时间,以促进光激发制程期间原氧化物的移除。此外,可在步骤1120期间将该基材121加热至约100℃至约800℃范围内的温度,较佳地,约200℃至约600℃,并且更佳地,约300℃至约500℃,以促进制程1100期间原氧化物的移除。
范例提供在步骤1120期间,基材121可暴露在灯源792所产生的能量束中。灯源792可提供拥有约2eV至约10eV范围内的光子能量的能量束,例如约3.0eV至约9.84eV。在另一范例中,灯源792提供波长在约123纳米至约500纳米范围内的UV辐射能量束。可能量化灯源792一段足以除去氧化物的时间。该能量化时间基于窗口793的尺寸及形状和该基材旋转速度来选择。在一实施例中,灯源792经能量化一段约2分钟至约10分钟范围内的时间,以促进光激发制程期间原氧化物的移除。在一范例中,可在步骤1120期间将基材121加热至约100℃至约800℃范围内的温度。在另一范例中,可在步骤1120期间将该基材121加热至约300℃至约500℃范围内的温度,而灯源792可提供拥有约2eV至约10eV范围内的光子能量的能量束一段约2分钟至约5分钟范围内的时间,以促进原氧化物的移除。在一范例中,该能量束拥有约3.2eV至约4.5eV范围内的光子能量约3分钟。
在另一实施例中,可在步骤1120的预处理制程期间,藉由含有能量传输气体的制程气体的存在的光激发制程来提升原氧化物的移除。该能量传输气体可以是氖气、氩气、氪气、氙气、溴化氩、氯化氩、溴化氪、氯化氪、氟化氪、氟化氙(例如,二氟化氙)、氯化氙、溴化氙、氟气、氯气、溴气、其准分子、其自由基、其衍生物、或其组合物。在某些实施例中,除了至少一种能量传输气体之外,该制程气体也可包含氮气(N2)、氢气(H2)、形成气体(例如氮气/氢气或氩气/氢气)。
在一范例中,可藉由在步骤1120期间提供该制程气体至处理腔室600的内腔室101来使基材121暴露在含有能量传输气体的制程气体中。可从气体来源159通过面板152提供该能量传输气体。与和基材121的距离相比,该制程气体和灯源792间的邻近性能够轻易激发其中的能量传输气体。当该能量传输气体去激发(de-excite)并移动接近基材121时,该能量经有效率地传输至基材121表面,因此促进原氧化物的移除。
在另一实施例中,可在步骤1120的预处理制程期间,藉由含有有机蒸气的制程气体的存在的光激发制程来提升原氧化物的移除。在一范例中,可使该基材暴露在含有环芳碳氢化合物的制程气体中。该环芳碳氢化合物可在UV辐射存在的环境下。可在预处理制程期间使用的单环芳香碳氢化合物和多环芳香碳氢化合物包含醌(quinone)、羟基醌(dydroxyquinone)(对苯二酚(hydroquinone))、二氮菲蒽(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物、或其组合物。在另一范例中,可使该基材暴露在含有其它碳氢化合物的制程气体中,例如不饱和碳氢化合物,包含乙烯、乙炔、丙烯、烷基衍生物、卤化衍生物、或其组合物。在另一范例中,在步骤1120的预处理制程期间,该有机蒸气可含有烷类化合物。
在一范例中,在步骤1120期间,可由灯源产生波长在123纳米至约500纳米范围内的UV辐射。在另一实施例中,多环芳香碳氢化合物可在UV存在下除去原氧化物,藉由与该些原氧化物内的氧原子反应。在另一实施例中,可藉由使基材暴露在醌或羟基醌中同时形成衍生产物来除去原生氧化物。可利用真空帮浦制程将该衍生产物从该处理腔室除去。
在步骤1130,可在预处理制程期间或之后将该基材121加热至预定温度。该基材121在步骤1140沉积该介电材料之前加热。该基材可利用该基材支撑内的嵌入式加热组件、该能量束(例如,UV源)、或其组合来加热。一般来说,该基材经加热足够久以得到预期温度,例如一段约15秒至约30分钟范围内的时间,较佳地,约30秒至约20分钟,并且更佳地,从约1分钟至约10分钟。在一实施例中,可将该基材加热至约200℃至约1000℃范围内的温度,较佳地,约400℃至约850℃,并且更佳地,约550℃至约800℃。在另一实施例中,可将该基材加热至低于约550℃,较佳地,低于约450℃。
在一范例中,可在处理腔室600内将基材121加热至该预定温度。该预定温度可在约300℃至约500℃范围内。可藉由从电源供应器施加功率至加热组件,例如加热器区块211,来加热该基材121。
在一实施例中,在步骤1140的沉积制程期间在该基材上沉积介电材料。可藉由在该沉积制程期间将该基材暴露在至少一种沉积气体中来形成该介电材料。在一范例中,该沉积制程拥有沉积气体的CVD制程,该沉积气体可包含第一前驱物和氧前驱物,或含有该第一前驱物和氧前驱物两者的前驱物。或者,该沉积制程可以是拥有至少两种沉积气体的ALD制程,因此,该基材相继暴露在第一前驱物和氧前驱物中。该沉积制程可以是热制程、自由基制程、或其组合。例如,该基材可在藉由直接光激发系统所产生的能量束的存在下暴露在制程气体中。
该介电材料含有氧及至少一种金属,例如铪、锆、钛、钽、镧、钌、铝或其组合物。该介电材料的组成可包含含铪材料,例如氧化铪(HfOx或二氧化铪)、氮氧化铪(HfOxNy)、铝酸铪(HfAlxOy)、镧化铪(HfLaxOy),含锆材料,例如氧化锆(ZrOx或二氧化铪)、氮氧化锆(ZrOxNy)、铝酸锆(ZrAlxOy)、镧化锆(ZrLaxOy),其它含铝材料或含镧材料,例如氧化铝(三氧化二铝或AlOx)、氮氧化铝(AlOxNy)、氧化铝镧(LaAlxOy)、氧化镧(LaOx或三氧化二镧),其衍生物或其组合物。其它介电材料可包含氧化钛(TiOx或二氧化钛)、氮氧化钛(TiOxNy)、氧化钽(TaOx或五氧化二钽)及氮氧化钽(TaOxNy)。可用的介电材料层积膜包含二氧化铪/三氧化二铝、三氧化二镧/三氧化二铝以及二氧化铪/三氧化二镧/三氧化二铝。该介电材料也可包含,例如,二氧化钌、二氧化铱、三氧化二铱、二氧化锆、二氧化铪、三氧化二铝、五氧化二钽、二氧化钛、钛酸钡锶(SBT)、锆钛酸铅(PZT)、钛酸锶钡(BST)、二氧化铑、氧化钯、氧化锇、氧化铂、氧化钒、五氧化二钒、三氧化二钒、十一氧化六钒,除了其它的之外。
在步骤1140期间形成介电材料的适合氧前驱物的范例包含原子氧(O)、氧气(O2)、臭氧(O3)、水(H2O)、过氧化氢(H2O2)、有机过氧化物、酒精、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、五氧化二氮(N2O5)、其等离子体、其自由基、其衍生物、或其组合物。在一实施例中,可藉由合并臭氧和水来形成氧前驱物,以提供强氧化剂。该氧前驱物通常含有氢氧自由基(OH),其拥有强氧化力。该臭氧浓度可相对于水浓度改变。臭氧对水的莫耳比可在约0.01至约30范围内,较佳地,约0.03至约3,并且更佳地,约0.1至约1。在一范例中,从UV源衍生出的能量束可接触氧或臭氧/水混合物,以形成含氧前驱物的臭氧。在另一实施例中,该光激发步骤期间该腔室内的能量传输气体及/或环境包含氧及/或臭氧。
例示铪前驱物包含含有配位基的铪化合物,例如卤化物、烷胺基(alkylamino)、环戊二烯基(cyclopentadienyl)、烷基、烷氧基、其衍生物或其组合物。可用来做为铪前驱物的卤化铪化合物可包含四氯化铪、四碘化铪、和四溴化铪。可用来做为铪前驱物的烷胺铪化合物包含(RR’N)4Hf,其中R或R’是独立的氢、甲基、乙基、丙基或丁基。可用来沉积含铪材料的铪前驱物包含四(二乙胺基)铪((Et2N)4Hf)、四(二甲胺基)铪((Me2N)4Hf)、四(甲基乙基胺基)铪((MeEtN)4Hf)、二(第三丁基环戊二烯)二氯化铪((tBuC5H4)2HfCl2)、二(环戊二烯)二氯化铪((C5H5)2HfCl2)、二(乙基环戊二烯)二氯化铪(EtC5H4)2HfCl2、二(五甲基环戊二烯)二氯化铪((Me5C5)2HfCl2)、(五甲基环戊二烯)三氯化铪((Me5C5)HfCl3)、二(异丙基环戊二烯)二氯化铪((iPrC5H4)2HfCl2)、(异丙基环戊二烯)三氯化铪((iPrC5H4)HfCl3)、二(第三丁基环戊二烯)二甲基铪((tBuC5H4)2HfMe2)、四(乙醯丙酮)铪((acac)4Hf)、四(六氟戊二酮)铪((hfac)4Hf)、四(三氟戊二酮)铪((tfac)4Hf)、四(四甲基庚二酮)铪((thd)4Hf)、四硝酸铪((NO3)4Hf)、四(第三丁氧基)铪((tBuO)4Hf)、四(异丙氧基)铪((iPrO)4Hf)、四(乙氧基)铪((EtO)4Hf)、四(甲氧基)铪((MeO)4Hf)、或其衍生物。较佳地,用于此间的沉积制程期间的铪前驱物包含四氯化铪、四(二乙胺基)铪或四(二甲胺基)铪。
在另一实施例中,可藉由连续脉冲输送金属前驱物及含有得自WVG系统的水蒸气的氧化气体来形成各种金属氧化物或金属氮氧化物。可藉由以其它金属前驱物取代该铪前驱物以形成其它介电材料来改变在此揭示的该ALD制程,例如铝酸铪、铝酸钛、氮氧化钛、氧化锆、氮氧化锆、铝酸锆、氧化钽、氮氧化钽、氧化钛、氧化铝、氮氧化铝、氧化镧、氮氧化镧、铝酸镧、其衍生物或其组合物。在一实施例中,同时执行两或多种ALD制程以在一层上沉积另一层。例如,一组合制程含有一第一ALD制程以形成一第一介电材料,以及一第二ALD制程以形成一第二介电材料。可用该组合制程来产生各种含铪材料,例如,硅酸铝铪或氮氧化硅铝铪。在一范例中,藉由在基材上沉积一第一含铪材料,并且随后在其上沉积一第二含铪材料来形成一介电堆栈材料。该第一及第二含铪材料的成分可以改变,因此一层可含有氧化铪,而另一层可含有硅酸铪。在一态样中,该下层含有硅。或者,在此间所述的ALD制程期间所用的金属前驱物包含四氯化锆、双(环戊二烯)锆(Cp2Zr)、四(二甲胺基)锆((Me2N)4Zr)、四(二乙胺基)锆((Et2N)4Zr)、五氟化钽、五氯化钽、五(第三丁氧基)钽((tBuO)5Ta)、五(二甲胺基)钽((Me2N)5Ta)、五(二乙胺基)钽((Et2N)5Ta)、三(二甲胺基)钽(第三丁胺基)((Me2N)3Ta(NtBu))、三(二乙胺基)钽(第三丁胺基)((Et2N)3Ta(NtBu))、四氯化钛、四碘化钛、四(异丙氧基)钛((iPrO)4Ti)、四(二甲胺基)钛((Me2N)4Ti)、四(二乙胺基)钛((Et2N)4Ti)、三氯化铝、三甲基铝、二甲基铝烷(Me2AlH)、((AMD)3La)、三((三甲基硅基)(第三丁基)胺基)镧(((Me3Si)(tBu)N)3La)、三(二(三甲基硅基)胺基)镧(((Me3Si)2N)3La)、三(二第三丁胺基)镧((tBu2N)3La)、三(二异丙胺基)镧((iPr2N)3La)、其衍生物或其组合物。
该含钽前驱物可选自,例如,五氯化钽、五(二乙胺基)钽(PDEAT)(Ta(Net2)5)、五((乙基)(甲基)胺基)钽(PEMAT)(Ta(N(Et)(Me))5)、以及五(二甲胺基)钽(PDMAT)(Ta(Nme2)5),除了其它的之外。该含钛前驱物可选自,例如,四氯化钛(TiCl4)、四(二乙胺基)钛(TDEAT)(Ti(Net2)4)、四((乙基)(甲基)胺基)钛(TEMAT)(Ti(N(Et)(Me))4)、以及四(二甲胺基)钛(TDMAT)(Ti(NMe2)4),除了其它的之外。
适合的铑前驱物包含,例如,如下铑化合物:2,4-戊二酮铑(I)二羰基(C5H7Rh(CO)2)、三(2,4-戊二酮)铑,即乙醯丙酮化铑(III)(Rh(C5H7O2)3)、以及三(三氟-2,4-戊二酮)铑。
适合的铱前驱物包含,例如,如下铱化合物:(甲基环戊二烯)(1,5-环辛二烯)铱(I)([(CH3)C5H4](C8H12)Ir)及三丙烯铱((C3H5)3Ir)。
适合的钯前驱物包含,例如,如下钯化合物:二四甲基庚二酮钯(Pd(thd)2)及双(1,1,1,5,5,5-六氟-2,4-戊二酮)钯(Pd(CF3COCHCOCF3)2)。
适合的铂前驱物包含,例如,如下铂化合物:六氟戊二酮铂(II)(Pt(CF3COCHCOCF3)2)、(三甲基)甲基环戊二烯铂(IV)((CH3)3(CH3C5H4)Pt)、以及丙烯环戊二烯铂((C3H5)(C5H5)Pt)。
适合的低氧化态氧化锇前驱物包含,例如,如下锇化合物:双(环戊二烯)锇((C5H5)2Os)、双(五甲基环戊二烯)锇([(CH3)5C5]2Os)、以及氧化锇(VIII)(OsO4)。
适合的钒前驱物包含,例如,四氯化钒、氯化氧钒(VOCl)、六羰基钒(V(CO)6)和三氯化氧钒(VOCl3)。
在一范例中,可在步骤1140的沉积制程期间于处理腔室600内的基材121上沉积介电材料。在一实施例中,可在CVD制程期间将基材121暴露在含有介电材料前驱物和氧前驱物的制程气体中。该些前驱物通常从气体来源159通过面板152提供至内腔室101。
在一实施例中,可在步骤1140藉由进气信道156同步,如在传统CVD制程期间或相继,如在ALD制程期间,将该些前驱物通入该处理腔室或接触基材121。该ALD制程可将该基材暴露在至少两种制程气体中,因此,该基材相继暴露在一第一前驱物及一第二前驱物中,例如氧前驱物。虽然示出一进气信道156,但预期到该第一前驱物和该第二前驱物以不同的气线提供至处理腔室600。可控制每一条气线的温度。
对于CVD和ALD制程及可调整的设备(例如,并入UV辐射源)的描述和可用来沉积介电材料的化学前驱物在2005年2月22号核准的共同让渡的标题为「形成闸极介电层的系统及方法」的美国专利第6,858,547号、2002年9月19号核准的标题为「利用直接氧化法的ALD金属氧化物沉积制程」的美国专利第7,067,439号、2003年9月16号核准的标题为「三氧化二铝的原子层沉积(ALD)的制程条件及前驱物」的美国专利第6,620,670号、2003年12月18号公开的标题为「增强高介电常数材料的成核作用的表面预处理」的美国专利申请公开案第2003-0232501号、2003年12月8号公开的标题为「含铪高K材料的原子层沉积的设备及方法」的美国专利公开案第2005-0271813号、2006年1月26号公开的标题为「含铪材料的等离子体处理」的美国专利公开案第2006-0019033号、2006年3月23号公开的标题为「利用三(二甲基胺)硅烷的硅酸铪材料的气相沉积」的美国专利公开案第2006-0062917号中进一步揭示,所有皆在此藉由引用其整体的方式并入本文中。
做为该第一前驱物,例如,铪前驱物,以及氧前驱物在该处理腔室内合并,一含铪材料,例如氧化铪材料,经形成在该基材表面上。所沉积的氧化铪材料展现出良好的薄膜性质,例如折射率和湿蚀刻速率。在一实施例中,可以约10埃/分钟至约500埃/分钟范围内的速率来沉积该氧化铪材料,并且沉积至约10埃至约1,000埃范围内的厚度。氧化铪材料可拥有例如HfxOy的化学式,其中氧:铪原子比(Y/X)是约2或更低,例如,HfO2。在一实施例中,如在此所述般形成的材料展现出低氢含量,并包含少量的碳掺杂,其增强PMOS组件中的硼保留。
可在步骤1140期间提供载气,以控制氧前驱物和铪前驱物的分压。单一晶圆处理腔室的总内压可在约100毫托耳至约740毫托耳范围内的压力下,较佳地,约250毫托耳至约100托耳,并且更佳地,约500毫托耳至约50托耳。在一范例中,该处理腔室的内压维持在约10托耳或更低的压力下,较佳地,约5托耳或更低,并且更佳地,约1托耳或更低。在某些实施例中,可提供载气以将批处理系统的氧前驱物或铪前驱物的分压控制在约100毫托耳至约1托耳范围内。适合的载气的范例包含氮气、氢气、氩气、氦气、形成气体、或其组合物。
该基材、该铪前驱物、及/或该氧前驱物可在步骤1140的沉积制程期间暴露在该光激发系统产生的能量束或能量通量中。能量束的使用有利地增加沉积速率,并且改善表面扩散或该氧化铪材料内的原子迁移率,以为接踵而来的物种产生活化位置。在一实施例中,该能量束拥有约3.0eV至约9.84eV范围内的能量。此外,该能量束可拥有约123纳米至约500纳米范围内的波长。
在一范例中,灯源790提供一能量束以供应该铪前驱物或该氧前驱物的至少一种的激发能量。高沉积速率和低沉积温度产生拥有可调整性质的薄膜,其具有最小的寄生副反应。在一实施例中,该能量束或通量可拥有约4.5eV至约9.84eV范围内的光子能量。该基材表面和该些制程气体也可由灯源790激发。
在另一实施例中,含有该介电材料(在步骤1140中形成)的基材在步骤1150期间暴露在一后沉积处理制程中。该后沉积处理制程在沉积之后增加基材表面能量,这有利地除去挥发物及/或其它薄膜污染物(例如藉由降低氢含量)及/或退火该沉积膜。来自该沉积材料的较低的氢含量有利地增加薄膜的张应力。或者可使用至少一个灯源(例如,灯源790)来能量化一能量传输气体,其接触该基材以在沉积后增加该基材的表面能量,并除去挥发物及/或其它薄膜。
选择性地,在步骤1150,可提供一能量传输气体至处理腔室600的内腔室101。适合的能量传输气体的范例包含氮气、氢气、氦气、氩气、及其组合物。范例提供在步骤1150期间,该基材121以能量束或能量通量来处理。在一范例中,灯源792提供一能量束以在步骤1150期间供应基材121的表面能量。在退火该介电材料的另一范例中,该能量束或通量可拥有约3.53eV至约9.84eV范围内的光子能量。此外,灯源790可产生波长约123纳米至约500纳米范围内的能量束。一般来说,可能量化灯源790一段约1分钟至约10分钟范围内的时间,以促进利用光激发的后沉积处理。
在一范例中,可藉由将该基材暴露在灯源790产生的拥有约3.2eV至约4.5eV范围内的光子能量的能量束中从沉积膜表面除去挥发性化合物或污染物,该能量束用来分解处理腔室600内的铪前驱物和氧前驱物。因此,准分子灯,例如溴化氙*(283纳米/4.41eV)、溴气*(289纳米/4.29eV)、氯化氙*(308纳米/4.03eV)、碘气*(342纳米/3.63eV)、氟化氙*(351纳米/3.53eV)可经选择以从二氧化铪网络除去氢。预期到可改变该基材的旋转速度,例如,藉由增加步骤1150相对于之前的沉积步骤的旋转速度。
在另一实施例中,可从该处理腔室600移出该基材121,随后在步骤1160将该处理腔室600暴露在一腔室清洁制程中。可利用一光激发清洁剂来清洁该处理腔室。在一实施例中,该清洁剂包含氟。
可在腔室清洁制程期间清洁处理腔室600,以强化沉积效能。例如,可用该腔室清洁制程来除去包含在处理腔室600表面上的污染物或包含在窗口793上的污染物,藉此最小化通过窗口793的能量束或通量的传输损失,并最大化传输至该些气体及表面的能量。可以比处理腔室600高的频率清洁窗口793,例如,可在处理一些基材之后清洁处理腔室600,但窗口793则在处理每一个基材之后清洁。适合的清洁剂包含,例如,氢气、HX(其中X=氟、氯、溴、或碘)、NX3(其中X=氟或氯)、卤间化合物,例如XFn(其中X=氯、溴、碘而n=1、3、5、7),以及其氢化的卤间化合物,和惰性气体卤化物,例如二氟化氙、四氟化氙、六氟化氙、和二氟化氪。
步骤1140期间沉积的介电材料的元素成分可藉由控制该些化学前驱物的浓度或流速来预先决定,也就是该第一前驱物和氧前驱物。可藉由控制该介电材料内该介电前驱物和氧前驱物的相对浓度来为特定应用调整薄膜性质。在一实施例中,可藉由改变沉积制程期间或之后UV能量的范围来调整该介电前驱物和氧前驱物的元素浓度。薄膜性质包含湿蚀刻速率、干蚀刻速率、应力、介电常数、以及诸如此类者。例如,藉由降低氢含量,所沉积的材料可拥有较高的张应力。在另一范例中,藉由降低碳含量,所沉积的材料可拥有较低的电阻。
使用在此所述的制程1100沉积的介电材料因为若干物理性质而可在整个电子特征/组件上使用。在一实施例中,可在制程1100期间在基材上将介电材料沉积成层以形成电子特征,例如集成电路(图14)。
导电材料
图12标出沉积导电材料的制程1200的流程图,如此间实施例所述者。该基材可设置在处理腔室内(步骤1210),选择性地暴露在预处理制程(步骤1220)中,并且加热至一预定温度(步骤1230)。随后,可在该基材上沉积一导电材料(步骤1240)。该基材可选择性地暴露在一后沉积处理制程中(步骤1250),并且该处理腔室可选择性地暴露在一腔室清洁制程中(步骤1260)。
在步骤1210期间,可将该基材设置在一处理腔室内。该处理腔室可以是单晶圆腔室或含有多个晶圆或基材(例如,25、50、100个或更多)的批次腔室。该基材可保持在一固定位置上,但较佳地,藉由一支撑台座旋转。选择性地,可在制程1200的一或多个步骤期间将基材作索引。
可在制程1200期间使用处理腔室600,在图7中示出,以如此间范例所述般在基材121上沉积导电材料。在一范例中,可在处理腔室600内的基材支撑台座上以高至约120rpm(每分钟转数)的速率旋转基材121。或者,可将基材121设置在基材支撑台座上,并且在该沉积制程期间不加以旋转。
在一实施例中,在步骤1220期间,该基材121选择性地暴露在至少一种预处理制程中。该基材表面可能含有原氧化物,其在预处理期间被除去。可利用一直接光激发系统所产生的能量束来预处理该基材121,以在步骤1240沉积导电材料之前从该基材表面上除去该些原氧化物。可在该预处理制程期间使一制程气体接触该基材。该制程气体可含有氩气、氮气、氦气、氢气、形成气体、或其组合物。该预处理制程可持续一段约2分钟至约10分钟范围内的时间,以促进光激发制程期间原氧化物的移除。此外,可在步骤1220期间将该基材121加热至约100℃至约800℃范围内的温度,较佳地,约200℃至约600℃,并且更佳地,约300℃至约500℃,以促进制程1200期间原氧化物的移除。
范例提供在步骤1220期间,基材121可暴露在灯源792所产生的能量束中。灯源792可提供拥有约2eV至约10eV范围内的光子能量的能量束,例如约3.0eV至约9.84eV。在另一范例中,灯源792提供波长在约123纳米至约500纳米范围内的UV辐射能量束。可能量化灯源792一段足以除去氧化物的时间。该能量化时间基于窗口793的尺寸及形状和该基材旋转速度来选择。在一实施例中,灯源792经能量化一段约2分钟至约10分钟范围内的时间,以促进光激发制程期间原氧化物的移除。在一范例中,可在步骤1220期间将基材121加热至约100℃至约800℃范围内的温度。在另一范例中,可在步骤1220期间将该基材121加热至约300℃至约500℃范围内的温度,而灯源792可提供拥有约2eV至约10eV范围内的光子能量的能量束一段约2分钟至约5分钟范围内的时间,以促进原氧化物的移除。在一范例中,该能量束拥有约3.2eV至约4.5eV范围内的光子能量约3分钟。
在另一实施例中,可在步骤1220的预处理制程期间,藉由含有能量传输气体的制程气体的存在的光激发制程来提升原氧化物的移除。该能量传输气体可以是氖气、氩气、氪气、氙气、溴化氩、氯化氩、溴化氪、氯化氪、氟化氪、氟化氙(例如,二氟化氙)、氯化氙、溴化氙、氟气、氯气、溴气、其准分子、其自由基、其衍生物、或其组合物。在某些实施例中,除了至少一种能量传输气体之外,该制程气体也可包含氮气(N2)、氢气(H2)、形成气体(例如氮气/氢气或氩气/氢气)。
在一范例中,可藉由在步骤1220期间提供该制程气体至处理腔室600的内腔室101来使基材121暴露在含有能量传输气体的制程气体中。可从气体来源159通过面板152提供该能量传输气体。与和基材121的距离相比,该制程气体和灯源792间的邻近性能够轻易激发其中的能量传输气体。当该能量传输气体去激发(de-excite)并移动接近基材121时,该能量经有效率地传输至基材121表面,因此促进原氧化物的移除。
在另一实施例中,可在步骤1220的预处理制程期间,藉由含有有机蒸气的制程气体的存在的光激发制程来提升原氧化物的移除。在一范例中,可使该基材暴露在含有环芳碳氢化合物的制程气体中。该环芳碳氢化合物可在UV辐射存在的环境下。可在预处理制程期间使用的单环芳香碳氢化合物和多环芳香碳氢化合物包含醌(quinone)、羟基醌(dydroxyquinone)(对苯二酚(hydroquinone))、蒽(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物、或其组合物。在另一范例中,可使该基材暴露在含有其它碳氢化合物的制程气体中,例如不饱和碳氢化合物,包含乙烯、乙炔、丙烯、烷基衍生物、卤化衍生物、或其组合物。在另一范例中,在步骤1220的预处理制程期间,该有机蒸气可含有烷类化合物。
在一范例中,在步骤1220期间,可由灯源产生波长在123纳米至约500纳米范围内的UV辐射。在另一实施例中,多环芳香碳氢化合物可在UV存在下除去原氧化物,藉由与该些原氧化物内的氧原子反应。在另一实施例中,可藉由使基材暴露在醌或羟基醌中同时形成衍生产物来除去原生氧化物。可利用真空帮浦制程将该衍生产物从该处理腔室除去。
在步骤1230,可在预处理制程期间或之后将该基材121加热至预定温度。该基材121在步骤1240沉积该介电材料之前加热。该基材可利用该基材支撑内的嵌入式加热组件、该能量束(例如,UV源)、或其组合来加热。一般来说,该基材经加热足够久以得到预期温度,例如一段约15秒至约30分钟范围内的时间,较佳地,约30秒至约20分钟,并且更佳地,从约1分钟至约10分钟。在一实施例中,可将该基材加热至约200℃至约1000℃范围内的温度,较佳地,约400℃至约850℃,并且更佳地,约550℃至约800℃。在另一实施例中,可将该基材加热至低于约550℃,较佳地,低于约450℃。
在一范例中,可在处理腔室600内将基材121加热至该预定温度。该预定温度可在约300℃至约500℃范围内。可藉由从电源供应器施加功率至加热组件,例如加热器区块211,来加热该基材121。
在一实施例中,在步骤1240的沉积制程期间在该基材上沉积导电材料。可藉由在该沉积制程期间将该基材暴露在至少一种沉积气体中来形成该导电材料。在一范例中,该沉积制程拥有沉积气体的CVD制程,该沉积气体可包含金属前驱物,例如,钨、钛、或其组合物,以及氮前驱物或含有该金属前驱物和氮前驱物两者的前驱物。或者,该沉积制程可以是拥有至少两种沉积气体的ALD制程,因此,该基材相继暴露在金属前驱物和氮前驱物中。该沉积制程可以是热制程、自由基制程、或其组合。例如,该基材可在藉由直接光激发系统所产生的能量束的存在下暴露在制程气体中。
在一实施例中,该导电材料包含氮和至少一种金属,例如钨、钛、或其组合物。该导电材料可拥有一组成,其包含含钨材料,例如氮化钨(WN),含钛材料,例如氮化钛,其衍生物或其组合物。其它导电材料可包含钨和铝,除了其它的之外。
在步骤1140形成导电材料的适合氮前驱物的范例包含氨气(NH3)、联氨(N2H4)、有机胺、有机联氨、有机二氮杂苯(diazines)(例如,甲基二氮杂苯((H3C)NNH))、硅烷基叠氮化物(silylazides)、硅烷基联氨(silyhydrazines)、氢叠氮酸(HN3)、氰化氢(HCN)、原子氮、氮气(N2)、其衍生物、或其组合物。做为氮前驱物的有机胺包含RxNH3-x,其中R是独立的烷基或芳烃基(aryl group),并且x是1、2或3。有机胺的范例包含三甲胺((CH3)3N)、二甲胺((CH3)2NH)、甲胺((CH3)NH2)、三乙胺((CH3CH2)3N)、二乙胺((CH3CH2)2NH)、乙胺((CH3CH2)NH2)、第三丁胺(((CH3)3C)NH2)、其衍生物、或其组合物。做为氮前驱物的有机联氨包含RxN2H4-x,其中每一个R是独立的烷基或芳烃基,并且x是1、2、3、或4。有机联氨的范例包含甲基联氨((CH3)N2H3)、二甲基联氨((CH3)2N2H2)、乙基联氨((CH3CH2)N2H3)、二乙基联氨((CH3CH2)2N2H2)、第三丁基联氨(((CH3)3C)N2H3)、二第三丁基联氨(((CH3)3C)2N2H2)、其自由基、其等离子体、其衍生物、或其组合物。
例示钨前驱物选自六氟化钨(WF6)和六羰钨(W(CO)6)。该含钛前驱物可选自,例如,四氯化钛(TiCl4)、四(二乙胺基)钛(TDEAT)(Ti(Net2)4)、四((乙基)(甲基)胺基)钛(TEMAT)(Ti(N(Et)(Me))4)、以及四(二甲胺基)钛(TDMAT)(Ti(NMe2)4),除了其它的之外。
适合的还原气体包含传统还原剂,例如,氢(例如,氢气或原子氢)、氨气(NH3)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、二甲基硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、一氯硅烷(ClSiH3)、二氯硅烷(Cl2SiH2)、六氯二硅烷(Si2Cl6)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、烷基硼烷,例如三乙基硼烷(Et3B)、其衍生物及其组合物。
在一范例中,可在步骤1240的沉积制程期间于处理腔室600内的基材121上沉积导电材料。在一实施例中,可在CVD制程期间将基材121暴露在含有导电材料前驱物,例如钨前驱物或含钛前驱物及氮前驱物的制程气体中。该些前驱物通常从气体来源159通过面板152提供至内腔室101。
在一实施例中,可在步骤1240藉由进气信道156同步,如在传统CVD制程期间或相继,如在ALD制程期间,将该些前驱物通入该处理腔室或接触基材121。该ALD制程可将该基材暴露在至少两种制程气体中,因此,该基材相继暴露在一第一前驱物,例如含钨前驱物或含钛前驱物,及一第二前驱物,例如含氮前驱物中。虽然示出一进气信道156,但预期到该第一前驱物和该第二前驱物以不同的气线提供至处理腔室600。可控制每一条气线的温度。
对于CVD和ALD制程及可调整的设备(例如,并入UV辐射源)的描述和可用来沉积导电材料的化学前驱物在2004年11月2号核准的共同让渡的标题为「利用催化剂辅助的成长薄膜的方法」的美国专利第6,811,814号、2003年9月16号核准的标题为「做为半导体制程的来源反应物的二价铜B-二酮酸盐的氮模拟物」的美国专利第6,620,956号、2004年5月25号核准的标题为「利用PVD、CVD、或ALD的新颖溅镀沉积方法的阻障形成」的美国专利第6,740,585号、2004年1月15号公开的标题为「铜薄膜的沉积」的美国专利申请公开案第2004-0009665号、2005年10月6号公开的标题为「铜薄膜沉积用的惰性金属层形成」的美国专利公开案第2005-0220998号、2004年6月3号公开的标题为「铜薄膜沉积用的钌层形成」的美国专利公开案第2004-0105934号、2004年12月12号公开的标题为「铜薄膜沉积用的钌层形成」的美国专利公开案第2004-0241321号中进一步揭示,所有皆在此藉由引用其整体的方式并入本文中。
做为该第一前驱物,例如,钨前驱物,以及氮前驱物在该处理腔室内合并,一含钨材料,例如氮化钨材料,经形成在该基材表面上。所沉积的氮化钨材料展现出良好的薄膜性质,例如折射率和湿蚀刻速率。在一实施例中,可以约10埃/分钟至约500埃/分钟范围内的速率来沉积该氮化钨材料,并且沉积至约10埃至约1,000埃范围内的厚度。
可在步骤1240期间提供载气,以控制钨前驱物和氮前驱物的分压。单一晶圆处理腔室的总内压可在约100毫托耳至约740毫托耳范围内的压力下,较佳地,约250毫托耳至约100托耳,并且更佳地,约500毫托耳至约50托耳。在一范例中,该处理腔室的内压维持在约10托耳或更低的压力下,较佳地,约5托耳或更低,并且更佳地,约1托耳或更低。在某些实施例中,可提供载气以将批处理系统的氮前驱物或钨前驱物的分压控制在约100毫托耳至约1托耳范围内。适合的载气的范例包含氮气、氢气、氩气、氦气、形成气体、或其组合物。
该基材、该钨前驱物、及/或该氮前驱物可在步骤1240的沉积制程期间暴露在该光激发系统产生的能量束或能量通量中。能量束的使用有利地增加沉积速率,并且改善表面扩散或该氮化钨材料内的原子迁移率,以为接踵而来的物种产生活化位置。在一实施例中,该能量束拥有约3.0eV至约9.84eV范围内的能量。此外,该能量束可拥有约126纳米至约450纳米范围内的波长。
在一范例中,灯源790提供一能量束以供应该钨前驱物或该氮前驱物的至少一种的激发能量。高沉积速率和低沉积温度产生拥有可调整性质的薄膜,其具有最小的寄生副反应。在一实施例中,该能量束或通量可拥有约4.5eV至约9.84eV范围内的光子能量。该基材表面和该些制程气体也可由灯源790激发。
在另一实施例中,含有该导电材料(在步骤1240中形成)的基材在步骤1250期间暴露在一后沉积处理制程中。该后沉积处理制程在沉积之后增加基材表面能量,这有利地除去挥发物及/或其它薄膜污染物(例如藉由降低氢含量)及/或退火该沉积膜。来自该沉积材料的较低的氢含量有利地增加薄膜的张应力。或者可使用至少一个灯源(例如,灯源790)来能量化一能量传输气体,其接触该基材以在沉积后增加该基材的表面能量,并除去挥发物及/或其它薄膜。
选择性地,在步骤1250,可提供一能量传输气体至处理腔室600的内腔室101。适合的能量传输气体的范例包含氮气、氢气、氦气、氩气、及其组合物。范例提供在步骤1250期间,该基材121以能量束或能量通量来处理。在一范例中,灯源792提供一能量束以在步骤1250期间供应基材121的表面能量。在退火该导电材料的另一范例中,该能量束或通量可拥有约3.53eV至约9.84eV范围内的光子能量。此外,灯源790可产生波长约126纳米至约351纳米范围内的能量束。一般来说,可能量化灯源790一段约1分钟至约10分钟范围内的时间,以促进利用光激发的后沉积处理。
在一范例中,可藉由将该基材暴露在灯源790产生的拥有约3.2eV至约4.5eV范围内的光子能量的能量束中从沉积膜表面除去挥发性化合物或污染物,该能量束用来分解处理腔室600内的钨或钛前驱物和氮前驱物。因此,准分子灯,例如溴化氙*(283纳米/4.41eV)、溴气*(289纳米/4.29eV)、氯化氙*(308纳米/4.03eV)、碘气*(342纳米/3.63eV)、氟化氙*(351纳米/3.53eV)可经选择以从氮化钛或氮化钨网络除去氢。预期到可改变该基材的旋转速度,例如,藉由增加步骤1250相对于之前的沉积步骤的旋转速度。
在另一实施例中,可从该处理腔室600移出该基材121,随后在步骤1260将该处理腔室600暴露在一腔室清洁制程中。可利用一光激发清洁剂来清洁该处理腔室。在一实施例中,该清洁剂包含氟。
可在腔室清洁制程期间清洁处理腔室600,以强化沉积效能。例如,可用该腔室清洁制程来除去包含在处理腔室600表面上的污染物或包含在窗口793上的污染物,藉此最小化通过窗口793的能量束或通量的传输损失,并最大化传输至该些气体及表面的能量。可以比处理腔室600高的频率清洁窗口793,例如,可在处理一些基材之后清洁处理腔室600,但窗口793则在处理每一个基材之后清洁。适合的清洁剂包含,例如,氢气、HX(其中X=氟、氯、溴、或碘)、NX3(其中X=氟或氯)、卤间化合物,例如XFn(其中X=氯、溴、碘而n=1、3、5、7),以及其氢化的卤间化合物,和惰性气体卤化物,例如二氟化氙、四氟化氙、六氟化氙、和二氟化氪。
步骤1240期间沉积的导电材料的元素成分可藉由控制该些化学前驱物的浓度或流速来预先决定,也就是该金属前驱物和氮前驱物。可藉由控制该导电材料内该金属前驱物和氮前驱物的相对浓度来为特定应用调整薄膜性质。在一实施例中,可藉由改变沉积制程期间或之后UV能量的范围来调整该金属前驱物的元素浓度。薄膜性质包含湿蚀刻速率、干蚀刻速率、应力、介电常数、以及诸如此类者。
使用在此所述的制程1200沉积的导电材料因为若干物理性质而可在整个电子特征/组件上使用。在一实施例中,可在制程1200期间在基材上将导电材料沉积成层以形成电子特征,例如集成电路(第14图)。
可用来形成导电层和材料的设备及制程在共同让渡的2003年5月22号提出申请的公开为美国专利公开案第2005-0220998号的美国专利申请案第10/443,648号、2003年8月4号提出申请的公开为美国专利公开案第2004-0105934号的美国专利申请案第10/634,662号、2004年3月26号提出申请的公开为美国专利公开案第2004-0241321号的美国专利申请案第10/811,230号、2005年9月6号提出申请的美国专利申请案第60/714580号,以及在共同让渡的美国专利第6,936,538号、第6,620,723号、第6,551,929号、第6,855,368号、第6,797,340号、第6,951,804号、第6,939,801号、第6,972,267号、第6,596,643号、第6,849,545号、第6,607,976号、第6,702,027号、第6,916,398号、第6,878,206号、以及第6,936,906号中进一步描述,其在此藉由引用其整体的方式并入本文中。
种层材料
图13标出沉积种层材料的制程1300的流程图,如此间实施例所述者。该基材可设置在处理腔室内(步骤1310),选择性地暴露在预处理制程(步骤1320)中,并且加热至一预定温度(步骤1330)。随后,可在该基材上沉积一种层材料(步骤1340)。该基材可选择性地暴露在一后沉积处理制程中(步骤1350),并且该处理腔室可选择性地暴露在一腔室清洁制程中(步骤1360)。
在步骤1310期间,可将该基材设置在一处理腔室内。该处理腔室可以是单晶圆腔室或含有多个晶圆或基材(例如,25、50、100个或更多)的批次腔室。该基材可保持在一固定位置上,但较佳地,藉由一支撑台座旋转。选择性地,可在制程1300的一或多个步骤期间将基材作索引。
可在制程1300期间使用处理腔室600,在图7中示出,以如此间范例所述般在基材121上沉积种层材料。在一范例中,可在处理腔室600内的基材支撑台座上以高至约120rpm(每分钟转数)的速率旋转基材121。或者,可将基材121设置在基材支撑台座上,并且在该沉积制程期间不加以旋转。
在一实施例中,在步骤1320期间,该基材121选择性地暴露在至少一种预处理制程中。该基材表面可能含有原氧化物,其在预处理期间被除去。可利用一直接光激发系统所产生的能量束来预处理该基材121,以在步骤1340沉积种层材料之前从该基材表面上除去该些原氧化物。可在该预处理制程期间使一制程气体接触该基材。该制程气体可含有氩气、氮气、氦气、氢气、形成气体、或其组合物。该预处理制程可持续一段约2分钟至约10分钟范围内的时间,以促进光激发制程期间原氧化物的移除。此外,可在步骤1320期间将该基材121加热至约100℃至约800℃范围内的温度,较佳地,约200℃至约600℃,并且更佳地,约300℃至约500℃,以促进制程1300期间原氧化物的移除。
范例提供在步骤1320期间,基材121可暴露在灯源792所产生的能量束中。灯源792可提供拥有约2eV至约10eV范围内的光子能量的能量束,例如约3.0eV至约9.84eV。在另一范例中,灯源792提供波长在约123纳米至约500纳米范围内的UV辐射能量束。可能量化灯源792一段足以除去氧化物的时间。在一实施例中,灯源792经能量化一段约2分钟至约10分钟范围内的时间,以促进光激发制程期间原氧化物的移除。在一范例中,可在步骤1320期间将基材121加热至约100℃至约800℃范围内的温度。在另一范例中,可在步骤1320期间将该基材121加热至约300℃至约500℃范围内的温度,而灯源792可提供拥有约2eV至约10eV范围内的光子能量的能量束一段约2分钟至约5分钟范围内的时间,以促进原氧化物的移除。在一范例中,该能量束拥有约3.2eV至约4.5eV范围内的光子能量约3分钟。
在另一实施例中,可在步骤1320的预处理制程期间,藉由含有能量传输气体的制程气体的存在的光激发制程来提升原氧化物的移除。该能量传输气体可以是氖气、氩气、氪气、氙气、溴化氩、氯化氩、溴化氪、氯化氪、氟化氪、氟化氙(例如,二氟化氙)、氯化氙、溴化氙、氟气、氯气、溴气、其准分子、其自由基、其衍生物、或其组合物。在某些实施例中,除了至少一种能量传输气体之外,该制程气体也可包含氮气(N2)、氢气(H2)、形成气体(例如氮气/氢气或氩气/氢气)。
在一范例中,可藉由在步骤1320期间提供该制程气体至处理腔室600的内腔室101来使基材121暴露在含有能量传输气体的制程气体中。可从气体来源159通过面板152提供该能量传输气体。与和基材121的距离相比,该制程气体和灯源792间的邻近性能够轻易激发其中的能量传输气体。当该能量传输气体去激发(de-excite)并移动接近基材121时,该能量经有效率地传输至基材121表面,因此促进原氧化物的移除。
在另一实施例中,可在步骤1320的预处理制程期间,藉由含有有机蒸气的制程气体的存在的光激发制程来提升原氧化物的移除。在一范例中,可使该基材暴露在含有环芳碳氢化合物的制程气体中。该环芳碳氢化合物可在UV辐射存在的环境下。可在预处理制程期间使用的单环芳香碳氢化合物和多环芳香碳氢化合物包含醌(quinone)、羟基醌(dydroxyquinone)(对苯二酚(hydroquinone))、蒽(anthracene)、萘(naphthalene)、菲(phenanthracene)、其衍生物、或其组合物。在另一范例中,可使该基材暴露在含有其它碳氢化合物的制程气体中,例如不饱和碳氢化合物,包含乙烯、乙炔、丙烯、烷基衍生物、卤化衍生物、或其组合物。在另一范例中,在步骤1320的预处理制程期间,该有机蒸气可含有烷类化合物。
在一范例中,在步骤1320期间,可由灯源产生波长在126纳米至约351纳米范围内的UV辐射。在另一实施例中,多环芳香碳氢化合物可在UV存在下除去原氧化物,藉由与该些原氧化物内的氧原子反应。在另一实施例中,可藉由使基材暴露在醌或羟基醌中同时形成衍生产物来除去原生氧化物。可利用真空帮浦制程将该衍生产物从该处理腔室除去。
在步骤1330,可在预处理制程期间或之后将该基材121加热至预定温度。该基材121在步骤1340沉积该介电材料之前加热。该基材可利用该基材支撑内的嵌入式加热组件、该能量束(例如,UV源)、或其组合来加热。一般来说,该基材经加热足够久以得到预期温度,例如一段约15秒至约30分钟范围内的时间,较佳地,约30秒至约20分钟,并且更佳地,从约1分钟至约10分钟。在一实施例中,可将该基材加热至约200℃至约1000℃范围内的温度,较佳地,约400℃至约850℃,并且更佳地,约550℃至约800℃。在另一实施例中,可将该基材加热至低于约550℃,较佳地,低于约450℃。
在一范例中,可在处理腔室600内将基材121加热至该预定温度。该预定温度可在约300℃至约500℃范围内。可藉由从电源供应器施加功率至加热组件,例如加热器区块211,来加热该基材121。
在一实施例中,在步骤1340的沉积制程期间在该基材上沉积种层材料。可藉由在该沉积制程期间将该基材暴露在至少一种沉积气体中来形成该种层材料。在一范例中,该沉积制程拥有沉积气体的CVD制程,该沉积气体可包含一第一前驱物和一第二前驱物,或含有该第一和第二前驱物两者的前驱物。或者,该沉积制程可以是拥有至少两种沉积气体的ALD制程,因此,该基材相继暴露在一第一前驱物和一第二前驱物中。该沉积制程可以是热制程、自由基制程、或其组合。例如,该基材可在藉由直接光激发系统所产生的能量束的存在下暴露在制程气体中。
该种层材料包含至少一种金属,例如钌、铱、钨、钽、铂、铜、或其组合物。该种层材料也可拥有包含含钽材料的成分,例如氮化钽(TaN)。
在步骤1340形成种层的适合的含钌前驱物的范例可包含二环戊钌(ruthenocene)化合物及含有至少一开链二烯配位基的钌化合物。二环戊钌化合物包含至少一环戊配位基,例如RxC5H5-x,其中x=0-5,而R是独立的氢或烷基,并包含双(环戊二烯)钌化合物、双(烷基环戊二烯)钌化合物、双(二烷基环戊二烯)钌化合物及其衍生物,其中该些烷基可以是独立的甲基、乙基、丙基或丁基。双(环戊二烯)钌化合物拥有通用化学式(RxC5H5-x)2Ru,其中x=0-5且R是独立的氢或烷基,例如甲基、乙基、丙基或丁基。
含有至少一开链二烯配位基的钌化合物可包含例如CH2CRCHCRCH2的配位基,其中R是独立的烷基或氢。在某些范例中,该含钌前驱物可拥有两个开链二烯配位基,例如戊二烯或庚二烯,并包含双(戊二烯)钌化合物、双(烷基戊二烯)钌化合物及双(二烷基戊二烯)钌化合物。双(戊二烯)钌化合物拥有通用化学式(CH2CRCHCRCH2)2Ru,其中R是独立的烷基或氢。通常,R是独立的氢、甲基、乙基、丙基或丁基。此外,含钌前驱物可拥有一个开链二烯配位基及一环戊二烯配位基两者。
因此,可在此间所述的沉积制程期间使用的含钌前驱物的范例包含双(环戊二烯)钌(Cp2Ru)、双(甲基环戊二烯)钌、双(乙基环戊二烯)钌、双(五甲基环戊二烯)钌、双(2,4-二甲基戊二烯)钌、双(2,4-二乙基戊二烯)钌、双(2,4-二异丙基戊二烯)钌、双(2,4-二第三丁基戊二烯)钌、双(甲基戊二烯)钌、双(乙基戊二烯)钌、双(异丙基戊二烯)钌、双(第三丁基戊二烯)钌、其衍生物及其组合物。在某些实施例中,其它含钌化合物包含三(2,2,6,6-四甲基-3,5-庚二酮)钌、二羰基戊二烯钌、乙醯丙酮钌(ruthenium acetylacetonate)、(2,4-二甲基戊二烯)钌(环戊二烯)、双(2,2,6,6-四甲基-3,5-庚二酮)钌(1,5-环辛二烯)、(2,4-二甲基戊二烯)钌(甲基环戊二烯)、(1,5-环辛二烯)钌(环戊二烯)、(1,5-环辛二烯)钌(甲基环戊二烯)、(2,4-二甲基戊二烯)钌(乙基环戊二烯)、(2,4-二甲基戊二烯)钌(异丙基环戊二烯)、双(N,N-二甲基-1,3-四甲基二亚胺配位)钌(1,5-环辛二烯)、双(N,N-二甲基-1,3-二甲基二亚胺配位)钌(1,5-环辛二烯)、双(丙烯)钌(1,5-环辛二烯)、(η6-苯)钌(1,3-环己二烯)、双(1,1-二甲基-2-氨乙氧配位)钌(1,5-环辛二烯)、双(1,1-二甲基-2-氨乙胺配位)钌(1,5-环辛二烯)、其衍生物和其组合物。
可用其它含惰性金属化合物来做为含钌前驱物的取代物,以沉积其各自的惰性金属层,例如含钯、铂、钴、镍和铑的前驱物。含钯前驱物,例如,双(丙烯)钯、双(2-甲基丙烯)钯、以及(环戊二烯)(丙烯)钯、其衍生物和其组合物。适合的含铂前驱物包含二甲基(环辛二烯)钯、三甲基(环戊二烯)钯、三甲基(甲基环戊二烯)钯、环戊二烯(丙烯)钯、甲基(羰基)环戊二烯钯、三甲基(乙醯丙酮基)钯、双(乙醯丙酮基)钯、其衍生物和其组合物。适合的含钴前驱物包含双(环戊二烯)钴、(环戊二烯)(环己二烯)钴、环戊二烯(1,3-己二烯)钴、(环丁二烯)(环戊二烯)钴、双(甲基环戊二烯)钴、(环戊二烯)(5-甲基环戊二烯)钴、双(乙烯)(五甲基环戊二烯)钴、其衍生物和其组合物。适合的含镍前驱物包含双(甲基环戊二烯)镍,而适合的含铑前驱物包含双(羰基)(环戊二烯)铑、双(羰基)(乙基环戊二烯)铑、双(羰基)(甲基环戊二烯)铑、双(丙烯)铑、其衍生物及其组合物。
适合的还原气体包含传统还原剂,例如,氢(例如,氢气或原子氢)、氨气(NH3)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、二甲基硅烷(SiC2H8)、甲基硅烷(SiCH6)、乙基硅烷(SiC2H8)、一氯硅烷(ClSiH3)、二氯硅烷(Cl2SiH2)、六氯二硅烷(Si2Cl6)、硼烷(BH3)、二硼烷(B2H6)、三硼烷、四硼烷、五硼烷、烷基硼烷,例如三乙基硼烷(Et3B)、其衍生物及其组合物。
此外,该还原气体可包含用来做为还原剂的含氧气体,例如氧(例如氧气)、一氧化二氮(N2O)、一氧化氮(NO)、二氧化氮(NO2)、其衍生物和其组合物。此外,传统的还原剂可与含氧还原剂结合而形成一还原气体。本发明实施例所用的含氧气体传统上在化学技艺中用来做为氧化剂。但是,含有惰性金属(例如钌)的有机金属化合物上的配位基通常比惰性金属更容易受到含氧还原剂的影响。因此,配位基通常是从该金属中心氧化,而金属离子则还原以形成元素金属。在一范例中,该还原气体是空气,含有环境中的氧做为还原剂。可通过过滤器来干燥该空气,以减少环境中的水。
适合的含钨化合物包含六氟化钨(WF6)、六氯化钨(WCl6)、六羰钨(W(CO)6)、双(环戊二烯)二氯化钨(Cp2WCl2)以及三甲基苯三羰钨(C9H12W(CO)3),及其衍生物。适合的还原化合物包含硅烷化合物、硼烷化合物和氢。硅烷化合物包含硅烷、二硅烷、三硅烷、四硅烷、一氯硅烷、二氯硅烷、四氯硅烷、六氯硅烷、甲基硅烷及其它烷基硅烷和其衍生物,而硼烷化合物包含硼烷、二硼烷、三硼烷、四硼烷、五硼烷、三乙基硼烷及其它烷基硼烷和其衍生物。较佳的还原化合物和浸泡化合物包含硅烷、二硅烷、二硼烷、氢气和其组合物。
在一范例中,可在步骤1340的沉积制程期间于处理腔室600内的基材121上沉积种层。在一实施例中,可在CVD制程期间将基材121暴露在含有种层前驱物,例如双(环戊二烯)钌,以及一反应物,例如二硼烷,的制程气体中。该些前驱物通常从配气盘通过流量控制环提供至腔室主体651的内部空间。该些前驱物通常从气体来源159通过面板152提供至内腔室101。
在一实施例中,可在步骤1340藉由进气信道156同步,如在传统CVD制程期间或相继,如在ALD制程期间,将该些前驱物通入该处理腔室或接触基材121。该ALD制程可将该基材暴露在至少两种制程气体中,因此,该基材相继暴露在一第一前驱物,例如双(环戊二烯)钌,及一第二前驱物,例如二硼烷中。虽然示出一进气信道156,但预期到该第一前驱物和该第二前驱物以不同的气线提供至处理腔室600。可控制每一条气线的温度。
对于CVD和ALD制程及可调整的设备(例如,并入UV辐射源)的描述和可用来沉积种层的化学前驱物在2006年6月15号公开的标题为「用于钨薄膜沉积的做为底层的钌」的美国专利申请公开案第2006-0128150号中进一步揭示,其在此藉由引用其整体的方式并入本文中。
做为该第一前驱物,例如,含钌前驱物,例如双(环戊二烯)钌,以及还原剂,例如二硼烷,在该处理腔室内合并,钌形成在该基材表面上。
可在步骤1340期间提供载气,以控制第一前驱物和第二前驱物的分压。单一晶圆处理腔室的总内压可在约100毫托耳至约740毫托耳范围内的压力下,较佳地,约250毫托耳至约100托耳,并且更佳地,约500毫托耳至约50托耳。在一范例中,该处理腔室的内压维持在约10托耳或更低的压力下,较佳地,约5托耳或更低,并且更佳地,约1托耳或更低。在某些实施例中,可提供载气以将批处理系统的第一前驱物或第二前驱物的分压控制在约100毫托耳至约1托耳范围内。适合的载气的范例包含氮气、氢气、氩气、氦气、形成气体、或其组合物。
该基材、该第一前驱物、及/或该第二前驱物可在步骤1340的沉积制程期间暴露在该光激发系统产生的能量束或能量通量中。能量束的使用有利地增加沉积速率,并且改善表面扩散或该钌材料内的原子迁移率,以为接踵而来的物种产生活化位置。在一实施例中,该能量束拥有约3.0eV至约9.84eV范围内的能量。此外,该能量束可拥有约126纳米至约450纳米范围内的波长。
在一范例中,灯源790提供一能量束以供应该些前驱物的至少一种的激发能量。高沉积速率和低沉积温度产生拥有可调整性质的种层,其具有最小的寄生副反应。在一实施例中,该能量束或通量可拥有约4.5eV至约9.84eV范围内的光子能量。该基材表面和该些制程气体也可由灯源790激发。
在另一实施例中,含有该种层(在步骤1340中形成)的基材在步骤1350期间暴露在一后沉积处理制程中。该后沉积处理制程在沉积之后增加基材表面能量,这有利地除去挥发物及/或其它薄膜污染物(例如藉由降低氢含量)及/或退火该沉积膜。来自该沉积材料的较低的氢含量有利地增加薄膜的张应力。或者可使用至少一个灯源(例如,灯源790)来能量化一能量传输气体,其接触该基材以在沉积后增加该基材的表面能量,并除去挥发物及/或其它薄膜。
选择性地,在步骤1350,可提供一能量传输气体至处理腔室600的内腔室101。适合的能量传输气体的范例包含氮气、氢气、氦气、氩气、及其组合物。范例提供在步骤1350期间,该基材121以能量束或能量通量来处理。在一范例中,灯源792提供一能量束以在步骤1350期间供应基材121的表面能量。在退火该种层的另一范例中,该能量束或通量可拥有约3.53eV至约9.84eV范围内的光子能量。此外,灯源790可产生波长约126纳米至约351纳米范围内的能量束。一般来说,可能量化灯源790一段约1分钟至约10分钟范围内的时间,以促进利用光激发的后沉积处理。
在一范例中,可藉由将该基材暴露在灯源790产生的拥有约3.2eV至约4.5
eV范围内的光子能量的能量束中从沉积膜表面除去挥发性化合物或污染物,该能量束用来分解处理腔室600内的钨或钛前驱物和氮前驱物。因此,准分子灯,例如溴化氙*(283纳米/4.41eV)、溴气*(289纳米/4.29eV)、氯化氙*(308纳米/4.03eV)、碘气*(342纳米/3.63eV)、氟化氙*(351纳米/3.53eV)可经选择以从该种层除去氢。预期到可改变该基材的旋转速度,例如,藉由增加步骤1350相对于之前的沉积步骤的旋转速度。
在另一实施例中,可从该处理腔室600移出该基材121,随后在步骤1360将该处理腔室600暴露在一腔室清洁制程中。可利用一光激发清洁剂来清洁该处理腔室。在一实施例中,该清洁剂包含氟。
可在腔室清洁制程期间清洁处理腔室600,以强化沉积效能。例如,可用该腔室清洁制程来除去包含在处理腔室600表面上的污染物或包含在窗口793上的污染物,藉此最小化通过窗口793的能量束或通量的传输损失,并最大化传输至该些气体及表面的能量。可以比制程腔室600高的频率清洁窗口793,例如,可在处理一些基材之后清洁处理腔室600,但窗口793则在处理每一个基材之后清洁。
使用在此所述的制程1300沉积的种层因为若干物理性质而可在整个电子特征/组件上使用。在一实施例中,可在制程1300期间在基材上将种层沉积成层以形成电子特征,例如集成电路(第14图)。
在ALD沉积的情况中,可连同前述制程执行有或没有反应物气体的UV退火处理。此UV退火处理通常是在30℃和1000℃之间的温度范围内执行,使用123纳米和500纳米之间的UV能量。此退火处理可在清洁循环期间、完成每一次循环之后、交替循环之后、完成要求的厚度的所有循环之后、以及完成制程运转之后执行。当与氧气和臭氧并用时,此制程增加该薄膜内的氧含量,帮助维持每一层的高K氧化物、氮化物、及氮氧化物的化学计量,除去碳及其它不纯物,密实化该薄膜,并减少漏电流。
图14A-14D标出集成电路生产程序的简要剖面图。图14A示出拥有金属接触层1404和介电层1402形成在其上的基材1400的剖面图。基材1400可包含半导体材料,例如硅、锗、或砷化镓。介电层1402可包含绝缘材料,例如二氧化硅、氮化硅、绝缘层上硅、氮氧化硅及/或掺杂碳的氧化硅,例如SiOxCy,例如,BLACK DIAMONDTM低k介电材料,可由加州圣塔克拉拉的应用材料公司取得。金属接触层1404包含导电材料,例如,钨、铜、铝及其合金。可在该介电层1402中界定出一介层洞或孔洞1403,以在金属接触层1404上提供开口。可利用已知微影和蚀刻技术在介电层1402内界定出孔洞1403。
可在介电层1402以及孔洞1403上形成阻障层1406。阻障层1406可包含一或多种阻障材料,例如钽、氮化钽、氮化硅钽、钛、氮化钛、氮化硅钛、氮化钨、氮化硅、氮化钌、其衍生物、其合金和其组合物。可利用适合的沉积制程来形成阻障层1406,例如ALD、CVD、PVD或无电镀沉积。例如,可利用CVD制程或ALD制程沉积氮化钽,其中含钽化合物或钽前驱物(例如PDMAT)及含氮化合物或氮前驱物(例如氨水)发生反应。在一实施例中,钽及/或氮化钽利用ALD制程沉积为阻障层1406,如在共同让渡的2002年10月25号提出申请的美国专利申请案第10/281,079号中描述者,并且在此藉由引用的方式并入本文中。在一范例中,可将钽/氮化钽双层沉积为阻障层1406,其中该钽层和该氮化钽层独立利用ALD、CVD及/或PVD制程沉积。
一层1408,例如一钌层,可利用ALD、CVD或PVD制程沉积在阻障层1406上,较佳地,利用ALD制程。一成核层1410,例如一钨成核层,可形成在该层1408上,如第14C图所示者。该成核层1410利用已知沉积技术沉积,例如ALD、CVD或PVD。较佳地,成核层1410利用ALD制程沉积,例如轮流吸收一含钨前驱物和一还原化合物。一主体层1412,例如一钨主体层,可形成在该成核层1410上。
虽然上述针对本发明的实施例,但可在不背离其基本范围下设计出本发明的其它及进一步实施例,并且其范围由如下权利要求书决定。

Claims (6)

1.一种在一基材上形成一金属氮化物的方法,包含:
将一基材设置在一处理腔室内;
使该基材暴露在一沉积气体中,其包含一含金属前驱物以及一含氮前驱物;
在该处理腔室内使该沉积气体暴露在从一UV来源衍生出的能量束中;以及
沉积一金属氮化物在该基材上。
2.一种在一基材上形成一金属氧化物的方法,包含:
将一基材设置在一处理腔室内;
使该基材暴露在一沉积气体中,其包含一含金属前驱物以及一含氧前驱物;
在该处理腔室内使该沉积气体暴露在一从UV来源衍生出的能量束中;以及
沉积一金属氧化物在该基材上。
3.一种在一基材上形成一金属层的方法,包含:
将一基材设置在一处理腔室内;
使该基材暴露在一沉积气体中,其包含一含金属前驱物以及一还原气体;
在该处理腔室内使该沉积气体暴露在从一UV来源衍生出的能量束中;以及
沉积一金属层在该基材上。
4.一种用于处理多个基材的批次腔室,包含:
一腔室外罩,含有一处理区;
一晶舟,位于该处理区中,用以固持一批垂直堆栈的基材;以及
一激发组件,用以激发通入该处理区内的制程气体物种,该激发组件设置在该腔室外罩内,其中该激发组件包含一阳极单元和一阴极单元,并且该阳极单元或该阴极单元沿着该晶舟的垂直方向延伸。
5.一种用于处理多个基材的批次腔室,包含:
一腔室外罩,含有一处理区;
一注入组件,位于该腔室外罩内,用以将一制程气体注入该处理区,并拥有一进气信道和一面板;
一晶舟,位于该处理区中,用以固持一批基材;以及
一激发组件,用以激发该制程气体物种,并且设置在该注入组件内。
6.一种批处理多数基材的方法,包含:
处理一批垂直堆栈在一腔室的一晶舟内的多个基材;
将一制程气体注入该腔室;以及
在该腔室的一激发区内,激发该制程气体物种,其中该激发区沿着堆栈在该晶舟内的该批基材的垂直尺寸延伸。
CN2007800162536A 2006-05-05 2007-05-02 用于介电薄膜的原子层沉积的化学品的光激发的方法和装置 Expired - Fee Related CN101438391B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/381,970 US7798096B2 (en) 2006-05-05 2006-05-05 Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
US11/381,970 2006-05-05
US11/464,121 2006-08-11
US11/464,121 US20070259111A1 (en) 2006-05-05 2006-08-11 Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film
PCT/US2007/068043 WO2007131040A2 (en) 2006-05-05 2007-05-02 Method and apparatus for photo-excitation of chemicals for atomic layer deposition of dielectric film

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN2013101035268A Division CN103215570A (zh) 2006-05-05 2007-05-02 用于介电薄膜的原子层沉积的化学品的光激发的方法和装置

Publications (2)

Publication Number Publication Date
CN101438391A true CN101438391A (zh) 2009-05-20
CN101438391B CN101438391B (zh) 2013-04-10

Family

ID=38668512

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2013101035268A Pending CN103215570A (zh) 2006-05-05 2007-05-02 用于介电薄膜的原子层沉积的化学品的光激发的方法和装置
CN2007800162536A Expired - Fee Related CN101438391B (zh) 2006-05-05 2007-05-02 用于介电薄膜的原子层沉积的化学品的光激发的方法和装置

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN2013101035268A Pending CN103215570A (zh) 2006-05-05 2007-05-02 用于介电薄膜的原子层沉积的化学品的光激发的方法和装置

Country Status (7)

Country Link
US (1) US20070259111A1 (zh)
EP (1) EP2022084A2 (zh)
JP (2) JP5301430B2 (zh)
KR (1) KR101046071B1 (zh)
CN (2) CN103215570A (zh)
TW (2) TW201315836A (zh)
WO (1) WO2007131040A2 (zh)

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103160799A (zh) * 2011-12-19 2013-06-19 同方威视技术股份有限公司 中子敏感镀膜及其形成方法
CN104798220A (zh) * 2012-11-19 2015-07-22 欧司朗Oled股份有限公司 用于在电子器件的表面区域上制造层的方法
CN105102675A (zh) * 2013-04-07 2015-11-25 村川惠美 旋转型半批次原子层沉积装置以及制程
CN107385416A (zh) * 2017-09-01 2017-11-24 常州比太科技有限公司 一种镀膜进气结构
CN107785488A (zh) * 2016-08-25 2018-03-09 杭州纤纳光电科技有限公司 钙钛矿薄膜的低压化学沉积的设备及其使用方法和应用
CN107924829A (zh) * 2015-09-30 2018-04-17 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN109686682A (zh) * 2018-12-14 2019-04-26 中国科学院微电子研究所 一种平衡晶圆间热预算的方法
CN111868897A (zh) * 2018-03-28 2020-10-30 株式会社国际电气 基板处理装置、气体喷嘴及半导体装置的制造方法
CN113275589A (zh) * 2021-05-20 2021-08-20 亚芯半导体材料(江苏)有限公司 高纯度钛粉、钨钛合金溅射靶材的制备方法及系统

Families Citing this family (61)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7819981B2 (en) * 2004-10-26 2010-10-26 Advanced Technology Materials, Inc. Methods for cleaning ion implanter components
TWI329135B (en) * 2005-11-04 2010-08-21 Applied Materials Inc Apparatus and process for plasma-enhanced atomic layer deposition
CN101473073B (zh) 2006-04-26 2012-08-08 高级技术材料公司 半导体加工系统的清洁
US7482289B2 (en) * 2006-08-25 2009-01-27 Battelle Memorial Institute Methods and apparatus for depositing tantalum metal films to surfaces and substrates
US7678422B2 (en) * 2006-12-13 2010-03-16 Air Products And Chemicals, Inc. Cyclic chemical vapor deposition of metal-silicon containing films
TWI619153B (zh) 2008-02-11 2018-03-21 恩特葛瑞斯股份有限公司 在半導體處理系統中離子源之清洗
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US20100062149A1 (en) 2008-09-08 2010-03-11 Applied Materials, Inc. Method for tuning a deposition rate during an atomic layer deposition process
US20100119406A1 (en) * 2008-11-07 2010-05-13 Christian Dussarrat Allyl-containing precursors for the deposition of metal-containing films
US20100183825A1 (en) * 2008-12-31 2010-07-22 Cambridge Nanotech Inc. Plasma atomic layer deposition system and method
US8889565B2 (en) * 2009-02-13 2014-11-18 Asm International N.V. Selective removal of oxygen from metal-containing materials
US7829457B2 (en) * 2009-02-20 2010-11-09 Asm International N.V. Protection of conductors from oxidation in deposition chambers
US20110020546A1 (en) * 2009-05-15 2011-01-27 Asm International N.V. Low Temperature ALD of Noble Metals
US9159551B2 (en) * 2009-07-02 2015-10-13 Micron Technology, Inc. Methods of forming capacitors
KR101044913B1 (ko) * 2009-07-14 2011-06-28 신웅철 배치형 원자층 증착 장치
US8617668B2 (en) * 2009-09-23 2013-12-31 Fei Company Method of using nitrogen based compounds to reduce contamination in beam-induced thin film deposition
US8765220B2 (en) 2009-11-09 2014-07-01 American Air Liquide, Inc. Methods of making and deposition methods using hafnium- or zirconium-containing compounds
US8507388B2 (en) 2010-04-26 2013-08-13 Asm International N.V. Prevention of oxidation of substrate surfaces in process chambers
JP5618063B2 (ja) * 2010-07-28 2014-11-05 独立行政法人産業技術総合研究所 半導体装置及びその製造方法
WO2012018086A1 (ja) * 2010-08-06 2012-02-09 宇部興産株式会社 マグネシウムビス(ジアルキルアミド)化合物、及び当該マグネシウム化合物を用いるマグネシウム含有薄膜の製造方法
US20130143402A1 (en) * 2010-08-20 2013-06-06 Nanmat Technology Co., Ltd. Method of forming Cu thin film
CN101935826A (zh) * 2010-09-13 2011-01-05 宁波升日太阳能电源有限公司 一种等离子体增强化学气相沉积炉
JP5562434B2 (ja) * 2010-11-19 2014-07-30 株式会社日立国際電気 半導体装置の製造方法、基板処理方法、基板処理装置およびプログラム
WO2012090420A1 (ja) * 2010-12-28 2012-07-05 キヤノンアネルバ株式会社 カーボン膜の製造方法及びプラズマcvd方法
JP6041464B2 (ja) * 2011-03-03 2016-12-07 大陽日酸株式会社 金属薄膜の製膜方法、および金属薄膜の製膜装置
CN103147069A (zh) * 2011-12-07 2013-06-12 周义才 金属有机物磊晶薄膜的制造方法
US8853046B2 (en) * 2012-02-16 2014-10-07 Intermolecular, Inc. Using TiON as electrodes and switching layers in ReRAM devices
US9343749B2 (en) 2013-05-29 2016-05-17 Ford Global Technologies, Llc Ultrathin platinum films
US8940646B1 (en) 2013-07-12 2015-01-27 Lam Research Corporation Sequential precursor dosing in an ALD multi-station/batch reactor
KR101502816B1 (ko) * 2013-11-05 2015-03-16 주식회사 엔씨디 대면적 기판용 수평형 원자층 증착장치
WO2015112328A1 (en) * 2014-01-27 2015-07-30 Applied Materials, Inc. High speed epi system and chamber concepts
CN104409393B (zh) * 2014-11-17 2017-12-08 上海华力微电子有限公司 晶圆净化装置、刻蚀机台及大马士革刻蚀方法
US20160138161A1 (en) * 2014-11-19 2016-05-19 Applied Materials, Inc. Radical assisted cure of dielectric films
DE102014226039A1 (de) * 2014-12-16 2016-06-16 Carl Zeiss Smt Gmbh Ionisierungseinrichtung und Massenspektrometer damit
FI126794B (en) * 2014-12-22 2017-05-31 Picosun Oy Photo-assisted coating process
KR101698021B1 (ko) * 2014-12-31 2017-01-19 주식회사 엔씨디 대면적 원자층 증착장치
JP6667797B2 (ja) * 2016-11-16 2020-03-18 日本電気硝子株式会社 ガラス基板の製造方法
US11293093B2 (en) 2017-01-06 2022-04-05 Applied Materials Inc. Water assisted highly pure ruthenium thin film deposition
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US11643721B2 (en) 2017-09-12 2023-05-09 Applied Materials, Inc. Low temperature deposition of iridium containing films
WO2019067315A1 (en) * 2017-09-26 2019-04-04 Applied Materials, Inc. METHOD, MATERIALS AND PROCESSES FOR REMOVING NATIVE OXIDE AND REFORMING DIELECTRIC OXIDES, ENABLING BETTER BIOSPER PERFORMANCE
JP6839789B2 (ja) 2017-11-21 2021-03-10 ワトロー エレクトリック マニュファクチュアリング カンパニー 原子保護層を有するセラミックペデスタル
KR102476262B1 (ko) * 2017-12-14 2022-12-08 어플라이드 머티어리얼스, 인코포레이티드 에칭 잔류물이 더 적게 금속 산화물들을 에칭하는 방법들
CN111936664A (zh) 2018-03-19 2020-11-13 应用材料公司 在航空航天部件上沉积涂层的方法
US11230766B2 (en) * 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
EP3784815A4 (en) 2018-04-27 2021-11-03 Applied Materials, Inc. PROTECTION OF COMPONENTS AGAINST CORROSION
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
WO2020068618A1 (en) * 2018-09-28 2020-04-02 Applied Materials, Inc. Methods of forming nickel-containing films
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
TW202028504A (zh) * 2018-12-03 2020-08-01 德商馬克專利公司 高度選擇性沉積金屬膜之方法
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
JPWO2020255822A1 (zh) * 2019-06-21 2020-12-24
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
CN112575312B (zh) * 2019-09-30 2023-08-29 长鑫存储技术有限公司 薄膜制备设备以及薄膜制备方法
CN110724932A (zh) * 2019-10-18 2020-01-24 长江存储科技有限责任公司 膜层及其沉积方法、半导体结构及其形成方法
FI129557B (en) * 2019-11-28 2022-04-29 Picosun Oy Substrate processing apparatus and process
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
EP4175772A1 (en) 2020-07-03 2023-05-10 Applied Materials, Inc. Methods for refurbishing aerospace components
WO2022031406A1 (en) 2020-08-03 2022-02-10 Applied Materials, Inc. Batch thermal process chamber

Family Cites Families (111)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3427514A (en) * 1966-10-13 1969-02-11 Rca Corp Mos tetrode
US4310380A (en) * 1980-04-07 1982-01-12 Bell Telephone Laboratories, Incorporated Plasma etching of silicon
JPS5861763A (ja) * 1981-10-09 1983-04-12 武笠 均 触感知器消化装置
US4563367A (en) * 1984-05-29 1986-01-07 Applied Materials, Inc. Apparatus and method for high rate deposition and etching
US4725560A (en) * 1986-09-08 1988-02-16 International Business Machines Corp. Silicon oxynitride storage node dielectric
US4837113A (en) * 1987-07-16 1989-06-06 Texas Instruments Incorporated Method for depositing compound from group II-VI
DE3743938C2 (de) * 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
JPH0211327U (zh) * 1988-07-04 1990-01-24
US5874766A (en) * 1988-12-20 1999-02-23 Matsushita Electric Industrial Co., Ltd. Semiconductor device having an oxynitride film
US5225366A (en) * 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5483919A (en) * 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5178681A (en) * 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP3115015B2 (ja) * 1991-02-19 2000-12-04 東京エレクトロン株式会社 縦型バッチ処理装置
JPH05343328A (ja) * 1991-04-30 1993-12-24 Iwasaki Electric Co Ltd Cvd装置
JPH0551952U (ja) * 1991-12-09 1993-07-09 日新電機株式会社 プラズマ処理装置
US5480818A (en) * 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
US5391510A (en) * 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
JPH06232046A (ja) * 1992-11-30 1994-08-19 Univ Colorado State 光化学蒸着方法
US5865896A (en) * 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US5851602A (en) * 1993-12-09 1998-12-22 Applied Materials, Inc. Deposition of high quality conformal silicon oxide thin films for the manufacture of thin film transistors
JPH08130210A (ja) * 1994-10-31 1996-05-21 M C Electron Kk 縦型プラズマリアクター
FI97730C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
FI100409B (fi) * 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) * 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
US6313035B1 (en) * 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) * 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US6190513B1 (en) * 1997-05-14 2001-02-20 Applied Materials, Inc. Darkspace shield for improved RF transmission in inductively coupled plasma sources for sputter deposition
JPH10173490A (ja) * 1996-12-10 1998-06-26 Sony Corp シンセサイザ受信機
US6174377B1 (en) * 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
US6841439B1 (en) * 1997-07-24 2005-01-11 Texas Instruments Incorporated High permittivity silicate gate dielectric
US6020243A (en) * 1997-07-24 2000-02-01 Texas Instruments Incorporated Zirconium and/or hafnium silicon-oxynitride gate dielectric
KR100385946B1 (ko) * 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
US6020024A (en) * 1997-08-04 2000-02-01 Motorola, Inc. Method for forming high dielectric constant metal oxides
KR100269328B1 (ko) * 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
KR100275727B1 (ko) * 1998-01-06 2001-01-15 윤종용 반도체 장치의 커패시터 형성방법
US6015917A (en) * 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6506287B1 (en) * 1998-03-16 2003-01-14 Applied Materials, Inc. Overlap design of one-turn coil
KR100267885B1 (ko) * 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
US6027961A (en) * 1998-06-30 2000-02-22 Motorola, Inc. CMOS semiconductor devices and method of formation
KR100304699B1 (ko) * 1999-01-05 2001-09-26 윤종용 탄탈륨 산화막을 갖춘 커패시터 제조방법
US6171900B1 (en) * 1999-04-15 2001-01-09 Taiwan Semiconductor Manufacturing Company CVD Ta2O5/oxynitride stacked gate insulator with TiN gate electrode for sub-quarter micron MOSFET
US6171910B1 (en) * 1999-07-21 2001-01-09 Motorola Inc. Method for forming a semiconductor device
US6184114B1 (en) * 1999-08-17 2001-02-06 Advanced Micro Devices, Inc. MOS transistor formation
US6984415B2 (en) * 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6753556B2 (en) * 1999-10-06 2004-06-22 International Business Machines Corporation Silicate gate dielectric
CA2390465A1 (en) * 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6558509B2 (en) * 1999-11-30 2003-05-06 Applied Materials, Inc. Dual wafer load lock
US6344419B1 (en) * 1999-12-03 2002-02-05 Applied Materials, Inc. Pulsed-mode RF bias for sidewall coverage improvement
US6319766B1 (en) * 2000-02-22 2001-11-20 Applied Materials, Inc. Method of tantalum nitride deposition by tantalum oxide densification
JP4592867B2 (ja) * 2000-03-27 2010-12-08 株式会社半導体エネルギー研究所 平行平板形プラズマcvd装置及びドライクリーニングの方法
US6184072B1 (en) * 2000-05-17 2001-02-06 Motorola, Inc. Process for forming a high-K gate dielectric
EP2293322A1 (en) * 2000-06-08 2011-03-09 Genitech, Inc. Method for forming a metal nitride layer
KR100332314B1 (ko) * 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
US6620723B1 (en) * 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
KR100545706B1 (ko) * 2000-06-28 2006-01-24 주식회사 하이닉스반도체 반도체 소자 제조방법
US6936538B2 (en) * 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
KR100444149B1 (ko) * 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6641673B2 (en) * 2000-12-20 2003-11-04 General Electric Company Fluid injector for and method of prolonged delivery and distribution of reagents into plasma
KR100387259B1 (ko) * 2000-12-29 2003-06-12 주식회사 하이닉스반도체 반도체 소자의 제조 방법
JP3979849B2 (ja) * 2001-01-11 2007-09-19 株式会社日立国際電気 プラズマ処理装置および半導体装置の製造方法
US6878206B2 (en) * 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6596643B2 (en) * 2001-05-07 2003-07-22 Applied Materials, Inc. CVD TiSiN barrier for copper integration
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
JP4680429B2 (ja) * 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
US20030017697A1 (en) * 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US6677254B2 (en) * 2001-07-23 2004-01-13 Applied Materials, Inc. Processes for making a barrier between a dielectric and a conductor and products produced therefrom
US20030029715A1 (en) * 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6674138B1 (en) * 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
US6677247B2 (en) * 2002-01-07 2004-01-13 Applied Materials Inc. Method of increasing the etch selectivity of a contact sidewall to a preclean etchant
US20030164143A1 (en) * 2002-01-10 2003-09-04 Hitachi Kokusai Electric Inc. Batch-type remote plasma processing apparatus
US6504214B1 (en) * 2002-01-11 2003-01-07 Advanced Micro Devices, Inc. MOSFET device having high-K dielectric layer
US6972267B2 (en) * 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
JP3957549B2 (ja) * 2002-04-05 2007-08-15 株式会社日立国際電気 基板処埋装置
KR100829327B1 (ko) * 2002-04-05 2008-05-13 가부시키가이샤 히다치 고쿠사이 덴키 기판 처리 장치 및 반응 용기
US6846516B2 (en) * 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6682973B1 (en) * 2002-05-16 2004-01-27 Advanced Micro Devices, Inc. Formation of well-controlled thin SiO, SiN, SiON layer for multilayer high-K dielectric applications
US6858547B2 (en) * 2002-06-14 2005-02-22 Applied Materials, Inc. System and method for forming a gate dielectric
KR100476926B1 (ko) * 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) * 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
US20040009336A1 (en) * 2002-07-11 2004-01-15 Applied Materials, Inc. Titanium silicon nitride (TISIN) barrier layer for copper diffusion
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US20040013803A1 (en) * 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) * 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US6955211B2 (en) * 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) * 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) * 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) * 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US20040018738A1 (en) * 2002-07-22 2004-01-29 Wei Liu Method for fabricating a notch gate structure of a field effect transistor
US7300038B2 (en) * 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) * 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
JP4020306B2 (ja) * 2002-10-07 2007-12-12 株式会社日立国際電気 基板処埋装置
US6982230B2 (en) * 2002-11-08 2006-01-03 International Business Machines Corporation Deposition of hafnium oxide and/or zirconium oxide and fabrication of passivated electronic structures
US7553686B2 (en) * 2002-12-17 2009-06-30 The Regents Of The University Of Colorado, A Body Corporate Al2O3 atomic layer deposition to enhance the deposition of hydrophobic or hydrophilic coatings on micro-electromechanical devices
US20050016956A1 (en) * 2003-03-14 2005-01-27 Xinye Liu Methods and apparatus for cycle time improvements for atomic layer deposition
US7211508B2 (en) * 2003-06-18 2007-05-01 Applied Materials, Inc. Atomic layer deposition of tantalum based barrier materials
US7368392B2 (en) * 2003-07-10 2008-05-06 Applied Materials, Inc. Method of fabricating a gate structure of a field effect transistor having a metal-containing gate electrode
US8536492B2 (en) * 2003-10-27 2013-09-17 Applied Materials, Inc. Processing multilayer semiconductors with multiple heat sources
US6983892B2 (en) * 2004-02-05 2006-01-10 Applied Materials, Inc. Gas distribution showerhead for semiconductor processing
US20050217560A1 (en) * 2004-03-31 2005-10-06 Tolchinsky Peter G Semiconductor wafers with non-standard crystal orientations and methods of manufacturing the same
US20060019033A1 (en) * 2004-05-21 2006-01-26 Applied Materials, Inc. Plasma treatment of hafnium-containing materials
CN100539026C (zh) * 2004-06-28 2009-09-09 东京毅力科创株式会社 成膜装置
US7241686B2 (en) * 2004-07-20 2007-07-10 Applied Materials, Inc. Atomic layer deposition of tantalum-containing materials using the tantalum precursor TAIMATA
US20060019032A1 (en) * 2004-07-23 2006-01-26 Yaxin Wang Low thermal budget silicon nitride formation for advance transistor fabrication
JP2006066884A (ja) * 2004-07-27 2006-03-09 Tokyo Electron Ltd 成膜方法、成膜装置及び記憶媒体
US20070020890A1 (en) * 2005-07-19 2007-01-25 Applied Materials, Inc. Method and apparatus for semiconductor processing
US7317229B2 (en) * 2005-07-20 2008-01-08 Applied Materials, Inc. Gate electrode structures and methods of manufacture

Cited By (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103160799A (zh) * 2011-12-19 2013-06-19 同方威视技术股份有限公司 中子敏感镀膜及其形成方法
CN104798220A (zh) * 2012-11-19 2015-07-22 欧司朗Oled股份有限公司 用于在电子器件的表面区域上制造层的方法
CN104798220B (zh) * 2012-11-19 2018-06-12 欧司朗Oled股份有限公司 用于在电子器件的表面区域上制造层的方法
CN105102675B (zh) * 2013-04-07 2018-04-20 村川惠美 旋转型半批次原子层沉积装置
CN105102675A (zh) * 2013-04-07 2015-11-25 村川惠美 旋转型半批次原子层沉积装置以及制程
TWI600789B (zh) * 2013-04-07 2017-10-01 村川惠美 旋轉型半批次原子層沉積裝置
US10480073B2 (en) 2013-04-07 2019-11-19 Shigemi Murakawa Rotating semi-batch ALD device
CN107924829B (zh) * 2015-09-30 2021-07-23 株式会社国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN107924829A (zh) * 2015-09-30 2018-04-17 株式会社日立国际电气 半导体器件的制造方法、衬底处理装置及记录介质
CN107785488A (zh) * 2016-08-25 2018-03-09 杭州纤纳光电科技有限公司 钙钛矿薄膜的低压化学沉积的设备及其使用方法和应用
CN107385416A (zh) * 2017-09-01 2017-11-24 常州比太科技有限公司 一种镀膜进气结构
CN107385416B (zh) * 2017-09-01 2023-11-03 常州比太科技有限公司 一种镀膜进气结构
CN111868897A (zh) * 2018-03-28 2020-10-30 株式会社国际电气 基板处理装置、气体喷嘴及半导体装置的制造方法
CN109686682A (zh) * 2018-12-14 2019-04-26 中国科学院微电子研究所 一种平衡晶圆间热预算的方法
CN113275589A (zh) * 2021-05-20 2021-08-20 亚芯半导体材料(江苏)有限公司 高纯度钛粉、钨钛合金溅射靶材的制备方法及系统
CN113275589B (zh) * 2021-05-20 2024-01-23 亚芯半导体材料(江苏)有限公司 高纯度钛粉、钨钛合金溅射靶材的制备方法及系统

Also Published As

Publication number Publication date
JP2009536267A (ja) 2009-10-08
TW200801228A (en) 2008-01-01
WO2007131040A3 (en) 2008-01-10
CN101438391B (zh) 2013-04-10
JP2013241678A (ja) 2013-12-05
EP2022084A2 (en) 2009-02-11
JP5301430B2 (ja) 2013-09-25
TW201315836A (zh) 2013-04-16
KR20090007486A (ko) 2009-01-16
TWI404816B (zh) 2013-08-11
KR101046071B1 (ko) 2011-07-01
WO2007131040A2 (en) 2007-11-15
CN103215570A (zh) 2013-07-24
US20070259111A1 (en) 2007-11-08

Similar Documents

Publication Publication Date Title
CN101438391B (zh) 用于介电薄膜的原子层沉积的化学品的光激发的方法和装置
US8435905B2 (en) Manufacturing method of semiconductor device, and substrate processing apparatus
TWI542723B (zh) The method of manufacturing a semiconductor device, a substrate processing apparatus and a recording medium
JP4281082B2 (ja) 堆積前の表面調整方法
US7906175B2 (en) Methods for forming a ruthenium-based film on a substrate
US8361910B2 (en) Pretreatment processes within a batch ALD reactor
US20060013955A1 (en) Deposition of ruthenium and/or ruthenium oxide films
US8592294B2 (en) High temperature atomic layer deposition of dielectric oxides
US20050238808A1 (en) Methods for producing ruthenium film and ruthenium oxide film
US7846793B2 (en) Plasma surface treatment for SI and metal nanocrystal nucleation
CN105296963B (zh) 半导体器件的制造方法及衬底处理装置
US20080038465A1 (en) Precursor For Film Formation And Method For Forming Ruthenium-Containing Film
US9472637B2 (en) Semiconductor device having electrode made of high work function material and method of manufacturing the same
JP2007516599A (ja) ゲルマニウム上の堆積前の表面調製
JP2001237243A (ja) インシチュ誘電体スタックの製造方法及びそのプロセス
KR20070013337A (ko) 높은 k 유전체 물질 상에 실리콘 옥시니트라이드 층의형성
TWI567222B (zh) A manufacturing method of a semiconductor device, a substrate processing device, and a program
KR20050023238A (ko) 반도체 장치의 제조방법 및 기판처리 장치
US20090087550A1 (en) Sequential flow deposition of a tungsten silicide gate electrode film
TWI515803B (zh) 矽化鉭內的摻雜鋁

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130410

Termination date: 20150502

EXPY Termination of patent right or utility model