CN101483136A - 选择性沉积重掺杂外延硅锗的方法 - Google Patents

选择性沉积重掺杂外延硅锗的方法 Download PDF

Info

Publication number
CN101483136A
CN101483136A CNA2009100038065A CN200910003806A CN101483136A CN 101483136 A CN101483136 A CN 101483136A CN A2009100038065 A CNA2009100038065 A CN A2009100038065A CN 200910003806 A CN200910003806 A CN 200910003806A CN 101483136 A CN101483136 A CN 101483136A
Authority
CN
China
Prior art keywords
silicon
germanium
base material
deposition
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CNA2009100038065A
Other languages
English (en)
Other versions
CN101483136B (zh
Inventor
Y·金
A·V·萨莫伊洛夫
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN101483136A publication Critical patent/CN101483136A/zh
Application granted granted Critical
Publication of CN101483136B publication Critical patent/CN101483136B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02576N-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/0257Doping during depositing
    • H01L21/02573Conductivity type
    • H01L21/02579P-type
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02636Selective deposition, e.g. simultaneous growth of mono- and non-monocrystalline semiconductor materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate

Abstract

一实施例中提供一种在基材上沉积硅或硅锗薄膜的方法,其包含将该基材置于一处理室中,并加热该基材表面使其温度介于约600℃至900℃之间,同时保持该处理室中的压力介于约13巴(0.1托耳)至27仟巴(200托耳)之间。将一沉积气体提供至该处理室中,该沉积气体包括硅烷(SiH4)、一可选用的锗来源气体、一蚀刻剂、一载气与可选用至少一种掺杂剂气体。该硅或硅锗薄膜选择性地外延成长于该基材上。一实施例中包含一种以一惰性气体作为载气来沉积一含硅薄膜的方法。该些方法亦包含使用选择性硅锗外延薄膜来制造电子元件。

Description

选择性沉积重掺杂外延硅锗的方法
技术领域
本案是中国专利申请200480032674.4(PCT/US2004/030872)的分案申请。本发明实施例大体上有关于半导体制造方法与元件,更明确而言,是有关于沉积含硅薄膜以形成半导体元件的方法。
背景技术
当制造越小的电晶体时,超浅源/漏极接面(ultra shallow source/drainjunctions)的制造越显困难。根据半导体内连线技术蓝图(ITRS),对于尺寸低于100纳米的互补式金属氧化物半导体(CMOS)元件而言,其接面深度需小于30纳米。当接面深度接近10纳米时,传统利用注入与回火来执行掺杂的方法效果较差。注入掺杂的方式需要一道后续的回火步骤,以活化该些掺杂剂,而后续的回火步骤会造成较大程度的掺杂剂扩散(enhanceddopand diffusion)至膜层中。
近来,重掺杂(约大于109原子/立方公分)的选择性硅锗外延(epitaxial)成为在制造升高式源/漏极与源/漏极延伸特征时有用的沉积材料。源/漏极延伸特征(source/drain extension feature)是借着蚀刻硅来制造出一凹陷的源/漏极特征,并随后以一选择性成长的硅锗外延层来填满该蚀刻后的表面。选择性外延层容许在以原位掺杂方式进行掺杂时有近乎完全的掺杂剂活化反应,而得以省略掉后续的回火步骤。因此,可利用硅蚀刻与选择性外延来精确定义出接面深度。另一方面,超浅源/漏极接面无可避免地造成串联电阻增加。而且,接面损耗(junction consumption)会更加提高串联电阻。使升高式源/漏极选择性地外延成长在该接面上是为了弥补接面处的损耗。
选择性硅外延沉积与选择性硅锗外延沉积允许外延层仅成长在硅沟渠里,而不会成长在介电区域上。选择性外延可用半导体元件中,例如,可用在升高式源/漏极、源/漏极延伸、接触插塞与二极管元件的基层沉积中。一般而言,选择性外延制程包含两种反应「沉积」与「蚀刻」,其同时以相对不同的反应速率发生在硅与介电表面上。借着改变蚀刻气体的浓度(例如,氯化氢,俗称盐酸),选择性的制程范围(selective process window)造成沉积作用仅发生在硅表面上。常用来执行选择性外延沉积的制程方法是使用二氯硅烷(SiH2Cl2)作为硅来源、锗烷(GeH4)作为锗来源、氯化氢(HCl)作为蚀刻剂以提供沉积过程中的选择性,并以氢气作为载气。
虽然硅锗外延沉积适用于制造小尺寸的元件,但由于掺杂剂会与氯化氢反应,而使得此方法无法轻易的制备出经掺杂的硅锗。由于硼掺杂会使选择性沉积制程的制程条件范围变窄,因此具有重硼掺杂(例如,高于5×1019原子/立方公分)的选择性硅锗外延的制程开发是很复杂的课题。通常,当在沉积气体流中的硼浓度越高时,由于沉积在任何介电区域上的薄膜厚度增加,因而需要更高的氯化氢浓度以达到具有选择性的目的。由于B-Cl键结较Ge-Cl与Si-Cl键结要强,因此较高的氯化氢流率可能降低该外延层中硼的纳入效果。
故,需要一种用于选择性外延沉积具丰富掺杂剂浓度的硅与硅化合物的方法。此外,该方法必须保持该沉积材料可快速成长。再者,该方法必须是该硅化合物中的锗与硼浓度对蚀刻剂流率较不依赖的。
发明内容
本发明第一方面提供一种于一基材上沉积一硅锗薄膜的方法,其包含:
提供一基材于一处理室中;该处理室处于介于1毫托耳至2300托耳之间的压力之下;
加热该基材,使其温度介于500℃至900℃之间;
使该基材与一第一沉积气体接触,所述第一沉积气体包含硅烷、一锗来源、一碳来源、氯化氢、一载气与至少一掺杂剂气体,以将第一硅锗材料选择性外延沉积在该基材上,所述第一硅锗材料含有浓度为2.5×1021原子/立方公分的掺杂剂;以及
使该基材与一第二沉积气体接触,所述第二沉积气体含有二氯硅烷和锗来源,以将第二硅锗材料选择性外延沉积在该基材上。
在一优选例中,其中该至少一掺杂剂气体是一含硼化合物,其是选自于由硼烷、乙硼烷、丙硼烷、三甲基硼、三乙基硼与上述化合物的衍生物所构成的组群中。
在一优选例中,其中该第一硅锗薄膜材料含有硼浓度为2.5×1021原子/立方公分。
在一优选例中,其中所述碳来源是有机硅烷。
在一优选例中,其中所述碳来源是甲基硅烷。
在一优选例中,其中所述至少一种掺杂剂气体包括一含砷化合物或一含磷化合物。
在一优选例中,其中该载气选自于由氢气、氩气、氮气、氦气和上述气体的组合物所构成的组群中。
在一优选例中,其中该第一沉积气体还包含二氯硅烷。
在一优选例中,其中该温度介于600℃至750℃之间,该处理室处于在介于0.1托耳至200托耳之间的一压力之下。
在一优选例中,其中该硅锗薄膜的厚度介于100
Figure A200910003806D0006143139QIETU
至3000
Figure A200910003806D0006143139QIETU
之间。
在一优选例中,其中该硅锗薄膜是沉积在一元件中,以作为CMOS、二极管或BiCMOS用途。
在一优选例中,其中所述硅锗薄膜在一制造步骤过程中沉积,该制造步骤是选自于由接触插塞、源/漏极延伸、升高式源/漏极与二极管电晶体所构成的组群中。
在一优选例中,其中在该第一硅锗材料之前,一含硅材料是先沉积在该基材上。
在一优选例中,其中该含硅材料是由一含有二氯硅烷的沉积制程所沉积而得。
本发明第二方面提供一种用于一基材上沉积一硅锗薄膜的选择性外延方法,其包括:
将一基材置于一处理室中;该处理室处于介于1毫托耳至2300托耳之间的压力之下;
加热该基材至温度介于500℃至约900℃之间;
使该基材与一沉积气体接触,该沉积气体包含硅烷、一锗来源、一碳来源、一蚀刻剂来源、一载气与至少一掺杂剂气体,以选择性外延形成一硅锗材料,该硅锗材料含有掺杂剂浓度为2.5×1021原子/立方公分。
在一优选例中,其中该锗来源是选自于由锗烷、乙锗烷、丙锗烷、丁锗烷及其衍生物所构成的组群中。
在一优选例中,其中该载气选自于由氢气、氩气、氮气、氦气与上述气体所构成的组群中。
在一优选例中,其中该温度介于600℃至750℃之间,该处理室处于在介于0.1托耳至200托耳之间的一压力之下。
在一优选例中,其中该蚀刻剂来源选自于由氯化氢、四氯化硅、四氯化碳、二氯甲烷、氯气与上述物质的衍生物和组合物所构成的组群中。
在一优选例中,其中该至少一掺杂剂气体为一含硼化合物,其选自于由硼烷、乙硼烷、丙硼烷、三甲基硼、三乙基硼与上述化合物的衍生物所构成的组群中。
在一优选例中,其中所述碳来源是有机硅烷。
在一优选例中,其中所述碳来源是甲基硅烷。
在一优选例中,其中该至少一掺杂剂气体是选自于由一含砷化合物与一含磷化合物所构成的组群中。
在一优选例中,其中该沉积气体还包含二氯硅烷。
在一优选例中,其中该硅锗薄膜的厚度介于100埃至3000埃的厚度之间。
在一优选例中,其中该硅锗薄膜是沉积在一种用于CMOS、二极管或BiCMOS用途的元件中。
在一优选例中,其中所述硅锗薄膜在一制造步骤过程中沉积,该制造步骤选自于由接触插塞、源/漏极延伸、升高式源/漏极与二极管电晶体所构成的组群中。
在一优选例中,其中该硅锗材料沉积至具有一第一厚度,此后,以二氯硅烷取代硅烷,并在该硅锗材料上选择性外延沉积一具有第二厚度的第二硅锗材料。
在一优选例中,其中一含硅材料优先于该硅锗材料沉积至该基材上。
在一优选例中,其中该含硅材料是由一含二氯硅烷的沉积制程所沉积而得。
本发明第三方面提供一种于一基材上沉积一硅锗薄膜的方法,其包含:
将一基材置于一处理室中;该处理室处于介于1毫托耳至2300托耳之间的压力之下;
加热该基材至温度介于500℃至900℃之间;和
使该基材与一沉积气体接触,该沉积气体包含一含硅气体、一锗来源、一碳来源、氯化氢与一含硼掺杂剂气体,以将一硅锗材料选择性外延沉积在该基材上,该硅锗材料含有硼浓度为2.5×1021原子/立方公分。
本发明第四方面提供一种于一基材上沉积一硅锗薄膜的方法,其包含:
放置一基材于一处理室中;该处理室处于介于1毫托耳至2300托耳之间的压力之下;
使该基材与一第一沉积气体接触,该第一沉积气体包含硅烷、一第一锗来源、一碳来源、氯化氢和一载气,以将具有一第一厚度的第一含硅锗材料外延沉积在该基材上,该第一含硅锗材料含有浓度为2.5×1021原子/立方公分的掺杂剂;其中所述基材在与所述第一沉积气体接触的过程中被加热至500℃至900℃之间的第一温度;以及
使该基材与一第二沉积气体接触,该第二沉积气体含有二氯硅烷和一第二锗来源,以将一具有第二厚度的第二含硅锗材料外延沉积在该第一含硅锗材料上。
在一优选例中,其中所述第一含硅锗材料选择性地沉积在该基材上。
在一优选例中,其中该第一沉积气体还包含至少一掺杂剂气体。
在一优选例中,其中所述至少一种掺杂剂气体包含一元素,其选自于硼、砷、磷及其组合物所构成的组群中。
在一优选例中,其中该至少一掺杂剂气体包含一含硼化合物,其选自于由硼烷、乙硼烷、丙硼烷、三甲基硼、三乙基硼及其衍生物所构成的组群中。
在一优选例中,其中所述碳来源是有机硅烷。
在一优选例中,其中所述碳来源是甲基硅烷。
在一优选例中,其中所述第二含硅锗材料选择性地沉积在该基材上。
在一优选例中,其中所述第二沉积气体还包含氯化氢和至少一种掺杂剂气体。
在一优选例中,其中所述至少一种掺杂剂气体包含一元素,其选自于硼、砷、磷及其组合物所构成的组群中。
在一优选例中,其中所述至少一掺杂剂气体包含一含硼化合物,其选自于由硼烷、乙硼烷、丙硼烷、三甲基硼、三乙基硼及其衍生物所构成的组群中。
在一优选例中,其中所述第一锗来源和第二锗来源独立地选自于由锗烷、乙锗烷、丙锗烷、丁锗烷及其衍生物所构成的组群中。
在一优选例中,,其中所述第一厚度和第二厚度独立地介于100
Figure A200910003806D0006143139QIETU
至3000
Figure A200910003806D0006143139QIETU
之间。
在一优选例中,其中所述基材在与所述第二沉积气体接触的过程中被加热至一第二温度,其中所述第二温度介于500℃至900℃之间。
在一实施例中提供一种在一基材上沉积硅锗薄膜的方法,其包括将该基材于一处理室中,并加热该基表面,使其温度介于约500℃至约900℃间,同时保持压力介于约0.1托耳至约200托耳之间。将一沉积气体供应至该处理室中,且该沉积气体含有硅烷、锗烷、氯化氢、一载气与至少一掺杂剂气体,例如乙硼烷(diborane)、胂(arsine)或膦(phosphine)。一经掺杂的硅锗薄膜外延沉积在该基材上。
在另一实施例中提供一种在一基材上成长一硅锗薄膜的选择性外延方法,其包括将该基材置于一处理室中,且该处理室的压力介于0.1托耳至200托耳间,并将该基材表面加热至温度约介于500℃至900℃之间。将一沉积气体供应至该处理室中,且包含硅烷、一锗来源、一蚀刻剂来源、一载气与至少一掺杂剂气体,以成长出该硅锗薄膜,且该硅锗薄膜的掺杂剂浓度介于约1×1020原子/立方公分2.5×1021原子/立方公分之间。
在另一实施例中,一种于一基材上成长一含硅薄膜的选择性外延方法,其包含将该基材置于一处理室中,且该处理室压力介于约0.1托耳至约200托耳之间;并将该基材表面加热至温度介于约500℃至900℃之间。将一沉积气体供应至该处理室中,且该沉积气体含有硅烷、氯化氢与一载气。该含硅薄膜以约50埃/分钟至约600埃/分钟的速率来成长。
在另一实施例中提供一种于一基材上成长一含硅薄膜的选择性外延方法,其包括将该基材置于一处理室中,该处理室压力介于约0.1托耳至约200托耳之间,并将该基材加热至温度介于约500℃至900℃之间;提供一包含二氯硅烷、氯化氢与一载气的沉积气体;以及,沉积一含硅层于该基材上。该方法更包含提供一含有硅烷、氯化氢与一第二载气的第二沉积气体;以及沉积一第二含硅层至该含硅层上。
在另一实施例中提供一种于一基材上选择性沉积一含硅薄膜的方法,其包括将该基材置于一处理室中;加热该基材至温度介于约500℃至900℃之间;并维持压力介于约0.1托耳至约200托耳之间。该方法更包括提供一含有硅烷、一锗来源、氯化氢、至少一掺杂剂气体与一载气的沉积气体,以及选择性外延沉积该第含硅薄膜至该基材上,其中该载气选自于由氮气、氩气、氦气及上述气体的组合物所构成的群组中。
附图说明
为了能更详细了解本发明的上述特征,可参考部分绘制成附图的实施例来阅读以上有关本发明的更明确叙述。但须明白,该些附图所绘示的仅是本发明的代表性实施例,并非用来限制本发明范围,因此本发明亦包含其他等效实施例。
图1A至1C显示具有外延沉积的含硅层的数个元件;以及
图2A至2F绘示出MOSFET中的源/漏极延伸元件的制造技术概略图。
主要元件代表符号说明
10 基材                     130 基材
12 源/漏极层                132 源/漏极层
14 硅化合物层               134 弥补层
16 间隙壁                   136 栅极
18 栅极氧化层               138 凹处
20 栅极层                   140 硅化合物层
22                          142 硅化合物层
30 基材                     144 氮化物间隙壁
32 n-型集极层               146 多晶硅层
33 绝缘层                   148 硅化合物层
34 硅化合物层               150 金属硅化物
36 接触层                   152 金属硅化物
38 弥补层                   154 金属层
40 第二绝缘层
具体实施方式
本发明提供一种在各种元件结构的制造过程中外延沉积含是化合物的方法,此方法是在硅化合物的沉积过程中使用硅前驱物「硅烷(SiH4)」。尽管习知技术经常使用含氯前驱物来进行选择性沉积,例如二氯硅烷(dichlorosilane),本发明的实施例教示利用硅烷来作为前驱物。曾发现到使用硅烷来沉积含硅薄膜的速率快于使用二氯硅烷的沉积速率。此外,使用硅烷来进行含硅薄膜沉积能提高沉积速率,并可对该薄膜中的掺杂物浓度提供更高度的控制。
在某些实施例中揭示数种选择性外延硅化合物的成长薄膜。通常当基材或表面含有多于一种材料的时候,例如该基材或表面含有暴露出来的结晶硅表面与被诸如氧化物层或氮化物层等介电材料所覆盖的特征时,会执行选择性含硅薄膜的成长步骤。一般而言,这些特征是介电材料且可能包含氧化硅、氮化硅、氮氧化硅、氮化钽。当该特征被露出(leftbare)时,可利用蚀刻剂(例如,氯化氢(HCl,俗称盐酸))来达成选择性外延成长在该结晶硅表面上的动作。该蚀刻剂移除成长在该些特征上的不定型硅或多晶硅的速度会比该蚀刻剂移除成长在基材上的结晶硅的速度要快,而得以达成选择性的外延成长。
载气通常于全程使用于制程中,其包括氢气(H2)、氩气(Ar)、氮气(N2)、氦气(He)及上述气体的组合物。在一实施例中,使用氢气作为载气。在另一实施例中,使用氮气作为载气。在一实施例中,一外延沉积步骤中的载气可实质上不含氢气或氢原子。不过可使用一相对惰性的气体来作为载气,例如氮气、氩气、氦气以及其组合物。在该方法的某些实施例中,多种载气可以各种不同比例来加以组合。
在一实施例中,一载气包含氮气与/或氩气,以保持该硅化合物薄膜上的可用位置。当使用大量的氢气作为载气时,在该硅化合物表面上出现氢气会限制可用来进行硅或硅锗成长的可用区域的数量,即,使其钝化(passivates)。结果是,钝化后的表面限制了在指定温度下的成长速率,特别是在较低温度(例如当温度低于650℃)时更是如此。因此,在低温方法中,可使用由氮气与/或氩气所构成的载气,以在不牺牲成长速率的情况下,降低热预算(thermal budget)。
在本发明一实施例中,外延成长一硅化合物薄膜以作为一硅薄膜。将含有一半导体特征的基材(如,外径300毫米)放置于该处理室中。在此沉积技术中,一硅前驱物(如,硅烷)、一载气(如,氢气与/或氮气)与一蚀刻剂(如,氯化氢)同时流入该处理室中。该硅烷的流率介于约5sccm至约500sccm之间。该载气的流率介于约1,000sccm至约60,000sccm之间。该蚀刻剂的流率约介于约5sccm至约1,000sccm之间。该处理室的压力保持在介于约0.1托耳(Torr)至约200托耳之间,较佳约为15托耳。该基材温度保持在约500℃至约1000℃之间,较佳介于约600℃至约900℃之间,例如约介于600℃至约750℃之间,或者在另一实施例中,温度约介于650℃至约800℃之间。以加热方式来驱动使该些试剂组成的混合物发生反应并外延沉积结晶硅。氯化氢会蚀刻掉任何沉积在基材表面的介电特征上的不定型硅(amorphous silicon)或多晶硅(polysilicon)。执行本发明方法以形成厚度约100埃至约3000埃的沉积硅化合物,且沉积速率介于约50埃/分钟至约600埃/分钟之间,较佳约为150埃/分钟。在一实施例中,该硅化合物的厚度大于500埃,例如约为1000埃或更厚。
蚀刻剂使元件上的不同区域保持着没有沉积硅化合物的情况。可全程用于本发明实施例的选择性沉积方法中的蚀刻剂包括氯化氢、氟化氢(HF)、氟气(F2)、氟化氮(NF)、二氟化氙(XeF2)、溴化氢(HBr)、六氯化二硅(Si2Cl6)、四氯化硅(SiCl4)、二氯硅烷(Cl2SiH2)、四氯化碳(CCl4)、氯气(Cl2)与上述物质的组合物。除了硅烷以外,可用来沉积硅化合物的其他硅前驱物包括高等硅烷(higher silane)与有机硅烷。高等硅烷包括具有化学通式SixH(2x+2)的化合物,例如,乙硅烷(Si2H6)、丙硅烷(Si3H8)、丁硅烷(Si4H10)、上述物质的衍生物与组合物。有机硅烷包含具有化学通式RySixH(2x+2-y)的化合物,其中R为甲基(methyl)、乙基(ethyl)、丙基(propyl)、丁基(butyl)或其他烷基(alkyls),例如该有机硅烷可为甲基甲硅烷(methylsilane,(CH3)SiH3)、二甲基甲硅烷(dimethylsilane,(CH3)2SiH2)、乙基甲硅烷(ethylsilane,(CH3CH2)SiH3)、甲基乙硅烷(methyldisilane,(CH3)Si2H5)、二甲基乙硅烷(methyldisilane,(CH3)2Si2H4)、六甲基乙硅烷(hexamethyldisilane,(CH3)6Si2)与上述化合物的衍生物及组合物。在本发明的实施例中,发现到有机硅烷化合物是能将碳纳入所沉积的硅化合物中的有利硅来源与碳来源。
在本发明另一实施例中,外延成长一硅化合物薄膜以作为一硅锗薄膜。将含有一半导体特征的基材(如,外径300毫米)放置于该处理室中。在此沉积技术中,一硅前驱物(如,硅烷)、一载气(如,氢气与/或氮气)、一锗来源(如,锗烷(GeH4))与一蚀刻剂(如,氯化氢)同时流入该处理室中。该硅烷的流率介于约5sccm至约500sccm之间。该载气的流率介于约1,000sccm至约60,000sccm之间。该锗烷的流率介于约0.1sccm至约10sccm之间。该蚀刻剂的流率约介于约5sccm至约1,000sccm之间。该处理室的压力保持在介于约0.1托耳至约200托耳之间,较佳约为15托耳。该基材温度保持在约500℃至约1000℃之间,较佳介于约700℃至约900℃之间。以加热方式来驱动该些试剂组成的混合物发生反应并外延沉积一硅化合物,称的为硅锗薄膜。氯化氢会蚀刻掉任何沉积在基材表面的介电特征上的硅锗化合物。执行本发明方法以形成厚度约100埃(
Figure A200910003806D0006143139QIETU
)至约3000埃的沉积硅锗化合物,且沉积速率介于约50埃/分钟至约300埃/分钟之间,较佳约为150埃/分钟。该硅锗化合物的锗浓度介于约1%(原子百分比)至约30%(原子百分比)之间,较佳约为20%(原子百分比)。
除了锗烷以外,可用来沉积硅化合物的其他锗来源或锗前驱物包括高等锗烷(higher germanes)与有机锗烷。高等锗烷包括具有化学通式GexH(2x+2)的化合物,例如,乙锗烷(Ge2H6)、丙锗烷(Ge3H8)、丁锗烷(Ge4H10)、上述物质的衍生物与组合物。有机锗烷包含具有化学通式RyGexH(2x+2-y)的化合物,其中R为甲基、乙基、丙基、丁基或其他烷基,例如该有机锗烷可为甲基甲锗烷(methylgermane,(CH3)GeH3)、二甲基甲锗烷(dimethylgermane,(CH3)2GeH2)、乙基甲锗烷(ethylgermane,(CH3CH2)GeH3)、甲基乙锗烷(methyldigermane,(CH3)Ge2H5)、二甲基乙锗烷(methyldigermane,(CH3)2Ge2H4)、六甲基乙锗烷(hexamethyldigermane,(CH3)6Ge2)与上述化合物的衍生物及组合物。在本发明的多个不同实施例中,发现到锗烷与有机锗烷化合物是能将锗与碳纳入所沉积的硅化合物中的有利锗来源与碳来源,并将含有锗与碳的沉积硅化合物称为硅锗化合物或硅锗碳化合物。
在本发明一实施例中,是外延成长一硅化合物以作为一掺杂硅薄膜。将含有一半导体特征的基材(如,外径300毫米)放置于该处理室中。在此沉积技术中,一硅前驱物(如,硅烷)、一载气(如,氢气与/或氮气)、一掺杂剂(如,乙硼烷,B2H6)与一蚀刻剂(如,氯化氢)同时流入该处理室中。该硅烷的流率介于约5sccm至约500sccm之间。该载气的流率介于约1,000sccm至约60,000sccm之间。该掺杂剂的流率介于约0.01sccm至约3sccm之间。该蚀刻剂的流率约介于约5sccm至约1,000sccm之间。该处理室的压力保持在介于约0.1托耳至约200托耳之间,较佳约为15托耳。该基材温度保持在约500℃至约1000℃之间,较佳介于约700℃至约900℃之间。以加热方式来驱动该些试剂组成的混合物发生反应并外延沉积一掺杂的硅化合物。氯化氢会蚀刻掉任何沉积在基材表面的介电特征上的不定型硅或多晶硅。该方法沉积出厚度约100埃
Figure A200910003806D00151
至约3000埃的掺杂硅化合物,且沉积速率介于约50埃/分钟至约600埃/分钟之间,较佳约为150埃/分钟。
掺杂剂为该沉积的硅化合物提供各种不同的导电特性,例如在依照电子元件所要求的控制与指定路径中的定向电子流(directional electron flow)。由该等硅化合物所构成的薄膜掺杂以特定掺杂剂,以达成想要的导电特性。在该实施例中的其中之一,是借着合并流入乙硼烷与该硅前驱物将该硅化合物沉积为经掺杂的P型-材料。所沉积的硅化合物中的硼浓度介于约1015至约1021原子/立方公分(atoms/cm3)之间。在一实施例中,该p型-掺杂剂的浓度至少为5×1019原子/立方公分。在另一实施例中,该p型-掺杂剂的浓度介于约1×1020至约2.5×1021原子/立方公分。在另一实施例中,该硅化合物被掺杂以n型-掺杂剂,例如具有浓度介于约1015至约1021原子/立方公分之间的磷与/或砷。
除了乙硼烷外,其他含硼的掺杂剂包括多种的硼烷类与有机硼烷类。硼烷类包括(甲)硼烷、乙硼烷(diborane)、丙硼烷(triborane)、丁硼烷(tetraborane)与戊硼烷(pentaborane),以及烷基硼烷(alkylborane)包括具有化学通式RxBH(3-x)的化合物,其中R为甲基、乙基、丙基或丁基,且x为0、1、2或3。烷基硼烷包括三甲基甲硼烷(trimethylborane,(CH3)3B)、二甲基甲硼烷(dimethylborane,(CH3)2BH)、三乙基甲硼烷(triethylborane,(CH3CH2)3B)、二乙基甲硼烷(diethylborane,(CH3CH2)2BH)与上述化合物的衍生物。掺杂剂亦包含胂(arsine)、膦(PH3)与烷基膦(alkylphosphine),例如具有化学通式RxPH(3-x)的化合物,其中R为甲基、乙基、丙基或丁基,且x为0、1、2或3。烷基膦包括三甲基膦(trimethylphosphine,(CH3)3P)、二甲基膦(dimethylphosphine,(CH3)2PH)、三乙基膦(triethylphosphine,(CH3CH2)3P)与二乙基膦(diethylphosphine,(CH3CH2)2PH)。
在本发明一实施例中,是外延成长一硅化合物薄膜以制造一掺杂的硅锗薄膜。将含有一半导体特征的基材(如,外径300毫米)放置于该处理室中。在此沉积技术中,一硅前驱物(如,硅烷)、一载气(如,氢气与/或氮气)、一锗来源(如,锗烷)、一掺杂剂(如,乙硼烷,B2H6)与一蚀刻剂(如,氯化氢)同时流入该处理室中。该硅烷的流率介于约5sccm至约500sccm之间。该载气的流率介于约1,000sccm至约60,000sccm之间。该锗来源的流率介于约0.1sccm至约10sccm之间。该掺杂剂的流率介于约0.01sccm至约3sccm之间。该蚀刻剂的流率约介于约5sccm至约1,000sccm之间。该处理室的压力保持在介于约0.1托耳至约200托耳之间,较佳约为15托耳。该基材温度保持在约500℃至约1000℃之间,较佳介于约700℃至约900℃之间。以加热方式来驱动该些试剂组成的混合物发生反应并外延沉积一硅化合物,称其为硅锗薄膜。氯化氢会蚀刻掉任何沉积在基材表面的介电特征上的不定型硅锗。该方法沉积出厚度约100埃(
Figure A200910003806D0006143139QIETU
)至约3000埃的掺杂硅锗化合物,且沉积速率介于约50埃/分钟至约600埃/分钟之间,较佳约为150埃/分钟。该硅锗化合物中的锗浓度介于约1%至30%(原子百分比),较佳约为20%(原子百分比)。该硅锗化合物中的硼浓度介于约1×1020至约2.5×1021原子/立方公分,较佳约为2×1020原子/立方公分。
在本发明另一实施例中,一硅化合物薄膜外延成长为一硅锗碳薄膜。将含有一半导体特征的基材(如,外径300毫米)放置于该处理室中。在此沉积技术中,一硅前驱物(如,硅烷)、一载气(如,氢气与/或氮气)、一锗来源(如,锗烷)、一碳来源(如,甲基硅烷)与一蚀刻剂(如,氯化氢)同时流入该处理室中。该硅烷的流率介于约5sccm至约500sccm之间。该载气的流率介于约1,000sccm至约60,000sccm之间。该锗来源的流率介于约0.1sccm至约10sccm之间。该碳来源的流率介于约0.1sccm至约50sccm之间。该蚀刻剂的流率约介于约5sccm至约1,000sccm之间。该处理室的压力保持在介于约0.1托耳至约200托耳之间,较佳约为15托耳。该基材温度保持在约500℃至约1000℃之间,较佳介于约500℃至约700℃之间。以加热方式来驱动该些试剂组成的混合物发生反应并外延沉积一硅化合物,称其为硅锗碳薄膜。氯化氢会蚀刻掉任何沉积在基材表面的介电特征上的不定型或多晶型硅锗碳化合物。该方法沉积出厚度约100埃(
Figure A200910003806D0006143139QIETU
)至约3000埃的硅锗碳化合物,且沉积速率介于约50埃/分钟至约600埃/分钟之间,较佳约为150埃/分钟。该硅锗碳化合物中的锗浓度介于约1%至30%(原子百分比),较佳约为20%(原子百分比)。该硅锗碳化合物中的碳浓度介于约0.1%至5%(原子百分比),较佳约为2%(原子百分比)。
除了乙烯或甲烷之外,其他碳来源或前驱物亦可用于沉积硅化合物过程中,其包含乙、丙与丁的烷类、烯烃类与炔类。此类碳来源包括乙炔(C2H2)、丙烷(C3H9)、丙烯(C3H6)、丁炔(C4H6)与其他化合物。其它碳来源包括如上述有关硅来源内容中所叙述的多种有机硅烷化合物。
在本发明另一实施例中,一硅化合物薄膜外延成长为一掺杂的硅锗碳薄膜。将含有一半导体特征的基材(如,外径300毫米)放置于该处理室中。在此沉积技术中,一硅前驱物(如,硅烷)、一载气(如,氢气与/或氮气)、一锗来源(如,锗烷)、一碳来源(如,甲基硅烷)、一掺杂剂(如,乙硼烷)与一蚀刻剂(如,氯化氢)同时流入该处理室中。该硅烷的流率介于约5sccm至约500sccm之间。该载气的流率介于约1,000sccm至约60,000sccm之间。该锗来源的流率介于约0.1sccm至约10sccm之间。该碳来源的流率介于约0.1sccm至约50sccm之间。该掺杂剂的流率介于约0.01sccm至约3sccm之间。该蚀刻剂的流率约介于约5sccm至约1,000sccm之间。该处理室的压力保持在介于约0.1托耳至约200托耳之间,较佳约为15托耳。该基材温度保持在约500℃至约1000℃之间,较佳介于约500℃至约700℃之间。以加热方式来驱动该些试剂混合物发生反应并外延沉积一硅化合物,并称其为掺杂的硅锗碳薄膜。氯化氢会蚀刻掉任何沉积在基材表面的介电特征上的不定型或多晶型硅锗碳化合物。该方法沉积出厚度约100埃至约3000埃的掺杂硅锗碳化合物,且沉积速率介于约50埃/分钟至约600埃/分钟之间,较佳约为150埃/分钟。该硅锗碳化合物的锗浓度介于约1%至30%(原子百分比),较佳约为20%(原子百分比)。该硅锗碳化合物中的碳浓度介于约0.1%至5%(原子百分比),较佳约为2%(原子百分比)。该硅锗碳化合物的硼浓度介于约1×1020至约2.5×1021原子/立方公分,较佳约为2×1020原子/立方公分。
在本发明另一实施例中,如上述方法般以硅烷作为硅来源沉积出任何该硅化合物之后,借着使用二氯硅烷(Cl2SiH2)外延沉积一第二硅化合物薄膜,以作为一硅锗薄膜。将含有任何上述含硅化合物的基材(如,外径300毫米)放置于该处理室中。在此沉积技术中,二氯硅烷与一载气(如,氢气与/或氮气)、一锗来源(如,锗烷)及一蚀刻剂(如,氯化氢)同时流入该处理室中。该二氯硅烷的流率介于约5sccm至约500sccm之间。该载气的流率介于约1,000sccm至约60,000sccm之间。该锗来源的流率介于约0.1sccm至约10sccm之间。该蚀刻剂的流率约介于约5sccm至约1,000sccm之间。该处理室的压力保持在介于约0.1托耳至约200托耳之间,较佳约为15托耳。该基材温度保持在约500℃至约1000℃之间,较佳介于约700℃至约900℃之间。以加热方式来驱动该些试剂混合物发生反应并外延沉积出一第二硅化合物,该第二硅化合物为位在该第一硅化合物上的硅锗薄膜。氯化氢会蚀刻掉任何沉积在基材表面的介电特征上的不定型或多晶型硅锗化合物。执行该方法以形成厚度约100埃至约3000埃的沉积硅锗化合物,且沉积速率介于约10埃/分钟至约100埃/分钟之间,较佳约为50埃/分钟。该硅锗化合物的锗浓度介于约1%至30%(原子百分比),较佳约为20%(原子百分比)。虽然在以上叙述过的任何实施例中可使用二氯硅烷来取代硅烷,然此实施例是叙述一种用来沉积一第二含硅薄膜(即,硅锗薄膜)的方法。在另一实施例中,可使用上述任一种以硅烷为基础的方法来沉积一第三含硅薄膜。
因此,可借着交替使用硅烷与二氯硅烷做为该硅前驱物来沉积出一种由一连串硅化合物层所形成的硅化合物层积薄膜(silicon compoundlaminate film)。在一范例中,借着沉积四层厚度分别为500埃的硅化合物层来形成一厚度约2000埃的层积薄膜,其中该第一层与第三层是介由在该制程气体中使用二氯硅烷所沉积而得,而该第二与第四层则是借着在该制程气体混合物中使用硅烷所沉积而得。在层积薄膜的另一态样中,该第一层与第三层是使用硅烷所沉积而得,而该第二与第四层则是使用二氯硅烷所沉积而得。且每一层的厚度可各自相异,因此该层积薄膜可能具有数层厚度不同的硅化合物层。
在一实施例中,二氯硅烷被添加至制程气体中,以于一含有表面岛块(surface islands)的上方层上沉积一硅化合物层,其中该表面岛块可能如薄膜上的污染或不平坦处。当于该上方层上沉积该硅化合物层时,含有二氯硅烷的方法可能对表面岛块的不平坦较不敏感。相对于使用硅烷所形成的硅化合物而言,使用二氯硅烷作为硅来源所形成的硅化合物具有较高的水平或侧向成长速率。在一实施例中,该表面岛块被具有一致表面的硅化合物层所覆盖,随后,以二氯硅烷来取代该制程气体中的硅烷后,继续进行该硅化合物层的沉积作用。
本发明的数个实施例教示在多种基材与表面上沉积硅化合物的方法。可于其上方施用本发明实施例的基材包括但不限于半导体晶圆,举例来说,诸如Si<100>与Si<111>等单晶硅、氧化硅、硅锗、掺杂或无掺杂的晶圆以及经过图案化或无图案化的晶圆。基材可具有各种不同的几何形状,例如圆形、正方形、长方形,以及具有不同的大小尺寸,例如外径约200毫米或300毫米。表面与/或基材包括有晶圆、薄膜、层以及具有介电、导电与阻障性质的材料,且包含多晶硅、绝缘层上覆硅(SOI)、应变或非应变晶格。表面的预处理包括研磨、蚀刻、还原、氧化、羟化(hydroxylation)、回火与烘烤等。在一实施例中,将晶圆浸入1%的氟化氢(HF,或称氢氟酸)溶液中,并在800℃的氢气环境中进行干燥与烘烤。
在一实施例中,硅化合物含有介于约0%至95%(原子百分比)之间的锗浓度。在另一实施例中,锗浓度介于约1%至30%(原子百分比)之间,较佳介于约15%至25%原子百分比之间,且更佳约为20%原子百分比。硅化合物亦可含有介于约0%至5%(原子百分比)之间的碳浓度。在另一态样中,碳浓度介于约200ppm至约2%原子百分比之间。
可藉由本发明的各种不同方法来制造出含锗及/或碳的硅化合物薄膜,且该等薄膜具有一致的、分散性的或是梯度性(graded)的原子浓度。在美国专利案6,770,134号与美国专利申请案10/014,66号(公开号20020174827)中曾揭露梯度的硅锗薄膜,该等专利案均让授予应用材料公司(AppliedMaterial,Inc.),并于本文中将的全文纳入参考,以说明沉积梯度硅化合物薄膜的方法。在一实施例中,硅烷与一锗来源(如,锗烷)是用来沉积含硅锗化合物的薄膜。在此实施例中,可改变该硅烷来源与锗来源的比例,以在成长该梯度薄膜的时候,控制该硅化合物中的元素浓度。在另一实施例中,硅烷与一碳来源(如,甲基甲硅烷)是用来沉积含硅碳化合物的薄膜。可改变该硅烷与碳来源的比例,以于成长均匀或梯度的薄膜时,控制该硅化合物中的元素浓度。在另一实施例中,硅烷、一锗来源(如,锗烷)与一碳来源(如甲基甲硅烷)是用来沉积含硅锗碳化合物的薄膜。可改变该硅烷、锗来源与碳来源的比例,以于成长均匀或梯度的薄膜时,提供对该硅化合物中的元素浓度的控制。
在本发明的方法中,该等硅化合物薄膜是藉由化学气相沉积制程(CVD)所成长而成,其中化学气相沉积制程包括原子层沉积(ALD)制程以及/或原子层外延制程(ALE)。化学气相沉积包含多种技术的使用,例如电浆辅助性化学气相沉积(PA-CVD)、原子层化学气相沉积(ALCVD)、有基金属或金属有机化学气相沉积(OMCVD或MOCVD)、雷射辅助性化学气相沉积(LA-CVD)、紫外线化学气相沉积(UV-CVD)、热线式化学气相沉积(HWCVD)、减压或低压式化学气相沉积(RP-CVD或LP-CVD)、超高真空化学气相沉积(UHV-CVD)及其他化学气相沉积等等。较佳者,该方法使用热化学气相沉积,以外延成长或沉积该硅化合物,该硅化合物包括硅、硅锗(SiGe)、硅碳(SiC)、硅锗碳(SiGeC)、及其经过掺杂后的变化物与组合物。
本发明的方法可在习知的ALE、CVD与ALD设备中执行。该些设备可使该等来源接触其上欲成长该硅化合物薄膜的已加热表面。该等方法的操作压力范围介于约1毫托耳至约2300托耳之间,较佳约介于0.1托耳至200托耳之间。可用来沉积该含硅薄膜的硬体设备包括Epi Centura
Figure A200910003806D0020143623QIETU
系统与PolyGen
Figure A200910003806D0020143623QIETU
系统,该等系统均可购自应用材料公司(Applied Material,Inc.,Santa Clara California)。让受予应用材料公司,标题为「原子层沉积的气体配送装置与方法」的美国专利中请案10/032,284号(公开号为20030079686)中揭示有原子层沉积(ALD)设备,并于本文中将的全文纳入参考,以做装备说明的用。其他的装备包括习知的批次高温炉等。
该等方法对于沉积如第1A-1C图中所绘的金属氧化物半导体(MOSFET)与二极电晶体来说极为有用。在本文中,硅化合物为该些沉积出来的层或薄膜,并包含于本发明方法过程中外延成长的硅、硅锗、硅碳、硅锗碳及其经掺杂后的变化物与组合物。该硅化合物包括位于该些薄膜中的应变层或非应变层。
第1A-1B图显示在一金属氧化物半导体上外延成长而成的硅化合物。该硅化合物沉积至该元件的源/漏极特征。该硅化合物黏附至该下方层的晶格处,并从该处开始成长,并且当该硅化合物成长以增加厚度时均保持着此对准状态。在一实施例中,图1A显示该硅化合物沉积以作为一源/漏极延伸源(source/drain extension source);同时,在另一个实施例中,图1B显示该硅化合物沉积以作为一升高式源/漏极(ESD)。
该源/漏极层12是藉由该基材10的离子注入所形成。通常,当源/漏极层12是经掺杂的p型时,该基材10则为晶掺杂的n-型。借着本发明的各种实施例使硅化合物层14外延成长至该源/漏极层12上。栅极氧化层18桥接着该分段状(segmented)的硅化合物层14,或是桥接着该分段状的源/漏极层12(参阅图1B)。一般而言,该栅极氧化层18是由二氧化硅、氮氧化硅或氧化钽所构成。一间隙壁16部分包围着该栅极氧化层18,该间隙壁16通常是一种绝缘材料,例如一氮化物/氧化物堆叠(如,Si3N4/SiO2/Si3N4)。且在该间隙壁16中还有弥补层(off-set layer,如Si3N4)与栅极层22(如,钨或镍)。
在另一实施例中,图1C绘示一已沉积的硅化合物层34,作为二极电晶体的基底层。该硅化合物层34是藉由本发明的各种实施例所外延成长而成。一n-型集极层(collector layer)是先沉积至该基材30上,且该硅化合物层34沉积至该n-型集极层32上。该电晶体更包含绝缘层33(如,二氧化硅或四氮化三硅)、接触层36(如,重掺杂多晶硅)、弥补层38(如,四氮化三硅)与一第二绝缘层40(如,二氧化硅或四氮化三硅)。
如第2A-2F图所绘示的,在一实施例中,一源/漏极延伸形成于一MOSFET中,其中该硅化合物层选择性地外延成长在该基材的表面上。图2A案显示一种借着将离子(例如,掺杂离子)注入一基材130的表面中而形成的源/漏极层132。该些分段状的源/漏极层132藉由形成在弥补层134中的栅极136桥接在一起。一部分的源/漏极层132经过蚀刻与湿式清洗,以制造出如图2B中的凹处138。
图2C绘示数个本发明实施例,其中硅化合物层140(外延)与142(多晶)是选择性地沉积而成。该等硅化合物层140与142同时进行沉积,且不沉积在该弥补层134上。该等硅化合物层140与142通常是经掺杂的含硅锗层。且其锗浓度介于约1%至30%(原子百分比),较佳为20%(原子百分比),以及诸如硼、砷或磷等掺杂剂的浓度则介于约1×1020原子/立方公分至约2.5×1021原子/立方公分之间,较佳约为2×1020原子/立方公分。在下一步骤中,图2D显示沉积至该弥补层134的氮化物间隙壁144(例如,四氮化三硅)。
图2E绘示另一个本发明实施例,其中一硅化合物选择性地外延成长为一硅化合物层148。硅化合物层148沉积在层140(经掺杂的硅锗)上。多晶硅层146沉积在该硅化合物层142(经掺杂的硅锗)上。
在图2F里所显示的下一步骤中,一金属层154沉积在该等特征上,且该元件经过回火(annealed)。该金属层154可能包含钴、镍或钛以及其他金属。在回火的过程中,多晶硅层146与硅化合物层148分别被转化成金属硅化物层150与152。也就是,当使用钴来沉积成金属层154时,在回火步骤后的该金属硅化物层为硅化钴(cobalt silicide)。
该硅化合物被重掺杂以该等原位(in-situ)掺杂剂。因此,可省略掉习知技术中的回火步骤,且整体的产能时间较短。可在该硅化合物层中选择性地添加锗与/或碳来提高沿着通道的载子迁离率与继起驱动电流(subsequentdrive current)。在栅极氧化层上选择性成长的硅化合物外延层能补偿在硅化过程(silicidation)中的接面损耗,而能减轻超浅接面的高串联电阻问题。此两种应用能合并实施或单独用于互补式金氧半导体(CMOS)元件的制造中。
藉由本发明中所揭示的实施例所沉积出来多种硅化合物可用于多种元件的制造中,例如二极管(如基极(base)、射极(emitter)、集极(collector)、射极接触等)、BiCMOS(如基极、射极、集极、射极接触等)与互补式金氧半导体(例如其通道、源/漏极、源/漏极延伸、升高式源/漏极、基材、应变硅、绝缘层上覆硅与接触插塞等)。多种其他的方法实施例教示可作为栅极、基极接触、集极接触、射极接触、升高式源/漏极与其他用途的硅化合物薄膜的成长。
实施例1:硼掺杂硅锗沉积
使用晶向Si<100>的基材来研究以CVD进行的选择性单晶薄膜成长。该晶圆的表面上存在有一介电特征。该晶圆浸入1%氟化氢溶液(HF,或称氢氟酸)中处理45秒。将该晶圆置入该沉积室(Epi 
Figure A200910003806D00231
处理室)中,并以800℃的温度于氢气环境中烘烤60秒,以除去原生氧化物。将作为载气的氢气导向该基材,且于该载气中添加该等来源化合物(sourcecompounds)。将硅烷(100sccm)、锗烷(6sccm)加入压力为15托耳且温度为725℃的该处理室中。以460sccm的流率来输送氯化氢。以1sccm的流率输送乙硼烷。该基材温度维持在725℃。执行沉积反应5分钟,以形成一厚度为500埃的硅锗薄膜,且该硅锗薄膜的锗浓度为21%(原子百分比),磷浓度为2.0×1020原子/立方公分。
实施例2:磷掺杂硅锗沉积
如实施例1中所述般地制备该等基材。将该晶圆置入该沉积室(Epi
Figure A200910003806D00232
处理室)中,并以800℃的温度于氢气环境中烘烤60秒,以除去原生氧化物。将作为载气的氢气导向该基材,且于该载气中添加该等来源化合物。将硅烷(100sccm)、锗烷(4sccm)加入压力为15托耳且温度为725℃的该处理室中。以250sccm的流率来输送氯化氢。以1sccm的流率将膦输送至该处理室。该基材温度维持在725℃。执行沉积反应5分钟,以形成一厚度为500埃的硅锗薄膜,且该硅锗薄膜的锗浓度为20%(原子百分比),磷浓度为1.6×1020原子/立方公分。
实施例3:先后使用二氯硅烷(Cl 2 SiH 2 )与硅烷(SiH 4 )进行硼掺杂硅锗沉
如实施例1中所述般地制备该等基材。将该晶圆置入该沉积室(Epi
Figure A200910003806D00233
处理室)中,并在800℃的温度下于氢气环境中烘烤60秒,以除去原生氧化物。将作为载气的氢气导向该基材,且于该载气中添加该等来源化合物。将二氯硅烷(100sccm)、锗烷(2.8sccm)与乙硼烷(0.3sccm)加入压力为15托耳且温度为725℃的该处理室中。以190sccm的流率来输送氯化氢。基材温度维持在725℃。执行沉积反应72秒钟,以形成一厚度为50埃的第一硅化合物层。接着,使用硅烷(100sccm)、锗烷(6sccm)、氯化氢(460sccm)与乙硼烷(1sccm)于该第一硅化合物层的顶面上沉积一后续的外延层(即,第二硅化合物层)。其是使该处理室的压力与温度保持固定(15托耳及725℃),并执行沉积144秒,以形成厚度为250埃的该第二硅化合物层。
实施例4:先后使用硅烷(SiH 4 )与二氯硅烷(Cl 2 SiH 2 )进行硼掺杂硅锗沉
如实施例1中所述般地制备该等基材。将该晶圆置入该沉积室(Epi
Figure A200910003806D00241
处理室)中,并在800℃的温度下于氢气环境中烘烤60秒,以除去原生氧化物。将作为载气的氢气导向该基材,且于该载气中添加该等来源化合物。将硅烷(100sccm)、锗烷(6sccm)与乙硼烷(1sccm)加入压力为15托耳且温度为725℃的该处理室中。以460sccm的流率来输送氯化氢。基材温度维持在725℃。执行沉积反应144秒钟,以形成一厚度为250埃的第一硅化合物层。接着,使用二氯硅烷(100sccm)、锗烷(2.8sccm)、氯化氢(190sccm)与乙硼烷(0.3sccm)于该第一硅化合物层的顶面上沉积一第二硅化合物层。是使该处理室的压力与温度保持固定(15托耳及725℃),并执行沉积72秒,以形成一厚度为50埃的额外层。
上述内容是说明本发明的多个实施例,并可在不偏离本发明范围下设计出本发明的其他或更进一步的实施例。本发明范围当由权利要求所界定。

Claims (10)

1.一种于一基材上沉积一硅锗薄膜的方法,其包含:
提供一基材于一处理室中;该处理室处于介于1毫托耳至2300托耳之间的压力之下;
加热该基材,使其温度介于500℃至900℃之间;
使该基材与一第一沉积气体接触,所述第一沉积气体包含硅烷、一锗来源、一碳来源、氯化氢、一载气与至少一掺杂剂气体,以将第一硅锗材料选择性外延沉积在该基材上,所述第一硅锗材料含有浓度为2.5×1021原子/立方公分的掺杂剂;以及
使该基材与一第二沉积气体接触,所述第二沉积气体含有二氯硅烷和锗来源,以将第二硅锗材料选择性外延沉积在该基材上。
2.如权利要求1所述的方法,其中该至少一掺杂剂气体是一含硼化合物,其是选自于由硼烷、乙硼烷、丙硼烷、三甲基硼、三乙基硼与上述化合物的衍生物所构成的组群中。
3.如权利要求2所述的方法,其中该第一硅锗薄膜材料含有硼浓度为2.5×1021原子/立方公分。
4.如权利要求3所述的方法,其中所述碳来源是有机硅烷。
5.一种用于一基材上沉积一硅锗薄膜的选择性外延方法,其包括:
将一基材置于一处理室中;该处理室处于介于1毫托耳至2300托耳之间的压力之下;
加热该基材至温度介于500℃至约900℃之间;
使该基材与一沉积气体接触,该沉积气体包含硅烷、一锗来源、一碳来源、一蚀刻剂来源、一载气与至少一掺杂剂气体,以选择性外延形成一硅锗材料,该硅锗材料含有掺杂剂浓度为2.5×1021原子/立方公分。
6.如权利要求5所述的方法,其中该锗来源是选自于由锗烷、乙锗烷、丙锗烷、丁锗烷及其衍生物所构成的组群中。
7.一种于一基材上沉积一硅锗薄膜的方法,其包含:
将一基材置于一处理室中;该处理室处于介于1毫托耳至2300托耳之间的压力之下;
加热该基材至温度介于500℃至900℃之间;和
使该基材与一沉积气体接触,该沉积气体包含一含硅气体、一锗来源、一碳来源、氯化氢与一含硼掺杂剂气体,以将一硅锗材料选择性外延沉积在该基材上,该硅锗材料含有硼浓度为2.5×1021原子/立方公分。
8.一种于一基材上沉积一硅锗薄膜的方法,其包含:
放置一基材于一处理室中;该处理室处于介于1毫托耳至2300托耳之间的压力之下;
使该基材与一第一沉积气体接触,该第一沉积气体包含硅烷、一第一锗来源、一碳来源、氯化氢和一载气,以将具有一第一厚度的第一含硅锗材料外延沉积在该基材上,该第一含硅锗材料含有浓度为2.5×1021原子/立方公分的掺杂剂;其中所述基材在与所述第一沉积气体接触的过程中被加热至500℃至900℃之间的第一温度;以及
使该基材与一第二沉积气体接触,该第二沉积气体含有二氯硅烷和一第二锗来源,以将一具有第二厚度的第二含硅锗材料外延沉积在该第一含硅锗材料上。
9.如权利要求8所述的方法,其中所述第一含硅锗材料选择性地沉积在该基材上。
10.如权利要求8所述的方法,其中该第一沉积气体还包含至少一掺杂剂气体。
CN2009100038065A 2003-10-10 2004-09-21 选择性沉积重掺杂外延硅锗的方法 Active CN101483136B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/683,937 US7166528B2 (en) 2003-10-10 2003-10-10 Methods of selective deposition of heavily doped epitaxial SiGe
US10/683,937 2003-10-10

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2004800326744A Division CN100468625C (zh) 2003-10-10 2004-09-21 选择性沉积重掺杂外延硅锗的方法

Publications (2)

Publication Number Publication Date
CN101483136A true CN101483136A (zh) 2009-07-15
CN101483136B CN101483136B (zh) 2012-02-29

Family

ID=34422873

Family Applications (2)

Application Number Title Priority Date Filing Date
CN2009100038065A Active CN101483136B (zh) 2003-10-10 2004-09-21 选择性沉积重掺杂外延硅锗的方法
CNB2004800326744A Active CN100468625C (zh) 2003-10-10 2004-09-21 选择性沉积重掺杂外延硅锗的方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
CNB2004800326744A Active CN100468625C (zh) 2003-10-10 2004-09-21 选择性沉积重掺杂外延硅锗的方法

Country Status (6)

Country Link
US (3) US7166528B2 (zh)
EP (1) EP1680808A1 (zh)
JP (1) JP4969244B2 (zh)
KR (1) KR20060110291A (zh)
CN (2) CN101483136B (zh)
WO (1) WO2005038890A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102104004A (zh) * 2009-12-22 2011-06-22 台湾积体电路制造股份有限公司 半导体装置及其制作方法
CN112408327A (zh) * 2020-12-18 2021-02-26 天津中科拓新科技有限公司 一种制备电子级锗烷联产电子级四氟锗烷的方法及装置
CN114072544A (zh) * 2019-07-26 2022-02-18 应用材料公司 各向异性的外延生长

Families Citing this family (313)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
AU2002306436A1 (en) * 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US7439191B2 (en) * 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
JP4065516B2 (ja) * 2002-10-21 2008-03-26 キヤノン株式会社 情報処理装置及び情報処理方法
JP2006524429A (ja) * 2003-03-28 2006-10-26 コーニンクレッカ フィリップス エレクトロニクス エヌ ヴィ Nドープシリコン層のエピタキシャル成長のための方法
US7868358B2 (en) * 2003-06-06 2011-01-11 Northrop Grumman Systems Corporation Coiled circuit device with active circuitry and methods for making the same
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20100120235A1 (en) * 2008-11-13 2010-05-13 Applied Materials, Inc. Methods for forming silicon germanium layers
US8501594B2 (en) * 2003-10-10 2013-08-06 Applied Materials, Inc. Methods for forming silicon germanium layers
US7166528B2 (en) * 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7060576B2 (en) * 2003-10-24 2006-06-13 Intel Corporation Epitaxially deposited source/drain
US7078302B2 (en) * 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US7135391B2 (en) * 2004-05-21 2006-11-14 International Business Machines Corporation Polycrystalline SiGe junctions for advanced devices
US7855126B2 (en) * 2004-06-17 2010-12-21 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a cyclic selective epitaxial growth technique and semiconductor devices formed using the same
KR100593736B1 (ko) * 2004-06-17 2006-06-28 삼성전자주식회사 단결정 반도체 상에 선택적으로 에피택시얼 반도체층을형성하는 방법들 및 이를 사용하여 제조된 반도체 소자들
US7361563B2 (en) * 2004-06-17 2008-04-22 Samsung Electronics Co., Ltd. Methods of fabricating a semiconductor device using a selective epitaxial growth technique
TWI463526B (zh) * 2004-06-24 2014-12-01 Ibm 改良具應力矽之cmos元件的方法及以該方法製備而成的元件
US8673706B2 (en) * 2004-09-01 2014-03-18 Micron Technology, Inc. Methods of forming layers comprising epitaxial silicon
US7132355B2 (en) 2004-09-01 2006-11-07 Micron Technology, Inc. Method of forming a layer comprising epitaxial silicon and a field effect transistor
JP2006068393A (ja) * 2004-09-03 2006-03-16 Olympus Corp 内視鏡
US20070196011A1 (en) * 2004-11-22 2007-08-23 Cox Damon K Integrated vacuum metrology for cluster tool
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7238580B2 (en) * 2005-01-26 2007-07-03 Freescale Semiconductor, Inc. Semiconductor fabrication process employing stress inducing source drain structures with graded impurity concentration
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
KR100585175B1 (ko) * 2005-01-31 2006-05-30 삼성전자주식회사 화학 기상 증착법에 의한 GeSbTe 박막의 제조방법
US7438760B2 (en) * 2005-02-04 2008-10-21 Asm America, Inc. Methods of making substitutionally carbon-doped crystalline Si-containing materials by chemical vapor deposition
US20060208257A1 (en) * 2005-03-15 2006-09-21 Branz Howard M Method for low-temperature, hetero-epitaxial growth of thin film cSi on amorphous and multi-crystalline substrates and c-Si devices on amorphous, multi-crystalline, and crystalline substrates
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7651955B2 (en) * 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US8105890B2 (en) * 2005-06-30 2012-01-31 Freescale Semiconductor, Inc. Method of forming a semiconductor structure
US20070048956A1 (en) * 2005-08-30 2007-03-01 Tokyo Electron Limited Interrupted deposition process for selective deposition of Si-containing films
US20070057320A1 (en) * 2005-09-12 2007-03-15 Tetsuji Ueno Semiconductor Devices with Stressed Channel Regions and methods Forming the Same
US7612389B2 (en) * 2005-09-15 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Embedded SiGe stressor with tensile strain for NMOS current enhancement
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate
KR100663010B1 (ko) * 2005-09-23 2006-12-28 동부일렉트로닉스 주식회사 모스 트랜지스터 및 그 제조 방법
CN100442476C (zh) 2005-09-29 2008-12-10 中芯国际集成电路制造(上海)有限公司 用于cmos技术的应变感应迁移率增强纳米器件及工艺
US20100216296A1 (en) * 2005-10-27 2010-08-26 Yusuke Muraki Processing Method and Recording Medium
WO2007078802A2 (en) * 2005-12-22 2007-07-12 Asm America, Inc. Epitaxial deposition of doped semiconductor materials
US7709391B2 (en) * 2006-01-20 2010-05-04 Applied Materials, Inc. Methods for in-situ generation of reactive etch and growth specie in film formation processes
US7964514B2 (en) * 2006-03-02 2011-06-21 Applied Materials, Inc. Multiple nitrogen plasma treatments for thin SiON dielectrics
US7410875B2 (en) * 2006-04-06 2008-08-12 United Microelectronics Corp. Semiconductor structure and fabrication thereof
WO2007117583A2 (en) * 2006-04-07 2007-10-18 Applied Materials Inc. Cluster tool for epitaxial film formation
FR2900275A1 (fr) * 2006-04-19 2007-10-26 St Microelectronics Sa Procede de formation d'une portion monocristalline a base de silicium
FR2900277B1 (fr) * 2006-04-19 2008-07-11 St Microelectronics Sa Procede de formation d'une portion monocristalline a base de silicium
KR101170210B1 (ko) * 2006-05-01 2012-08-01 어플라이드 머티어리얼스, 인코포레이티드 탄소 합금된 si 필름을 사용한 초박형 접합 형성 방법
US7678631B2 (en) * 2006-06-06 2010-03-16 Intel Corporation Formation of strain-inducing films
US7998788B2 (en) * 2006-07-27 2011-08-16 International Business Machines Corporation Techniques for use of nanotechnology in photovoltaics
DE112007001814T5 (de) * 2006-07-31 2009-06-04 Applied Materials, Inc., Santa Clara Verfahren zum Bilden kohlenstoffhaltiger Siliziumepitaxieschichten
US8168548B2 (en) * 2006-09-29 2012-05-01 Tokyo Electron Limited UV-assisted dielectric formation for devices with strained germanium-containing layers
US7837790B2 (en) * 2006-12-01 2010-11-23 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US7741200B2 (en) * 2006-12-01 2010-06-22 Applied Materials, Inc. Formation and treatment of epitaxial layer containing silicon and carbon
US20080132039A1 (en) * 2006-12-01 2008-06-05 Yonah Cho Formation and treatment of epitaxial layer containing silicon and carbon
US20080138955A1 (en) * 2006-12-12 2008-06-12 Zhiyuan Ye Formation of epitaxial layer containing silicon
US7960236B2 (en) * 2006-12-12 2011-06-14 Applied Materials, Inc. Phosphorus containing Si epitaxial layers in N-type source/drain junctions
US8394196B2 (en) * 2006-12-12 2013-03-12 Applied Materials, Inc. Formation of in-situ phosphorus doped epitaxial layer containing silicon and carbon
US7897495B2 (en) * 2006-12-12 2011-03-01 Applied Materials, Inc. Formation of epitaxial layer containing silicon and carbon
US9064960B2 (en) 2007-01-31 2015-06-23 Applied Materials, Inc. Selective epitaxy process control
US8835263B2 (en) * 2007-02-21 2014-09-16 Texas Instruments Incorporated Formation of a selective carbon-doped epitaxial cap layer on selective epitaxial SiGe
US7456061B2 (en) * 2007-03-30 2008-11-25 Agere Systems Inc. Method to reduce boron penetration in a SiGe bipolar device
US20080274626A1 (en) * 2007-05-04 2008-11-06 Frederique Glowacki Method for depositing a high quality silicon dielectric film on a germanium substrate with high quality interface
KR20080102065A (ko) * 2007-05-18 2008-11-24 삼성전자주식회사 에피택시얼 실리콘 구조물 형성 방법 및 이를 이용한 반도체 소자의 형성 방법
JP5380794B2 (ja) * 2007-06-22 2014-01-08 富士通セミコンダクター株式会社 半導体装置の製造方法および半導体層の形成方法
US7776679B2 (en) * 2007-07-20 2010-08-17 Stmicroelectronics Crolles 2 Sas Method for forming silicon wells of different crystallographic orientations
CN101364545B (zh) 2007-08-10 2010-12-22 中芯国际集成电路制造(上海)有限公司 应变硅晶体管的锗硅和多晶硅栅极结构
US8115254B2 (en) 2007-09-25 2012-02-14 International Business Machines Corporation Semiconductor-on-insulator structures including a trench containing an insulator stressor plug and method of fabricating same
US7776698B2 (en) 2007-10-05 2010-08-17 Applied Materials, Inc. Selective formation of silicon carbon epitaxial layer
JP5311791B2 (ja) * 2007-10-12 2013-10-09 東京エレクトロン株式会社 ポリシリコン膜の形成方法
US7781799B2 (en) * 2007-10-24 2010-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain strained layers
US7939447B2 (en) * 2007-10-26 2011-05-10 Asm America, Inc. Inhibitors for selective deposition of silicon containing films
US8492846B2 (en) 2007-11-15 2013-07-23 International Business Machines Corporation Stress-generating shallow trench isolation structure having dual composition
US7655543B2 (en) * 2007-12-21 2010-02-02 Asm America, Inc. Separate injection of reactive species in selective formation of films
US7994010B2 (en) * 2007-12-27 2011-08-09 Chartered Semiconductor Manufacturing Ltd. Process for fabricating a semiconductor device having embedded epitaxial regions
US8293592B2 (en) * 2008-04-16 2012-10-23 Hitachi Kokusai Electric Inc. Method of manufacturing semiconductor device and substrate processing apparatus
JP2010103142A (ja) * 2008-10-21 2010-05-06 Toshiba Corp 半導体装置の製造方法
JP2010141223A (ja) * 2008-12-15 2010-06-24 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US8486191B2 (en) * 2009-04-07 2013-07-16 Asm America, Inc. Substrate reactor with adjustable injectors for mixing gases within reaction chamber
CN102024761A (zh) 2009-09-18 2011-04-20 中芯国际集成电路制造(上海)有限公司 用于形成半导体集成电路器件的方法
US8022488B2 (en) * 2009-09-24 2011-09-20 International Business Machines Corporation High-performance FETs with embedded stressors
US8367528B2 (en) * 2009-11-17 2013-02-05 Asm America, Inc. Cyclical epitaxial deposition and etch
CN101724896B (zh) * 2009-11-26 2012-08-08 上海宏力半导体制造有限公司 一种非选择性生长锗硅外延的方法
KR101714003B1 (ko) 2010-03-19 2017-03-09 삼성전자 주식회사 패시티드 반도체패턴을 갖는 반도체소자 형성방법 및 관련된 소자
US8012859B1 (en) 2010-03-31 2011-09-06 Tokyo Electron Limited Atomic layer deposition of silicon and silicon-containing films
US9263339B2 (en) 2010-05-20 2016-02-16 Taiwan Semiconductor Manufacturing Company, Ltd. Selective etching in the formation of epitaxy regions in MOS devices
US9064688B2 (en) 2010-05-20 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Performing enhanced cleaning in the formation of MOS devices
US8828850B2 (en) 2010-05-20 2014-09-09 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing variation by using combination epitaxy growth
US8598020B2 (en) 2010-06-25 2013-12-03 Applied Materials, Inc. Plasma-enhanced chemical vapor deposition of crystalline germanium
CN102465336B (zh) * 2010-11-05 2014-07-09 上海华虹宏力半导体制造有限公司 一种高锗浓度的锗硅外延方法
WO2012102755A1 (en) 2011-01-28 2012-08-02 Applied Materials, Inc. Carbon addition for low resistivity in situ doped silicon epitaxy
EP2673799B1 (en) 2011-02-08 2022-08-31 Applied Materials, Inc. Epitaxy of high tensile silicon alloy for tensile strain applications
US9218962B2 (en) * 2011-05-19 2015-12-22 Globalfoundries Inc. Low temperature epitaxy of a semiconductor alloy including silicon and germanium employing a high order silane precursor
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
CN102931058B (zh) * 2011-08-08 2015-06-03 中芯国际集成电路制造(上海)有限公司 半导体结构的形成方法,pmos晶体管的形成方法
CN102956465A (zh) * 2011-08-24 2013-03-06 中芯国际集成电路制造(上海)有限公司 金属栅极和mos晶体管的形成方法
US20130089962A1 (en) * 2011-10-11 2013-04-11 Chung-Fu Chang Semiconductor process
CN103132049B (zh) * 2011-11-25 2015-08-05 中芯国际集成电路制造(上海)有限公司 锗硅薄膜的形成方法及形成装置
JP5780981B2 (ja) * 2012-03-02 2015-09-16 東京エレクトロン株式会社 ゲルマニウム薄膜の成膜方法
KR20140016008A (ko) 2012-07-30 2014-02-07 삼성전자주식회사 반도체 소자 및 그 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9059212B2 (en) 2012-10-31 2015-06-16 International Business Machines Corporation Back-end transistors with highly doped low-temperature contacts
WO2014089813A1 (zh) * 2012-12-14 2014-06-19 复旦大学 一种晶体管及其制造方法
CN103928294B (zh) * 2013-01-15 2016-12-28 中芯国际集成电路制造(上海)有限公司 选择性外延生长锗硅的晶片预处理方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
KR102231596B1 (ko) * 2013-02-06 2021-03-25 어플라이드 머티어리얼스, 인코포레이티드 가스 주입 장치 및 가스 주입 장치를 포함한 기판 프로세스 챔버
WO2015038309A1 (en) 2013-09-16 2015-03-19 Applied Materials, Inc. Method of forming strain-relaxed buffer layers
US9064961B2 (en) * 2013-09-18 2015-06-23 Global Foundries Inc. Integrated circuits including epitaxially grown strain-inducing fills doped with boron for improved robustness from delimination and methods for fabricating the same
CN104701164A (zh) * 2013-12-04 2015-06-10 中芯国际集成电路制造(上海)有限公司 半导体器件和半导体器件的制作方法
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
CN105047526A (zh) * 2014-04-21 2015-11-11 应用材料公司 沉积中卤素分子用作反应剂增强外延膜中掺杂剂结合的方法
KR102323392B1 (ko) * 2014-06-13 2021-11-05 어플라이드 머티어리얼스, 인코포레이티드 Epi 챔버 상의 이중 보조 도펀트 유입구들
CN104201108B (zh) * 2014-08-27 2017-11-07 上海集成电路研发中心有限公司 SiGe源/漏区的制造方法
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
CN104392929A (zh) * 2014-11-26 2015-03-04 上海华力微电子有限公司 嵌入式碳化硅的制备方法
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
CN107430994B (zh) * 2015-04-10 2022-02-18 应用材料公司 提高选择性外延生长的生长速率的方法
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
WO2017091345A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. New materials for tensile stress and low contact resistance and method of forming
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US11088033B2 (en) * 2016-09-08 2021-08-10 International Business Machines Corporation Low resistance source-drain contacts using high temperature silicides
FR3057102A1 (fr) * 2016-10-05 2018-04-06 Stmicroelectronics Sa Procede de depot par epitaxie en phase gazeuse
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10115808B2 (en) 2016-11-29 2018-10-30 Taiwan Semiconductor Manufacturing Company, Ltd. finFET device and methods of forming
CN106783965A (zh) * 2016-12-01 2017-05-31 上海华力微电子有限公司 一种锗硅源漏极及制备方法
TWI812984B (zh) 2016-12-12 2023-08-21 美商應用材料股份有限公司 形成應變通道層的方法
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN116732497A (zh) 2018-02-14 2023-09-12 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
TW202013553A (zh) 2018-06-04 2020-04-01 荷蘭商Asm 智慧財產控股公司 水氣降低的晶圓處置腔室
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
WO2020028028A1 (en) 2018-07-30 2020-02-06 Applied Materials, Inc. Method of selective silicon germanium epitaxy at low temperatures
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) * 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
US11145504B2 (en) 2019-01-14 2021-10-12 Applied Materials, Inc. Method of forming film stacks with reduced defects
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN110120344B (zh) * 2019-04-09 2022-08-16 上海华虹宏力半导体制造有限公司 一种在锗硅hbt中用氮化硅侧墙实现自对准结构的方法
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
TW202121506A (zh) 2019-07-19 2021-06-01 荷蘭商Asm Ip私人控股有限公司 形成形貌受控的非晶碳聚合物膜之方法
KR20210011748A (ko) 2019-07-23 2021-02-02 삼성전자주식회사 반도체 소자
CN112309843A (zh) * 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202240012A (zh) * 2021-03-05 2022-10-16 荷蘭商Asm Ip私人控股有限公司 膜沉積系統及方法
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
US11830734B2 (en) * 2021-05-19 2023-11-28 Applied Materials, Inc. Thermal deposition of silicon-germanium

Family Cites Families (182)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US552733A (en) * 1896-01-07 stansel
US3675619A (en) 1969-02-25 1972-07-11 Monsanto Co Apparatus for production of epitaxial films
NL187942C (nl) 1980-08-18 1992-02-17 Philips Nv Zenerdiode en werkwijze ter vervaardiging daarvan.
JPS5898917A (ja) 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
US5693139A (en) 1984-07-26 1997-12-02 Research Development Corporation Of Japan Growth of doped semiconductor monolayers
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
US4818723A (en) * 1985-11-27 1989-04-04 Advanced Micro Devices, Inc. Silicide contact plug formation technique
JPS62171999A (ja) 1986-01-27 1987-07-28 Nippon Telegr & Teleph Corp <Ntt> 3−v族化合物半導体のエピタキシヤル結晶成長方法
JPS6362313A (ja) 1986-09-03 1988-03-18 Fujitsu Ltd 半導体装置の製造方法
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US5607511A (en) 1992-02-21 1997-03-04 International Business Machines Corporation Method and apparatus for low temperature, low pressure chemical vapor deposition of epitaxial silicon layers
JPH01270593A (ja) 1988-04-21 1989-10-27 Fujitsu Ltd 化合物半導体層形成方法
US5112439A (en) 1988-11-30 1992-05-12 Mcnc Method for selectively depositing material on substrates
JPH02172895A (ja) 1988-12-22 1990-07-04 Nec Corp 半導体の結晶成長方法
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
AU5977190A (en) 1989-07-27 1991-01-31 Nishizawa, Junichi Impurity doping method with adsorbed diffusion source
JPH0671073B2 (ja) * 1989-08-29 1994-09-07 株式会社東芝 半導体装置及びその製造方法
JPH03286522A (ja) 1990-04-03 1991-12-17 Nec Corp Siの結晶成長方法
JP2880322B2 (ja) 1991-05-24 1999-04-05 キヤノン株式会社 堆積膜の形成方法
JPH0547665A (ja) 1991-08-12 1993-02-26 Fujitsu Ltd 気相成長方法
JP2828152B2 (ja) 1991-08-13 1998-11-25 富士通 株式会社 薄膜形成方法、多層構造膜及びシリコン薄膜トランジスタの形成方法
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP2917694B2 (ja) 1992-04-02 1999-07-12 日本電気株式会社 化合物半導体気相成長方法及びその装置
JPH0750690B2 (ja) 1992-08-21 1995-05-31 日本電気株式会社 ハロゲン化物を用いる半導体結晶のエピタキシャル成長方法とその装置
US5273930A (en) * 1992-09-03 1993-12-28 Motorola, Inc. Method of forming a non-selective silicon-germanium epitaxial film
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
JPH0729897A (ja) 1993-06-25 1995-01-31 Nec Corp 半導体装置の製造方法
US5372860A (en) 1993-07-06 1994-12-13 Corning Incorporated Silicon device production
JPH07109573A (ja) 1993-10-12 1995-04-25 Semiconductor Energy Lab Co Ltd ガラス基板および加熱処理方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
EP0799495A4 (en) 1994-11-10 1999-11-03 Lawrence Semiconductor Researc SILICON-GERMANIUM-CARBON COMPOSITIONS AND RELATED PROCESSES
US5846867A (en) 1995-12-20 1998-12-08 Sony Corporation Method of producing Si-Ge base heterojunction bipolar device
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
AUPO347196A0 (en) 1996-11-06 1996-12-05 Pacific Solar Pty Limited Improved method of forming polycrystalline-silicon films on glass
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US5908307A (en) 1997-01-31 1999-06-01 Ultratech Stepper, Inc. Fabrication method for reduced-dimension FET devices
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
JPH10321818A (ja) * 1997-05-21 1998-12-04 Mitsubishi Electric Corp 半導体装置の製造方法
US6118216A (en) 1997-06-02 2000-09-12 Osram Sylvania Inc. Lead and arsenic free borosilicate glass and lamp containing same
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US6042654A (en) 1998-01-13 2000-03-28 Applied Materials, Inc. Method of cleaning CVD cold-wall chamber and exhaust lines
US6514880B2 (en) 1998-02-05 2003-02-04 Asm Japan K.K. Siloxan polymer film on semiconductor substrate and method for forming same
TW437017B (en) 1998-02-05 2001-05-28 Asm Japan Kk Silicone polymer insulation film on semiconductor substrate and method for formation thereof
US6383955B1 (en) 1998-02-05 2002-05-07 Asm Japan K.K. Silicone polymer insulation film on semiconductor substrate and method for forming the film
US6797558B2 (en) 2001-04-24 2004-09-28 Micron Technology, Inc. Methods of forming a capacitor with substantially selective deposite of polysilicon on a substantially crystalline capacitor dielectric layer
US6159852A (en) 1998-02-13 2000-12-12 Micron Technology, Inc. Method of depositing polysilicon, method of fabricating a field effect transistor, method of forming a contact to a substrate, method of forming a capacitor
DE69923436T2 (de) 1998-03-06 2006-01-05 Asm America Inc., Phoenix Verfahren zum beschichten von silizium mit hoher kantenabdeckung
JP4214585B2 (ja) 1998-04-24 2009-01-28 富士ゼロックス株式会社 半導体デバイス、半導体デバイスの製造方法及び製造装置
US6025627A (en) 1998-05-29 2000-02-15 Micron Technology, Inc. Alternate method and structure for improved floating gate tunneling devices
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
JP4204671B2 (ja) 1998-09-11 2009-01-07 三菱電機株式会社 半導体装置の製造方法
US6037235A (en) 1998-09-14 2000-03-14 Applied Materials, Inc. Hydrogen anneal for curing defects of silicon/nitride interfaces of semiconductor devices
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
US6364954B2 (en) 1998-12-14 2002-04-02 Applied Materials, Inc. High temperature chemical vapor deposition chamber
US6235568B1 (en) * 1999-01-22 2001-05-22 Intel Corporation Semiconductor device having deposited silicon regions and a method of fabrication
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6171965B1 (en) 1999-04-21 2001-01-09 Silicon Genesis Corporation Treatment method of cleaved film for the manufacture of substrates
US20030232554A1 (en) 1999-05-04 2003-12-18 Blum Ronald D. Multi-layer tacky and water-absorbing shoe-cleaning product
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
EP1065728B1 (en) 1999-06-22 2009-04-22 Panasonic Corporation Heterojunction bipolar transistors and corresponding fabrication methods
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) * 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
US6489241B1 (en) 1999-09-17 2002-12-03 Applied Materials, Inc. Apparatus and method for surface finishing a silicon film
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
TW468212B (en) 1999-10-25 2001-12-11 Motorola Inc Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
FI118804B (fi) 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
WO2001041544A2 (en) 1999-12-11 2001-06-14 Asm America, Inc. Deposition of gate stacks including silicon germanium layers
US6291319B1 (en) 1999-12-17 2001-09-18 Motorola, Inc. Method for fabricating a semiconductor structure having a stable crystalline interface with silicon
US6348420B1 (en) 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
EP1123991A3 (en) 2000-02-08 2002-11-13 Asm Japan K.K. Low dielectric constant materials and processes
US6492283B2 (en) * 2000-02-22 2002-12-10 Asm Microchemistry Oy Method of forming ultrathin oxide layer
EP1266054B1 (en) * 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6645838B1 (en) 2000-04-10 2003-11-11 Ultratech Stepper, Inc. Selective absorption process for forming an activated doped region in a semiconductor
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6458718B1 (en) 2000-04-28 2002-10-01 Asm Japan K.K. Fluorine-containing materials and processes
US6444027B1 (en) * 2000-05-08 2002-09-03 Memc Electronic Materials, Inc. Modified susceptor for use in chemical vapor deposition process
JP2001338988A (ja) * 2000-05-25 2001-12-07 Hitachi Ltd 半導体装置及びその製造方法
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
US6635588B1 (en) 2000-06-12 2003-10-21 Ultratech Stepper, Inc. Method for laser thermal processing using thermally induced reflectivity switch
US6303476B1 (en) 2000-06-12 2001-10-16 Ultratech Stepper, Inc. Thermally induced reflectivity switch for laser thermal processing
US6461909B1 (en) 2000-08-30 2002-10-08 Micron Technology, Inc. Process for fabricating RuSixOy-containing adhesion layers
US20020163013A1 (en) 2000-09-11 2002-11-07 Kenji Toyoda Heterojunction bipolar transistor
US6969539B2 (en) * 2000-09-28 2005-11-29 President And Fellows Of Harvard College Vapor deposition of metal oxides, silicates and phosphates, and silicon dioxide
KR100378186B1 (ko) 2000-10-19 2003-03-29 삼성전자주식회사 원자층 증착법으로 형성된 박막이 채용된 반도체 소자 및그 제조방법
US6613695B2 (en) * 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) * 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP2002198525A (ja) 2000-12-27 2002-07-12 Toshiba Corp 半導体装置及びその製造方法
KR100393208B1 (ko) 2001-01-15 2003-07-31 삼성전자주식회사 도핑된 다결정 실리콘-저매니움막을 이용한 반도체 소자및 그 제조방법
US6426265B1 (en) * 2001-01-30 2002-07-30 International Business Machines Corporation Incorporation of carbon in silicon/silicon germanium epitaxial layer to enhance yield for Si-Ge bipolar technology
US6528374B2 (en) 2001-02-05 2003-03-04 International Business Machines Corporation Method for forming dielectric stack without interfacial layer
US7026219B2 (en) 2001-02-12 2006-04-11 Asm America, Inc. Integration of high k gate dielectric
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
CN1168121C (zh) * 2001-03-08 2004-09-22 中国科学院半导体研究所 气源分子束外延生长锗硅异质结双极晶体管材料掺杂方法
JP3547419B2 (ja) 2001-03-13 2004-07-28 株式会社東芝 半導体装置及びその製造方法
JP3890202B2 (ja) * 2001-03-28 2007-03-07 株式会社日立製作所 半導体装置の製造方法
KR100500013B1 (ko) 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
JP2002343790A (ja) 2001-05-21 2002-11-29 Nec Corp 金属化合物薄膜の気相堆積方法及び半導体装置の製造方法
US6905542B2 (en) 2001-05-24 2005-06-14 Arkadii V. Samoilov Waveguides such as SiGeC waveguides and method of fabricating the same
JP2004533118A (ja) 2001-05-30 2004-10-28 エーエスエム アメリカ インコーポレイテッド 低温搬入出およびベーク
US6828218B2 (en) * 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US7060582B2 (en) * 2001-06-05 2006-06-13 Sony Corporation Adjusting the germanium concentration of a semiconductor layer for equal thermal expansion for a hetero-junction bipolar transistor device
US6391803B1 (en) 2001-06-20 2002-05-21 Samsung Electronics Co., Ltd. Method of forming silicon containing thin films by atomic layer deposition utilizing trisdimethylaminosilane
US6709989B2 (en) 2001-06-21 2004-03-23 Motorola, Inc. Method for fabricating a semiconductor structure including a metal oxide interface with silicon
US6861334B2 (en) * 2001-06-21 2005-03-01 Asm International, N.V. Method of fabricating trench isolation structures for integrated circuits using atomic layer deposition
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US6777317B2 (en) * 2001-08-29 2004-08-17 Ultratech Stepper, Inc. Method for semiconductor gate doping
US6806145B2 (en) * 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
JP3660897B2 (ja) * 2001-09-03 2005-06-15 株式会社ルネサステクノロジ 半導体装置の製造方法
US6858537B2 (en) * 2001-09-11 2005-02-22 Hrl Laboratories, Llc Process for smoothing a rough surface on a substrate by dry etching
US6960537B2 (en) * 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
US20030072884A1 (en) * 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US6916398B2 (en) * 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6743681B2 (en) 2001-11-09 2004-06-01 Micron Technology, Inc. Methods of Fabricating Gate and Storage Dielectric Stacks having Silicon-Rich-Nitride
US6551893B1 (en) 2001-11-27 2003-04-22 Micron Technology, Inc. Atomic layer deposition of capacitor dielectric
US6773507B2 (en) * 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) * 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6696332B2 (en) * 2001-12-26 2004-02-24 Texas Instruments Incorporated Bilayer deposition to avoid unwanted interfacial reactions during high K gate dielectric processing
US6790755B2 (en) 2001-12-27 2004-09-14 Advanced Micro Devices, Inc. Preparation of stack high-K gate dielectrics with nitrided layer
US6620670B2 (en) 2002-01-18 2003-09-16 Applied Materials, Inc. Process conditions and precursors for atomic layer deposition (ALD) of AL2O3
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) * 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3914064B2 (ja) 2002-02-28 2007-05-16 富士通株式会社 混晶膜の成長方法及び装置
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6825134B2 (en) 2002-03-26 2004-11-30 Applied Materials, Inc. Deposition of film layers by alternately pulsing a precursor and high frequency power in a continuous gas flow
JP3937892B2 (ja) 2002-04-01 2007-06-27 日本電気株式会社 薄膜形成方法および半導体装置の製造方法
US7439191B2 (en) 2002-04-05 2008-10-21 Applied Materials, Inc. Deposition of silicon layers for active matrix liquid crystal display (AMLCD) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6869838B2 (en) 2002-04-09 2005-03-22 Applied Materials, Inc. Deposition of passivation layers for active matrix liquid crystal display (AMLCD) applications
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030215570A1 (en) 2002-05-16 2003-11-20 Applied Materials, Inc. Deposition of silicon nitride
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
US7105891B2 (en) * 2002-07-15 2006-09-12 Texas Instruments Incorporated Gate structure and method
US6723658B2 (en) * 2002-07-15 2004-04-20 Texas Instruments Incorporated Gate structure and method
US7449385B2 (en) * 2002-07-26 2008-11-11 Texas Instruments Incorporated Gate dielectric and method
US6919251B2 (en) * 2002-07-31 2005-07-19 Texas Instruments Incorporated Gate dielectric and method
US7186630B2 (en) 2002-08-14 2007-03-06 Asm America, Inc. Deposition of amorphous silicon-containing films
KR100542736B1 (ko) * 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6927140B2 (en) * 2002-08-21 2005-08-09 Intel Corporation Method for fabricating a bipolar transistor base
US7199023B2 (en) * 2002-08-28 2007-04-03 Micron Technology, Inc. Atomic layer deposited HfSiON dielectric films wherein each precursor is independendently pulsed
US6759286B2 (en) * 2002-09-16 2004-07-06 Ajay Kumar Method of fabricating a gate structure of a field effect transistor using a hard mask
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6803297B2 (en) 2002-09-20 2004-10-12 Applied Materials, Inc. Optimal spike anneal ambient
US6839507B2 (en) 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
US7540920B2 (en) 2002-10-18 2009-06-02 Applied Materials, Inc. Silicon-containing layer deposition with silicon compounds
US6998305B2 (en) 2003-01-24 2006-02-14 Asm America, Inc. Enhanced selectivity for epitaxial deposition
US7517768B2 (en) * 2003-03-31 2009-04-14 Intel Corporation Method for fabricating a heterojunction bipolar transistor
US20040226911A1 (en) 2003-04-24 2004-11-18 David Dutton Low-temperature etching environment
US6982433B2 (en) 2003-06-12 2006-01-03 Intel Corporation Gate-induced strain for MOS performance improvement
US6855963B1 (en) * 2003-08-29 2005-02-15 International Business Machines Corporation Ultra high-speed Si/SiGe modulation-doped field effect transistors on ultra thin SOI/SGOI substrate
US7132338B2 (en) * 2003-10-10 2006-11-07 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7166528B2 (en) 2003-10-10 2007-01-23 Applied Materials, Inc. Methods of selective deposition of heavily doped epitaxial SiGe
US7045432B2 (en) 2004-02-04 2006-05-16 Freescale Semiconductor, Inc. Method for forming a semiconductor device with local semiconductor-on-insulator (SOI)
US7078302B2 (en) 2004-02-23 2006-07-18 Applied Materials, Inc. Gate electrode dopant activation method for semiconductor manufacturing including a laser anneal
WO2005116304A2 (en) * 2004-04-23 2005-12-08 Asm America, Inc. In situ doped epitaxial films
US20050241671A1 (en) 2004-04-29 2005-11-03 Dong Chun C Method for removing a substance from a substrate using electron attachment
KR100625175B1 (ko) 2004-05-25 2006-09-20 삼성전자주식회사 채널층을 갖는 반도체 장치 및 이를 제조하는 방법
US20060060920A1 (en) * 2004-09-17 2006-03-23 Applied Materials, Inc. Poly-silicon-germanium gate stack and method for forming the same
US7682940B2 (en) 2004-12-01 2010-03-23 Applied Materials, Inc. Use of Cl2 and/or HCl during silicon epitaxial film formation
US7560352B2 (en) * 2004-12-01 2009-07-14 Applied Materials, Inc. Selective deposition
US7312128B2 (en) * 2004-12-01 2007-12-25 Applied Materials, Inc. Selective epitaxy process with alternating gas supply
US7235492B2 (en) 2005-01-31 2007-06-26 Applied Materials, Inc. Low temperature etchant for treatment of silicon-containing surfaces
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7648927B2 (en) 2005-06-21 2010-01-19 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286819A1 (en) 2005-06-21 2006-12-21 Applied Materials, Inc. Method for silicon based dielectric deposition and clean with photoexcitation
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20070066023A1 (en) * 2005-09-20 2007-03-22 Randhir Thakur Method to form a device on a soi substrate

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102104004A (zh) * 2009-12-22 2011-06-22 台湾积体电路制造股份有限公司 半导体装置及其制作方法
CN114072544A (zh) * 2019-07-26 2022-02-18 应用材料公司 各向异性的外延生长
CN112408327A (zh) * 2020-12-18 2021-02-26 天津中科拓新科技有限公司 一种制备电子级锗烷联产电子级四氟锗烷的方法及装置

Also Published As

Publication number Publication date
KR20060110291A (ko) 2006-10-24
JP4969244B2 (ja) 2012-07-04
US7166528B2 (en) 2007-01-23
US7737007B2 (en) 2010-06-15
WO2005038890A1 (en) 2005-04-28
US20050079691A1 (en) 2005-04-14
EP1680808A1 (en) 2006-07-19
US20090011578A1 (en) 2009-01-08
JP2007514294A (ja) 2007-05-31
US7517775B2 (en) 2009-04-14
CN100468625C (zh) 2009-03-11
CN1875461A (zh) 2006-12-06
CN101483136B (zh) 2012-02-29
US20060234488A1 (en) 2006-10-19

Similar Documents

Publication Publication Date Title
CN100468625C (zh) 选择性沉积重掺杂外延硅锗的方法
TWI828731B (zh) 於低溫選擇性矽鍺磊晶之方法
CN100511587C (zh) 使用选择沉积工艺制造mosfet器件的方法
CN101069264B (zh) 具有选择性气体供应的选择性外延工艺
CN102176411B (zh) 在硅外延薄膜形成时使用氯气和/或氯化氢
CN101401202B (zh) 选择性沉积
CN101404250B (zh) 硅碳外延层的选择性形成
US20230223257A1 (en) Methods of epitaxially growing boron-containing structures
KR20070022046A (ko) 선택적인 증착 프로세스들을 이용하여 mosfet 소자를제조하는 방법

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant