CN101496176A - 在cmosfet中最优化应变的结构与方法 - Google Patents

在cmosfet中最优化应变的结构与方法 Download PDF

Info

Publication number
CN101496176A
CN101496176A CN200680002466.9A CN200680002466A CN101496176A CN 101496176 A CN101496176 A CN 101496176A CN 200680002466 A CN200680002466 A CN 200680002466A CN 101496176 A CN101496176 A CN 101496176A
Authority
CN
China
Prior art keywords
strain
type semiconductor
semiconductor
type
inducing coating
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200680002466.9A
Other languages
English (en)
Inventor
陈向东
杨海宁
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
International Business Machines Corp
Original Assignee
International Business Machines Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by International Business Machines Corp filed Critical International Business Machines Corp
Publication of CN101496176A publication Critical patent/CN101496176A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Abstract

本发明公开了一种包括PMOSFET和NMOSFET的应变MOSFET半导体结构,以及用于制造应变MOSFET的方法,该方法最优化MOSFET中的应变,更具体地说,最大化在一类(P或N)MOSFET中的应变并且最小化并驰豫在另一类(N或P)MOSFET中的应变。在所述PMOSFET和所述NMOSFET两者上形成具有初始全厚度的应变引起CA氮化物覆层,其中应变引起覆层在一类半导体器件中产生最优化的全应变并且降低另一类半导体器件的性能。蚀刻应变引起CA氮化物覆层,以减小在另一类半导体器件上的厚度,其中,应变引起覆层的减小厚度在另一MOSFET中驰豫并产生较小的应变。

Description

在CMOSFET中最优化应变的结构与方法
技术领域
本发明通常涉及应变互补金属氧化物半导体场效应晶体管(CMOSFET)的半导体结构以及用于制造在MOSFET中最优化应变的应变CMOSFET的方法,更具体地说,涉及最大化MOSFET的一种类型/种类(N或P)中的应变和最小化并且驰豫MOSFET的另一种类型/种类(P或N)中的应变的结构和方法。
背景技术
因为应变可以提高MOSFET沟道中的载流子迁移率,所以最近引起应变的工艺吸引了大量的注意力。在向MOSFET的沟道中传递应变时,接触阻挡(CA)氮化物应力工程特别有效。另外,该工艺与现有制造工艺兼容并且可以在现有制造工艺中容易地执行。MOSFET的沟道中的应变与接触阻挡(CA)氮化物的厚度成比例,在MOSFET沟道中较厚的接触阻挡氮化物引起较高的应力。压缩CA氮化物或者拉伸CA氮化物可以提高一类MOSFET的性能并且降低另一类MOSFET的性能。更具体地说,压缩CA氮化物提高PMOSFET的性能同时降低NMOSFET的性能,而拉伸CA氮化物提高NMOSFET的性能同时降低PMOSFET的性能。如技术上公知的,可以通过改变等离子体沉积功率选择性沉积压缩氮化物膜或者拉伸氮化物膜。
执行掩蔽(阻挡PFET或者阻挡NFET)Ge或者As注入以驰豫一类(N或者P)MOSFET中的应力,从而减少性能降低,同时保留另一类(P或者N)MOSFET中的应变。厚CA氮化物可以在一类(N或者P)MOSFET的沟道中引起更高的应力。但是厚CA氮化物使得用Ge或者As的注入驰豫应力以提高另一类(P或者N)MOSFET的性能的变得困难。
发明内容
本发明提供了一种结构和方法,以在诸如CMOSFET的半导体器件中最优化应变并且广泛应用于常用半导体器件。本发明提供包括PMOSFET和NMOSFET两者的应变半导体结构以及用于制造应变MOSFET的制造方法,该方法最大化一种类型/种类(N或P)MOSFET的应变同时最小化和驰豫另一种类型/种类(N或P)MOSFET中的应变。
在所述PMOSFET和所述NMOSFET的其中之一上形成具有初始全厚度的应变引起CA氮化物覆层,其中应变引起覆层在一种半导体器件中产生最优化全应变。在PMOSFET和NMOSFET的另一个上形成具有小于全厚度的蚀刻减小的厚度的应变引起CA氮化物覆层,其中,应变引起覆层减小的厚度在另一个MOSFET中驰豫并产生较小的应变。
附图说明
通过参考随后联系附图对其几个实施例的详细描述,本领域的技术人员可以更容易地理解用于在MOSFET中最优化应变的结构和方法的本发明的前述方面和优点,在所有附图中,相似的元件用相似的标号标记,其中:
图1示出了MOSFET结构,其在PMOSFET上具有最大化PMOSFET中的应变的CA压缩氮化物的较大厚度并且在NMOSFET上具有最小化并驰豫NMOSFET中的应变的CA拉伸氮化物的较小厚度。
图2示出了MOSFET结构,其在NMOSFET上具有最大化NMOSFET中的应变的CA拉伸氮化物的较大厚度并且在PMOSFET上具有最小化并驰豫PMOSFET中的应变的CA拉伸氮化物的较小厚度。
具体实施方式
本发明提供了在NMOSFET和PMOSFET上具有不同厚度的接触阻挡(CA)氮化物,其用来最大化一种类型/种类(P或N)MOSFET的应变并且最小化和驰豫另一种类型/种类(N或P)MOSFET的应变,的MOSFET结构。
图1示出了在具有被隔离区域34分开的PMOSFET 30和NMOSFET32两者的半导体晶片之上的本发明的第一和第二代表性实施例。在本发明的第一和第二代表性实施例中,压缩CA氮化物被用来最大化PMOSFET30中的应变以及最小化并驰豫NMOSFET 32中的应变。
总之,在PMOSFET 30和NMOSFET 32两者上沉积厚
Figure A20068000246600071
压缩CA氮化物36后,用光致抗蚀剂构图晶片以便用光致抗蚀剂覆盖PMOSFET 30并且暴露NMOSFET 32并且没有用光致抗蚀剂覆盖NMOSFET 32。NMOSFET 32处的CA氮化物在38处被蚀刻到更薄同时光致抗蚀剂保护PMOSFET 30不被蚀刻。因此,NMOSFET 32处更薄的CA氮化物38导致在NMOSFET 32处的压缩应变低于PMOSFET 30处的压缩应变,并且减少了NMOSFET 32的性能降低。
图1还示出可以应用Ge或者As注入40以进一步驰豫应变并提高NMOSFET 32的性能。
在第一步中,在晶片上的PMOSFET 30和NMOSFET 32两者之上沉积压缩CA氮化物36的厚
Figure A20068000246600073
层。
然后,在晶片上沉积光致抗蚀剂的覆盖层,通过使用掩模构图光致抗蚀剂以便用光致抗蚀剂覆盖PMOSFET 30,同时NMOSFET 32保持暴露并且没有用光致抗蚀剂覆盖NMOSFET 32。
然后蚀刻NMOSFET 32处的CA氮化物,在38处薄到
Figure A20068000246600074
同时光致抗蚀剂保护PMOSFET 30处的CA氮化物不被蚀刻,以便PMOSFET 30顶上的CA氮化物36保持全沉积厚度。因此,NMOSFET 32顶上的38处更薄的CA氮化物导致在NMOSFET 32处的压缩应变低于PMOSFET 30处的压缩应变,并且减少由压缩CA氮化物引起的NMOSFET 32的性能降低。
随着以上步骤的完成,完成了本发明的第一实施例。图1还示出了第二实施例,其中在完成以上步骤后,通过在40处将Ge或者As注入到NMOSFET 32中,进一步减少了NMOSFET 32的性能降低。当PMOSFET30被掩模阻挡时执行注入40,(图中通过+B(阻挡)P(PFET)Ge/As注入40表明),该掩模与构图光致抗蚀剂的掩模是同一个,以进一步驰豫应变并且提高NMOSFET 32的性能。
图2示出了本发明的第三和第四代表性实施例,其说明图1中的相同的结构和方法可以应用于拉伸CA氮化物。总之,在PMOSFET 30和NMOSFET 32两者之上沉积厚
Figure A20068000246600081
拉伸CA氮化物42后,用光致抗蚀剂构图晶片以便用光致抗蚀剂覆盖NMOSFET 32,同时PMOSFET30保持暴露并且没有用光致抗蚀剂覆盖PMOSFET 30。PMOSFET 30处的CA氮化物在44处被蚀刻减薄到
Figure A20068000246600082
同时光致抗蚀剂保护NMOSFET 32不被蚀刻。因此,PMOSFET 30处的薄CA氮化物44导致在PMOSFET 30处的压缩应变低于NMOSFET 32处的压缩应变,并且减少了PMOSFET 30的性能降低。图2还示出了在46处可以应用Ge或者As注入以进一步驰豫应变并且提高PMOSFET 30的性能。
在第一步中,在晶片上的PMOSFET 30和NMOSFET 32两者之上沉积拉伸CA氮化物42的厚
Figure A20068000246600083
层。
然后,通过使用掩模用光致抗蚀剂构图晶片,以便用光致抗蚀剂覆盖NMOSFET 32并且PMOSFET 30保持暴露而没有用光致抗蚀剂覆盖PMOSFET 30。
然后,PMOSFET 30处的CA氮化物在44处被蚀刻减薄到
Figure A20068000246600084
同时光致抗蚀剂保护NMOSFET 32处的CA氮化物42不被蚀刻,以便CA氮化物36保持在初始全厚度。因此,PMOSFET 30处的薄CA氮化物44导致在PMOSFET 30处的拉伸应变低于NMOSFET 32处的拉伸应变,并且减少由拉伸CA氮化物引起的PMOSFET 30的性能降低。
随着以上步骤的完成,完成了本发明的第三实施例。图2还示出了第四实施例,其中,在完成以上步骤之后,通过在46处向PMOSFET 30注入Ge或者As,进一步减小了PMOSFET 30的性能降低。当NMOSFET 32被掩模阻挡时执行注入46,(图中通过+B(阻挡)P(PFET)Ge/As注入表明),该掩模与构图光致抗蚀剂的掩模可以是同一个,以进一步驰豫应变并且提高PMOSFET 30的性能。
用于在氮化物膜中驰豫应变的注入工艺条件可以是:
As或者Ge
剂量:5e14到2e15
能量:20K到50K
精确的注入条件依赖于膜的厚度和膜中的应力。
如技术上公知的,可以通过改变等离子体沉积的功率,选择性沉积压缩氮化物膜或者拉伸氮化物膜。
在可选实施例中,其它的应力材料可以用在本发明中代替氮化物膜,但是氮化物膜在一致性上具有优势。本发明的应力引起膜可以包括优选Si3N4或者TiN的氮化物、氧化物、诸如硼磷硅酸盐玻璃、Al2O3、HfO2、ZrO2、HfSiO的掺杂氧化物和对半导体工艺较普通的其它介质材料或者其任意组合。应力引起膜的厚度范围从大约10nm到大约100nm。应力引起膜在器件沟道中提供压缩应力以提高pFET的性能或者在器件沟道中提供拉伸应力以提高nFET的性能。
附图示出了在单个半导体衬底上形成的具有两个MOSFET器件区域的IC结构10。虽然对这样的实施例进行了说明,但是本发明不限制在半导体结构的表面上形成的MOSFET器件的任何具体数量。
在制造工艺的更详细说明中,IC结构10包括半导体衬底12、位于半导体衬底12中的源极/漏极区域14、位于半导体衬底12的表面上的左右两个栅极区域16L和16R。栅极区域16L和16R的每一个都包括栅极介质18、多晶硅导体20、介质覆层22、介质衬里23、隔离物24和位于半导体衬底12中的源极/漏极区域14。
结构10的半导体衬底12可以包括任意半导体材料,该半导体材料包括但不限于:Si、Ge、SiGe、SiC、SiGeC、Ga、GaAs、InAs、InP和所有其它III/V族化合物半导体。半导体衬底12还可以包括有机半导体或者诸如Si/SiGe、绝缘体上硅(SOI)或者绝缘体上SiGe(SGOI)的分层半导体。在本发明的一些实施例中,优选半导体衬底12由含硅半导体材料,即包括硅元素的半导体材料构成。半导体衬底12可以掺杂、未掺杂、或者其中包括掺杂和未掺杂区域。
半导体衬底12还包括第一掺杂(n-或p-)区域和第二掺杂(n-或p-)区域。这些掺杂区域公知为“阱”。第一掺杂区域和第二掺杂区域可以相同,或者它们可以具有不同的导电率和/或掺杂浓度。
优选利用本领域的技术人员公知的常规工艺,在本发明此处的在半导体衬底中已经形成沟槽隔离区域34。沟槽隔离区域位于本发明的附图所示的区域的左右外围以及两个栅极区域之间。
如果存在介质并且如果其为沉积介质,则在包括半导体衬底12的结构10的整个表面上和隔离区域顶上形成栅极介质18。通过诸如氧化、氮化、氧氮化的热生长工艺形成栅极介质18。可选地,可以利用如化学气相沉积(CVD)、等离子体辅助CVD、原子层沉积(ALD)、蒸镀、反应溅射、化学溶液沉积以及其它类似沉积工艺的沉积工艺形成栅极介质18。还可以利用以上工艺的任意组合形成栅极介质18。
栅极介质18由绝缘材料构成,该绝缘材料包括但不限于氧化物、氮化物、氧氮化物和/或包括金属硅酸盐和氮化金属硅酸盐的硅酸盐。在一个实施例中,优选栅极介质18由诸如SiO2、HfO2、ZrO2、Al2O3、TiO2、La2O3、SrTiO3、LaAlO3及其混合的氧化物构成。
栅极介质18的物理厚度可以改变,但优选栅极介质18具有从大约0.5到大约10nm的厚度,更优选具有从大约0.5到大约3nm的厚度。
栅极介质18形成之后,利用诸如物理气相沉积、CVD或者蒸镀的公知的沉积工艺,在栅极介质18之上形成图中所示的变为多晶硅栅极导体20的多晶硅(即多晶Si)覆层。多晶硅覆层可以掺杂或未掺杂。如果掺杂,可以在形成掺杂多晶硅覆层时使用原位掺杂沉积工艺。可选地,可以通过沉积、离子注入和退火形成掺杂多晶硅层。多晶硅层的掺杂将改变形成的金属硅化物栅极的功函数。掺杂剂离子的示意性实例包括As、P、B、Sb、Bi、In、Al、Ga、Tl或其混合。优选离子注入的剂量为1E14(=1×1014)到1E16(=1×1016)原子/cm2,或者更优选离子注入的剂量为1E15到5E15原子/cm2。本发明此处沉积的多晶硅层的厚度即高度可以依赖于所使用的沉积工艺改变。优选,多晶硅层具有从大约20到大约180nm的垂直厚度,更优选具有从大约40到大约150nm的厚度。
在沉积多晶硅覆层之后,利用诸如物理气相沉积或者化学气相沉积的沉积工艺在多晶硅栅极导体20的覆层之上形成介质覆层22。介质覆层22可以是氧化物、氮化物、氧氮化物或其任意组合。介质覆层22可以包括将在下面详细限定的不同于隔离物24的介质材料。在一个实施例中,诸如Si3N4的氮化物被用作介质覆层22。而在优选的另一个实施例中,介质覆层22为诸如SiO2的氧化物。介质覆层22的厚度即高度从大约20到大约180nm,更优选具有从大约30到大约140nm的厚度。
然后利用光刻和蚀刻构图覆盖多晶硅层和介质覆层以提供构图栅极叠层。构图栅极叠层可以具有相同的尺寸即长度,或者它们可以具有不同的尺寸以提高器件性能。本发明此处的每一个构图栅极叠层都包括多晶硅栅极导体20和介质覆层22。光刻步骤包括向介质覆层的上表面施加光致抗蚀剂,在期望的辐射图形中曝光光致抗蚀剂并且利用常规抗蚀剂显影剂显影曝光的光致抗蚀剂。然后利用一个或者多个干蚀刻步骤,将光致抗蚀剂中的图形转移到介质覆层和多晶硅覆盖层。在一些实施例中,在图形转移到介质覆层之后可以除去构图的光致抗蚀剂。在其它实施例中,在完成蚀刻之后,除去构图的光致抗蚀剂。
可以用于在本发明中形成构图栅极叠层的合适的干蚀刻工艺包括但不限于:反应离子蚀刻、离子束蚀刻、等离子体蚀刻或者激光烧蚀。优选所用的干蚀刻工艺对下面的栅极介质18具有选择性,因此这个蚀刻步骤没有典型地除去栅极介质。然而,在一些实施例中,此蚀刻步骤可以用来除去栅极介质18没有被栅极叠层保护的部分。也可以使用湿蚀刻工艺除去栅极介质18没有被栅极叠层保护的部分。
下一步,在所有含硅的暴露表面上,包括至少多晶硅栅极导体20上,形成介质衬里23。介质衬里23还可以延伸到半导体衬底12的水平表面上。介质衬里23可以包括任意介质材料,包含氧化物、氮化物、氧氮化物或其任意组合。通过诸如氧化、氮化、氮氧化的热生长工艺形成介质衬里23。介质衬里23为厚度优选从大约1到大约10nm的薄层。
在每个构图栅极叠层的暴露侧壁上以及介质衬里的顶部形成至少一个隔离物24。至少一个隔离物24由如氧化物,氮化物,氧氮化物和/或其任意组合的绝缘体构成,并且优选由不同于介质衬里23和介质覆层22的材料构成。优选形成氮化物隔离物。通过沉积和蚀刻形成至少一个隔离物24。注意,用来形成隔离物24的蚀刻步骤还可以从衬底顶部除去介质衬里23,以便暴露半导体衬底12的一部分。
隔离物24的宽度必须足够宽以便源极和漏极硅化物接触(随后形成)不会侵入到栅极叠层的边缘下面。典型地,从底部测量,当隔离物具有从大约15到大约80nm的宽度时,源极/漏极硅化物不会侵入到栅极叠层的边缘下面。
隔离物形成之后,在衬底12的暴露部分中形成源极/漏极扩散区域14。利用离子注入和退火步骤形成源极/漏极扩散区域14。退火步骤用于激活通过前面的注入步骤注入的掺杂剂。本领域的技术人员已公知用于离子注入和退火的条件。
下一步,如图1和2所示,在图1和2所示的整个结构上形成厚压缩或者拉伸CA氮化物膜30或者42并且进行如上面详细描述的进一步的制造和处理以形成薄压缩或者拉伸CA氮化物膜36或44以及可能地Ge/As注入40或46.
在制造图1和2所示结构之后,可以形成平整化介质层(未示出)。平整化介质层包括诸如高密度氧化物或者从TEOS沉积的氧化物的氧化物。可选地,平整化介质层可以包括诸如硼掺杂硅酸盐玻璃(BSG)、磷掺杂硅酸盐玻璃(PSG)的掺杂硅酸盐玻璃,诸如氢倍半硅氧烷(HSQ)的旋涂聚合物材料,或光致抗蚀剂。通过本领域的技术人员公知的常规技术形成平整化介质层。在此处形成的平整化介质层的厚度可以依赖于所用材料的类型改变。优选平整化介质层具有从大约50到大约100nm的厚度。
虽然这里详细描述了用于最优化CMOSFET中的应变的结构和方法的本发明的几个实施例和变化,但是应该明白,对本领域的技术人员,本发明的公开和教导旨在多种可选设计。

Claims (18)

1.一种制造具有p型半导体器件和n型半导体器件的半导体结构的方法,所述p型半导体器件和n型半导体器件中具有不同的应变量,所述方法包括如下步骤:
在衬底上形成p型半导体器件和n型半导体器件;
在所述p型半导体器件和所述n型半导体器件上形成具有初始厚度的应变引起覆层,其中所述应变引起覆层在所述p型半导体器件和所述n型半导体器件中产生应变;
保护应变引起覆层覆盖的p型半导体器件和n型半导体器件的一个,而另一个应变引起覆层覆盖的半导体器件保持暴露;
蚀刻所述暴露的应变引起覆层以减少应变引起覆层的厚度,从而驰豫在所述暴露的半导体器件中的应变,而被保护的半导体器件上的应变引起覆层仍被保护,以便所述被保护的半导体器件中的所述应变保持不变。
2.根据权利1的方法,其中在蚀刻之后,向暴露的半导体器件中注入应变减小掺杂剂以进一步驰豫在所述暴露的半导体器件中的应变。
3.根据权利要求2的方法,包括注入包括As或者Ge的应变减小掺杂剂。
4.根据权利要求3的方法,包括以大约5e14原子/cm2到大约2e15原子/cm2的剂量,以大约20KeV到大约50KeV的注入能量注入As或者Ge。
5.根据权利要求1的方法,其中所述保护步骤包括:
在所述衬底上的所述p型半导体器件和所述n型半导体器件上覆盖沉积光致抗蚀剂层;
将所述光致抗蚀剂层暴露于辐射图形并且将所述图形显影到所述光致抗蚀剂层中,以在所述被保护的半导体器件上提供阻挡掩模。
6.根据权利要求1的方法,其中所述应变引起覆层提供压缩应变以提高被保护的p型半导体器件的性能并且在暴露的n型半导体器件中驰豫所述压缩应变。
7.根据权利要求6的方法,其中所述p型半导体器件是p型MOSFET并且所述n型半导体器件是n型MOSFET。
8.根据权利要求1的方法,其中所述应变引起覆层提供拉伸应变以提高被保护的n型半导体器件的性能并且在暴露的p型半导体器件中驰豫所述压缩应变。
9.根据权利要求8的方法,其中所述p型半导体器件是p型MOSFET并且所述n型半导体器件是n型MOSFET。
10.根据权利要求1的方法,其中所述应变引起覆层包括Si3N4
11.一种半导体结构,包括p型半导体器件和n型半导体器件,所述p型半导体器件和n型半导体器件中具有不同的应变量,所述结构包括:
所述半导体结构包括在衬底上形成的p型半导体器件和n型半导体器件;
在所述p型半导体器件和n型半导体器件的一个上形成具有初始全厚度的应变引起覆层,其中所述应变引起覆层在一个半导体器件中产生最优化全应变;
在所述p型半导体器件和所述n型半导体器件的另一个上形成具有小于全厚度的蚀刻减小的厚度的应变引起覆层,其中所述应变引起覆层的减小的厚度在另一个半导体器件中驰豫并产生比在所述一个半导体器件中更小的应变。
12.根据权利11的半导体结构,其中所述另一个半导体器件还具有注入的应变减小掺杂剂以进一步驰豫在所述另一个半导体器件中的应变。
13.根据权利要求12的半导体结构,其中所述注入的应变减小掺杂剂包括As或者Ge以进一步驰豫在所述另一个半导体器件中的应变。
14.根据权利要求11的半导体结构,其中所述应变引起覆层提供压缩应变以提高所述一个p型半导体器件的性能并且所述压缩应变在另一个n型半导体器件中驰豫。
15.根据权利要求11的半导体结构,其中所述p型半导体器件是p型MOSFET并且所述n型半导体器件是n型MOSFET。
16.根据权利要求11的半导体结构,其中所述应变引起覆层提供拉伸应变以提高所述一个n型半导体器件的性能并且所述压缩应变在另一个p型半导体器件中驰豫。
17.根据权利要求11的半导体结构,其中所述p型半导体器件是p型MOSFET并且所述n型半导体器件是n型MOSFET。
18.根据权利要求11的半导体结构,其中所述应变引起覆层包括Si3N4
CN200680002466.9A 2005-01-19 2006-01-19 在cmosfet中最优化应变的结构与方法 Pending CN101496176A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US10/905,745 US7432553B2 (en) 2005-01-19 2005-01-19 Structure and method to optimize strain in CMOSFETs
US10/905,745 2005-01-19

Publications (1)

Publication Number Publication Date
CN101496176A true CN101496176A (zh) 2009-07-29

Family

ID=36683015

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200680002466.9A Pending CN101496176A (zh) 2005-01-19 2006-01-19 在cmosfet中最优化应变的结构与方法

Country Status (6)

Country Link
US (3) US7432553B2 (zh)
EP (1) EP1842239A4 (zh)
JP (1) JP4884397B2 (zh)
CN (1) CN101496176A (zh)
TW (1) TW200634926A (zh)
WO (1) WO2006078740A2 (zh)

Families Citing this family (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7585704B2 (en) * 2005-04-01 2009-09-08 International Business Machines Corporation Method of producing highly strained PECVD silicon nitride thin films at low temperature
US7858458B2 (en) 2005-06-14 2010-12-28 Micron Technology, Inc. CMOS fabrication
US7541632B2 (en) * 2005-06-14 2009-06-02 Micron Technology, Inc. Relaxed-pitch method of aligning active area to digit line
US20070013070A1 (en) * 2005-06-23 2007-01-18 Liang Mong S Semiconductor devices and methods of manufacture thereof
US7888721B2 (en) 2005-07-06 2011-02-15 Micron Technology, Inc. Surround gate access transistors with grown ultra-thin bodies
US7768051B2 (en) 2005-07-25 2010-08-03 Micron Technology, Inc. DRAM including a vertical surround gate transistor
US7589385B2 (en) * 2005-07-26 2009-09-15 United Microelectronics Corp. Semiconductor CMOS transistors and method of manufacturing the same
US7696567B2 (en) 2005-08-31 2010-04-13 Micron Technology, Inc Semiconductor memory device
US7687342B2 (en) 2005-09-01 2010-03-30 Micron Technology, Inc. Method of manufacturing a memory device
US7557032B2 (en) 2005-09-01 2009-07-07 Micron Technology, Inc. Silicided recessed silicon
US7416943B2 (en) 2005-09-01 2008-08-26 Micron Technology, Inc. Peripheral gate stacks and recessed array gates
US7297584B2 (en) * 2005-10-07 2007-11-20 Samsung Electronics Co., Ltd. Methods of fabricating semiconductor devices having a dual stress liner
KR100651603B1 (ko) * 2005-12-13 2006-11-30 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7378308B2 (en) * 2006-03-30 2008-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices with improved gap-filling
US7790540B2 (en) * 2006-08-25 2010-09-07 International Business Machines Corporation Structure and method to use low k stress liner to reduce parasitic capacitance
JP2008066484A (ja) * 2006-09-06 2008-03-21 Fujitsu Ltd Cmos半導体装置とその製造方法
JP2008131023A (ja) * 2006-11-27 2008-06-05 Nec Electronics Corp 半導体装置およびその製造方法
JP2008192686A (ja) * 2007-02-01 2008-08-21 Matsushita Electric Ind Co Ltd 半導体装置及びその製造方法
US20080185655A1 (en) * 2007-02-02 2008-08-07 United Microelectronics Corp. Smiconductor device, method for fabricating thereof and method for increasing film stress
US20080203485A1 (en) * 2007-02-28 2008-08-28 International Business Machines Corporation Strained metal gate structure for cmos devices with improved channel mobility and methods of forming the same
US20080277726A1 (en) * 2007-05-08 2008-11-13 Doris Bruce B Devices with Metal Gate, High-k Dielectric, and Butted Electrodes
US7923373B2 (en) 2007-06-04 2011-04-12 Micron Technology, Inc. Pitch multiplication using self-assembling materials
US20080315317A1 (en) * 2007-06-22 2008-12-25 Chartered Semiconductor Manufacturing Ltd. Semiconductor system having complementary strained channels
US20090068824A1 (en) * 2007-09-11 2009-03-12 United Microelectronics Corp. Fabricating method of semiconductor device
US20090095991A1 (en) * 2007-10-11 2009-04-16 International Business Machines Corporation Method of forming strained mosfet devices using phase transformable materials
JP5268385B2 (ja) * 2008-02-13 2013-08-21 パナソニック株式会社 半導体装置
US7727834B2 (en) * 2008-02-14 2010-06-01 Toshiba America Electronic Components, Inc. Contact configuration and method in dual-stress liner semiconductor device
JP2009200155A (ja) * 2008-02-20 2009-09-03 Nec Electronics Corp 半導体装置及びその製造方法
US8999863B2 (en) * 2008-06-05 2015-04-07 Globalfoundries Singapore Pte. Ltd. Stress liner for stress engineering
US7795679B2 (en) * 2008-07-24 2010-09-14 International Business Machines Corporation Device structures with a self-aligned damage layer and methods for forming such device structures
US8298876B2 (en) 2009-03-27 2012-10-30 International Business Machines Corporation Methods for normalizing strain in semiconductor devices and strain normalized semiconductor devices
US8389300B2 (en) * 2010-04-02 2013-03-05 Centre National De La Recherche Scientifique Controlling ferroelectricity in dielectric films by process induced uniaxial strain
US8673757B2 (en) 2010-10-28 2014-03-18 International Business Machines Corporation Structure and method for using high-k material as an etch stop layer in dual stress layer process
US9159633B2 (en) 2013-09-13 2015-10-13 Globalfoundries Inc. Test macro for use with a multi-patterning lithography process
KR102231205B1 (ko) * 2014-11-19 2021-03-25 삼성전자주식회사 반도체 장치 및 그 제조 방법
FR3076077B1 (fr) * 2017-12-22 2020-02-28 Commissariat A L'energie Atomique Et Aux Energies Alternatives Realisation de transistors a canaux contraints

Family Cites Families (92)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3602841A (en) 1970-06-18 1971-08-31 Ibm High frequency bulk semiconductor amplifiers and oscillators
US4853076A (en) 1983-12-29 1989-08-01 Massachusetts Institute Of Technology Semiconductor thin films
DE3419392C1 (de) 1984-05-24 1985-12-05 Deutsche Texaco Ag, 2000 Hamburg Verfahren zur kontinuierlichen Herstellung von Isopropylalkohol oder sek. Butylalkohol
US4665415A (en) 1985-04-24 1987-05-12 International Business Machines Corporation Semiconductor device with hole conduction via strained lattice
DE3676781D1 (de) 1985-09-13 1991-02-14 Siemens Ag Integrierte bipolar- und komplementaere mos-transistoren auf einem gemeinsamen substrat enthaltende schaltung und verfahren zu ihrer herstellung.
US4958213A (en) 1987-12-07 1990-09-18 Texas Instruments Incorporated Method for forming a transistor base region under thick oxide
US5354695A (en) 1992-04-08 1994-10-11 Leedy Glenn J Membrane dielectric isolation IC fabrication
US5459346A (en) 1988-06-28 1995-10-17 Ricoh Co., Ltd. Semiconductor substrate with electrical contact in groove
US5006913A (en) 1988-11-05 1991-04-09 Mitsubishi Denki Kabushiki Kaisha Stacked type semiconductor device
US5108843A (en) 1988-11-30 1992-04-28 Ricoh Company, Ltd. Thin film semiconductor and process for producing the same
US4952524A (en) 1989-05-05 1990-08-28 At&T Bell Laboratories Semiconductor device manufacture including trench formation
US5310446A (en) 1990-01-10 1994-05-10 Ricoh Company, Ltd. Method for producing semiconductor film
US5060030A (en) 1990-07-18 1991-10-22 Raytheon Company Pseudomorphic HEMT having strained compensation layer
US5081513A (en) 1991-02-28 1992-01-14 Xerox Corporation Electronic device with recovery layer proximate to active layer
US5371399A (en) 1991-06-14 1994-12-06 International Business Machines Corporation Compound semiconductor having metallic inclusions and devices fabricated therefrom
US5134085A (en) 1991-11-21 1992-07-28 Micron Technology, Inc. Reduced-mask, split-polysilicon CMOS process, incorporating stacked-capacitor cells, for fabricating multi-megabit dynamic random access memories
US5391510A (en) 1992-02-28 1995-02-21 International Business Machines Corporation Formation of self-aligned metal gate FETs using a benignant removable gate material during high temperature steps
US6008126A (en) 1992-04-08 1999-12-28 Elm Technology Corporation Membrane dielectric isolation IC fabrication
WO1994027317A1 (de) 1993-05-06 1994-11-24 Siemens Aktiengesellschaft Herstellungsverfahren für bauelemente auf soi-substrat
US5561302A (en) 1994-09-26 1996-10-01 Motorola, Inc. Enhanced mobility MOSFET device and method
US5679965A (en) 1995-03-29 1997-10-21 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact, non-nitride buffer layer and methods of fabricating same
US5670798A (en) 1995-03-29 1997-09-23 North Carolina State University Integrated heterostructures of Group III-V nitride semiconductor materials including epitaxial ohmic contact non-nitride buffer layer and methods of fabricating same
US5557122A (en) 1995-05-12 1996-09-17 Alliance Semiconductors Corporation Semiconductor electrode having improved grain structure and oxide growth properties
US6403975B1 (en) 1996-04-09 2002-06-11 Max-Planck Gesellschaft Zur Forderung Der Wissenschafteneev Semiconductor components, in particular photodetectors, light emitting diodes, optical modulators and waveguides with multilayer structures grown on silicon substrates
US5880040A (en) 1996-04-15 1999-03-09 Macronix International Co., Ltd. Gate dielectric based on oxynitride grown in N2 O and annealed in NO
US5861651A (en) 1997-02-28 1999-01-19 Lucent Technologies Inc. Field effect devices and capacitors with improved thin film dielectrics and method for making same
US5940736A (en) 1997-03-11 1999-08-17 Lucent Technologies Inc. Method for forming a high quality ultrathin gate oxide layer
US6309975B1 (en) 1997-03-14 2001-10-30 Micron Technology, Inc. Methods of making implanted structures
US6025280A (en) 1997-04-28 2000-02-15 Lucent Technologies Inc. Use of SiD4 for deposition of ultra thin and controllable oxides
US5960297A (en) 1997-07-02 1999-09-28 Kabushiki Kaisha Toshiba Shallow trench isolation structure and method of forming the same
JP3139426B2 (ja) 1997-10-15 2001-02-26 日本電気株式会社 半導体装置
US6066545A (en) 1997-12-09 2000-05-23 Texas Instruments Incorporated Birdsbeak encroachment using combination of wet and dry etch for isolation nitride
US6274421B1 (en) 1998-01-09 2001-08-14 Sharp Laboratories Of America, Inc. Method of making metal gate sub-micron MOS transistor
KR100275908B1 (ko) 1998-03-02 2000-12-15 윤종용 집적 회로에 트렌치 아이솔레이션을 형성하는방법
US6165383A (en) 1998-04-10 2000-12-26 Organic Display Technology Useful precursors for organic electroluminescent materials and devices made from such materials
US6361885B1 (en) 1998-04-10 2002-03-26 Organic Display Technology Organic electroluminescent materials and device made from such materials
US6074903A (en) 1998-06-16 2000-06-13 Siemens Aktiengesellschaft Method for forming electrical isolation for semiconductor devices
US5989978A (en) 1998-07-16 1999-11-23 Chartered Semiconductor Manufacturing, Ltd. Shallow trench isolation of MOSFETS with reduced corner parasitic currents
JP4592837B2 (ja) 1998-07-31 2010-12-08 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US6319794B1 (en) 1998-10-14 2001-11-20 International Business Machines Corporation Structure and method for producing low leakage isolation devices
US6235598B1 (en) 1998-11-13 2001-05-22 Intel Corporation Method of using thick first spacers to improve salicide resistance on polysilicon gates
JP2000216377A (ja) * 1999-01-20 2000-08-04 Nec Corp 半導体装置の製造方法
US6117722A (en) 1999-02-18 2000-09-12 Taiwan Semiconductor Manufacturing Company SRAM layout for relaxing mechanical stress in shallow trench isolation technology and method of manufacture thereof
US6255169B1 (en) 1999-02-22 2001-07-03 Advanced Micro Devices, Inc. Process for fabricating a high-endurance non-volatile memory device
JP4521542B2 (ja) 1999-03-30 2010-08-11 ルネサスエレクトロニクス株式会社 半導体装置および半導体基板
US6284626B1 (en) 1999-04-06 2001-09-04 Vantis Corporation Angled nitrogen ion implantation for minimizing mechanical stress on side walls of an isolation trench
US6656822B2 (en) 1999-06-28 2003-12-02 Intel Corporation Method for reduced capacitance interconnect system using gaseous implants into the ILD
US6362082B1 (en) 1999-06-28 2002-03-26 Intel Corporation Methodology for control of short channel effects in MOS transistors
US6228694B1 (en) 1999-06-28 2001-05-08 Intel Corporation Method of increasing the mobility of MOS transistors by use of localized stress regions
US6281532B1 (en) 1999-06-28 2001-08-28 Intel Corporation Technique to obtain increased channel mobilities in NMOS transistors by gate electrode engineering
KR100332108B1 (ko) 1999-06-29 2002-04-10 박종섭 반도체 소자의 트랜지스터 및 그 제조 방법
TW426940B (en) 1999-07-30 2001-03-21 United Microelectronics Corp Manufacturing method of MOS field effect transistor
US6284623B1 (en) 1999-10-25 2001-09-04 Peng-Fei Zhang Method of fabricating semiconductor devices using shallow trench isolation with reduced narrow channel effect
US6476462B2 (en) 1999-12-28 2002-11-05 Texas Instruments Incorporated MOS-type semiconductor device and method for making same
US6221735B1 (en) 2000-02-15 2001-04-24 Philips Semiconductors, Inc. Method for eliminating stress induced dislocations in CMOS devices
US6531369B1 (en) 2000-03-01 2003-03-11 Applied Micro Circuits Corporation Heterojunction bipolar transistor (HBT) fabrication using a selectively deposited silicon germanium (SiGe)
US6368931B1 (en) 2000-03-27 2002-04-09 Intel Corporation Thin tensile layers in shallow trench isolation and method of making same
US6493497B1 (en) 2000-09-26 2002-12-10 Motorola, Inc. Electro-optic structure and process for fabricating same
US6501121B1 (en) 2000-11-15 2002-12-31 Motorola, Inc. Semiconductor structure
AU2001267880A1 (en) * 2000-11-22 2002-06-03 Hitachi Ltd. Semiconductor device and method for fabricating the same
JP2003086708A (ja) * 2000-12-08 2003-03-20 Hitachi Ltd 半導体装置及びその製造方法
US6563152B2 (en) 2000-12-29 2003-05-13 Intel Corporation Technique to obtain high mobility channels in MOS transistors by forming a strain layer on an underside of a channel
KR100390567B1 (ko) 2000-12-30 2003-07-07 주식회사 동진쎄미켐 근적외선 분광기를 이용한 포토레지스트 박리공정제어방법 및 포토레지스트 박리액 조성물의 재생방법
US20020086497A1 (en) 2000-12-30 2002-07-04 Kwok Siang Ping Beaker shape trench with nitride pull-back for STI
US6265317B1 (en) 2001-01-09 2001-07-24 Taiwan Semiconductor Manufacturing Company Top corner rounding for shallow trench isolation
US6809014B2 (en) * 2001-03-14 2004-10-26 Micron Technology, Inc. Method to fabricate surface p-channel CMOS
US6403486B1 (en) 2001-04-30 2002-06-11 Taiwan Semiconductor Manufacturing Company Method for forming a shallow trench isolation
US6531740B2 (en) 2001-07-17 2003-03-11 Motorola, Inc. Integrated impedance matching and stability network
US6498358B1 (en) 2001-07-20 2002-12-24 Motorola, Inc. Structure and method for fabricating an electro-optic system having an electrochromic diffraction grating
US6908810B2 (en) 2001-08-08 2005-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of preventing threshold voltage of MOS transistor from being decreased by shallow trench isolation formation
JP2003060076A (ja) 2001-08-21 2003-02-28 Nec Corp 半導体装置及びその製造方法
US20030057184A1 (en) 2001-09-22 2003-03-27 Shiuh-Sheng Yu Method for pull back SiN to increase rounding effect in a shallow trench isolation process
US6656798B2 (en) 2001-09-28 2003-12-02 Infineon Technologies, Ag Gate processing method with reduced gate oxide corner and edge thinning
US6461936B1 (en) 2002-01-04 2002-10-08 Infineon Technologies Ag Double pullback method of filling an isolation trench
JP4173672B2 (ja) * 2002-03-19 2008-10-29 株式会社ルネサステクノロジ 半導体装置及びその製造方法
US6689676B1 (en) * 2002-07-26 2004-02-10 Motorola, Inc. Method for forming a semiconductor device structure in a semiconductor layer
JP4030383B2 (ja) * 2002-08-26 2008-01-09 株式会社ルネサステクノロジ 半導体装置およびその製造方法
US6955952B2 (en) 2003-03-07 2005-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Strain balanced structure with a tensile strained silicon channel and a compressive strained silicon-germanium channel for CMOS performance enhancement
US6900502B2 (en) 2003-04-03 2005-05-31 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel on insulator device
US7316950B2 (en) * 2003-04-22 2008-01-08 National University Of Singapore Method of fabricating a CMOS device with dual metal gate electrodes
US6939814B2 (en) * 2003-10-30 2005-09-06 International Business Machines Corporation Increasing carrier mobility in NFET and PFET transistors on a common wafer
US7183221B2 (en) * 2003-11-06 2007-02-27 Texas Instruments Incorporated Method of fabricating a semiconductor having dual gate electrodes using a composition-altered metal layer
US7052946B2 (en) * 2004-03-10 2006-05-30 Taiwan Semiconductor Manufacturing Co. Ltd. Method for selectively stressing MOSFETs to improve charge carrier mobility
US20050214998A1 (en) * 2004-03-26 2005-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Local stress control for CMOS performance enhancement
US7053400B2 (en) * 2004-05-05 2006-05-30 Advanced Micro Devices, Inc. Semiconductor device based on Si-Ge with high stress liner for enhanced channel carrier mobility
US7018883B2 (en) * 2004-05-05 2006-03-28 Taiwan Semiconductor Manufacturing Company, Ltd. Dual work function gate electrodes
US7220630B2 (en) * 2004-05-21 2007-05-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method for selectively forming strained etch stop layers to improve FET charge carrier mobility
DE102004052578B4 (de) * 2004-10-29 2009-11-26 Advanced Micro Devices, Inc., Sunnyvale Verfahren zum Erzeugen einer unterschiedlichen mechanischen Verformung in unterschiedlichen Kanalgebieten durch Bilden eines Ätzstoppschichtstapels mit unterschiedlich modifizierter innerer Spannung
US7193254B2 (en) * 2004-11-30 2007-03-20 International Business Machines Corporation Structure and method of applying stresses to PFET and NFET transistor channels for improved performance
JP4361886B2 (ja) * 2005-02-24 2009-11-11 富士通マイクロエレクトロニクス株式会社 半導体集積回路装置およびその製造方法
JP2006324278A (ja) * 2005-05-17 2006-11-30 Sony Corp 半導体装置およびその製造方法
DE102005052054B4 (de) * 2005-10-31 2010-08-19 Advanced Micro Devices, Inc., Sunnyvale Halbleiterbauteil mit Transistoren mit verformten Kanalgebieten und Verfahren zu seiner Herstellung

Also Published As

Publication number Publication date
US7432553B2 (en) 2008-10-07
US20080070357A1 (en) 2008-03-20
WO2006078740A3 (en) 2007-11-01
US20060157795A1 (en) 2006-07-20
EP1842239A4 (en) 2009-07-01
JP4884397B2 (ja) 2012-02-29
JP2008527755A (ja) 2008-07-24
EP1842239A2 (en) 2007-10-10
US20080251853A1 (en) 2008-10-16
WO2006078740A2 (en) 2006-07-27
TW200634926A (en) 2006-10-01

Similar Documents

Publication Publication Date Title
CN101496176A (zh) 在cmosfet中最优化应变的结构与方法
US8299453B2 (en) CMOS transistors with silicon germanium channel and dual embedded stressors
CN103137624B (zh) 高栅极密度器件和方法
US7834399B2 (en) Dual stress memorization technique for CMOS application
US7678634B2 (en) Local stress engineering for CMOS devices
EP1565931B1 (en) Strained finfet cmos device structures
US8058120B2 (en) Integration scheme for strained source/drain CMOS using oxide hard mask
US7820518B2 (en) Transistor fabrication methods and structures thereof
US9076867B2 (en) Semiconductor device structures including strained transistor channels
US7482216B2 (en) Substrate engineering for optimum CMOS device performance
KR101258642B1 (ko) 반도체 소자의 제조 방법
US20070099369A1 (en) Integration scheme method and structure for transistors using strained silicon
CN101310386A (zh) 用于高迁移率平面和多栅极mosfet的混合衬底技术
CN103247535A (zh) 用于finfet器件的位错smt
US6812105B1 (en) Ultra-thin channel device with raised source and drain and solid source extension doping
US20080128765A1 (en) MOSFET Device With Localized Stressor
US20090294807A1 (en) Methods of Fabricating Transistors and Structures Thereof
US6103563A (en) Nitride disposable spacer to reduce mask count in CMOS transistor formation
CN101000928A (zh) 薄硅单扩散场效应晶体管及其制造方法
TW201801309A (zh) 具有非鬆弛應變通道之場效電晶體
US20180130811A1 (en) Simple integration of non-volatile memory and complementary metal oxide semiconductor
US6958278B2 (en) Semiconductor devices and methods for fabricating the same
JP4110089B2 (ja) 二重ゲート型電界効果トランジスタの製造方法
US10680065B2 (en) Field-effect transistors with a grown silicon-germanium channel
US6221706B1 (en) Aluminum disposable spacer to reduce mask count in CMOS transistor formation

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Open date: 20090729