CN101511607A - 整合的化学机械抛光组合物及单台板处理方法 - Google Patents

整合的化学机械抛光组合物及单台板处理方法 Download PDF

Info

Publication number
CN101511607A
CN101511607A CNA2006800281916A CN200680028191A CN101511607A CN 101511607 A CN101511607 A CN 101511607A CN A2006800281916 A CNA2006800281916 A CN A2006800281916A CN 200680028191 A CN200680028191 A CN 200680028191A CN 101511607 A CN101511607 A CN 101511607A
Authority
CN
China
Prior art keywords
acid
cmp
triazole
amino
methyl
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CNA2006800281916A
Other languages
English (en)
Inventor
迈克尔·达西罗
彼得·弗热施卡
詹姆士·韦尔奇
杰弗里·贾尔斯
米谢勒·斯塔瓦咨
卡尔·博格斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Advanced Technology Materials Inc
Original Assignee
Advanced Technology Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Advanced Technology Materials Inc filed Critical Advanced Technology Materials Inc
Publication of CN101511607A publication Critical patent/CN101511607A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • BPERFORMING OPERATIONS; TRANSPORTING
    • B24GRINDING; POLISHING
    • B24BMACHINES, DEVICES, OR PROCESSES FOR GRINDING OR POLISHING; DRESSING OR CONDITIONING OF ABRADING SURFACES; FEEDING OF GRINDING, POLISHING, OR LAPPING AGENTS
    • B24B37/00Lapping machines or devices; Accessories
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1463Aqueous liquid suspensions
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09GPOLISHING COMPOSITIONS; SKI WAXES
    • C09G1/00Polishing compositions
    • C09G1/02Polishing compositions containing abrasives or grinding agents
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • CCHEMISTRY; METALLURGY
    • C09DYES; PAINTS; POLISHES; NATURAL RESINS; ADHESIVES; COMPOSITIONS NOT OTHERWISE PROVIDED FOR; APPLICATIONS OF MATERIALS NOT OTHERWISE PROVIDED FOR
    • C09KMATERIALS FOR MISCELLANEOUS APPLICATIONS, NOT PROVIDED FOR ELSEWHERE
    • C09K3/00Materials not provided for elsewhere
    • C09K3/14Anti-slip materials; Abrasives
    • C09K3/1454Abrasive powders, suspensions and pastes for polishing
    • C09K3/1472Non-aqueous liquid suspensions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • H01L21/3212Planarisation by chemical mechanical polishing [CMP]

Abstract

本发明公开了用于去除微电子器件基板上的铜和阻挡层材料的化学机械抛光(CMP)组合物和单CMP台板方法。该方法包括在单CMP台板垫上步骤I浆料制剂向步骤II浆料制剂的原位转化,所述步骤I浆料制剂用于选择性地去除铜并使之平坦化,所述步骤II浆料制剂用于选择性地去除阻挡层材料。

Description

整合的化学机械抛光组合物及单台板处理方法
发明领域
本发明涉及用于半导体基板单台板(platen)抛光的化学机械抛光组合物及方法,所述半导体基板上具有铜图案,例如铜互连线、电极或其它的器件金属化布线,其可以包括作为其结构部分的阻挡层材料。
相关技术
铜在半导体制造中用来作为在晶片基板上的半导体器件结构部件(例如配线、电极、接合焊盘、导电过孔、触点、场发射器基层等)的结构材料,相对于铝及铝合金而言,由于其具有较高的电导率,且具有增大的抗电迁移能力,其迅速成为半导体制造中的首选互连金属。
通常情况下,在半导体制造中引入铜的工艺设计涉及镶嵌方法,其中是在介电材料中蚀刻出特征(features),用覆盖的金属化物进行填充,去除表面的金属化物以分离出特征。在双镶嵌工艺中采用单次填充形成插头和连线。由于铜具有向介电材料中扩散的倾向,因而导致金属线之间的漏损和向晶体管结构中的迁移,使电子特性发生变化,因此通常使用通过各种沉积方法沉积的阻挡物/衬里层(例如Ta和/或TaN)来密封铜互连线。沉积阻挡层材料之后,通过物理或化学气相沉积方法在衬里材料上沉积薄铜籽层,接着进行铜的电沉积以填充图样。
随沉积的铜填充蚀刻的图样时,在整个层的表面处形成高度差异或形貌,具有凸起和凹陷区。随后必须去除在高区域上沉积的过载铜和阻挡材料,从而电隔离出独立的电路图样,并赋予其在成品半导体产品制造中适应后续工艺步骤的适当形式,这也是为了能在其所在的微电路中实现满意的运转。平坦化通常涉及化学机械抛光(CMP),使用为此目的配制的CMP组合物。
化学机械抛光或平坦化是从半导体晶片的表面上去除材料的方法,通过将诸如磨耗的物理过程与诸如氧化或螯合的化学过程结合而使表面被抛光(平坦化)。在其最基本的形式中,CMP涉及对晶片表面或抛光垫施加浆料,具体而言施加磨料和活性化学物质的溶液,从而实现多余材料的去除和晶片表面的平坦化,所述的抛光垫用来对半导体晶片表面结构上的不同材料进行抛光。去除或抛光过程为纯粹的物理或纯粹化学过程均不可取,优选的是两者的协同组合,这是为了实现快速、均匀的去除,得到结构材料的平坦表面。
由于铜与例如Ta和/或TaN的阻挡层之间的化学活性不同,通常在铜CMP方法中使用化学和机械性能迥异的两种浆料。步骤I浆料用于迅速地使形貌平坦化并均匀地除去铜,步骤I抛光止于阻挡层。通常在步骤I期间铜去除速率与阻挡层去除速率之比大于100:1。步骤II浆料以高去除速率去除阻挡层材料,并止于介电层内或止于介电层,或者止于用来保护介电盖层(Cap Layer)或止于其内。通常情况下根据整合的要求选择在步骤II期间阻挡层去除速率与铜去除速率之比。
通常在CMP处理期间,步骤I与步骤II浆料组合物用在同一台板上是不相容的,这是由于以下的各种因素:例如pH值动荡、化学成分和/或磨料之间的不相容性、以及使抛光性能劣化的问题或造成缺陷的其它问题。例如一般来说,步骤I浆料包括阳离子性的氧化铝,步骤II浆料包括阴离子性的二氧化硅。因此,常规的CMP方法包括在一个或多个台板上使用步骤I浆料进行铜的去除,随后将基板转移到另一台板上,以使用步骤II浆料进行阻挡层材料的去除。
需要一种用于在单台板上对包括铜和阻挡层材料的微电子器件基板进行化学机械抛光的组合物及方法,从而步骤I抛光组合物及其工艺处理和步骤II抛光组合物及其工艺处理可在同一个台板上进行,即不用将微电子器件基板转移到第二台板上进行步骤II的处理。所述的单台板组合物及其方法应使平坦化效率、均匀性及去除速率最大化,同时随之最小化表面缺陷,例如凹陷和侵蚀以及底部形貌的破坏。
发明概述
本发明涉及对其上具有铜和阻挡层材料的微电子器件基板进行抛光的化学机械抛光组合物及其方法。具体而言,本发明涉及组合物及在单个台板上的步骤I和步骤II CMP处理的抛光方法,即不用将微电子器件基板转移到第二台板上进行步骤II处理。
一方面,本发明涉及一种CMP浆料组合物,其包含至少一种钝化剂、至少一种溶剂、至少一种磨料和任选的至少一种pH调节剂,其中所述组合物进一步的特征在于其包含至少一种以下的组分(I)或(II):
(I)至少一种氧化剂和至少一种螯合剂,其中所述组合物适用于去除铜并使之平坦化;或
(II)至少一种阻挡层去除增强剂、至少一种选择性添加剂和任选的至少一种氧化剂,其中所述组合物适用于阻挡层材料的选择性去除与抛光。
另一方面,本发明涉及一种基本上由至少一种钝化剂、至少一种溶剂、至少一种磨料、至少一种氧化剂、至少一种螯合剂和任选的至少一种pH调节剂组成的CMP浆料组合物,其中该CMP浆料组合物适用于去除铜并使之平坦化。
还在另一方面中,本发明涉及一种CMP浆料组合物,其包含至少一种钝化剂、至少一种溶剂、至少一种磨料、至少一种螯合剂、至少一种阻挡层去除增强剂、至少一种选择性添加剂和任选的至少一种氧化剂、任选的至少一种pH调节剂,其中CMP浆料组合物适用于阻挡层材料的选择性去除与抛光。
仍在另一方面中,本发明涉及一种在台板上对其上沉积有铜和阻挡层材料的晶片基板进行抛光的方法,所述方法包括:
在第一化学机械抛光(CMP)条件下,在台板上使其上具有铜的微电子器件基板与第一CMP浆料组合物接触足够的时间,从而充分地去除微电子器件基板上的铜并暴露出阻挡层材料,其中该第一CMP浆料组合物包含至少一种氧化剂、至少一种钝化剂、至少一种螯合剂、溶剂和至少一种酸稳定性磨料;和
在第二CMP条件下在相同台板上使其上具有阻挡层材料的微电子器件基板与第二CMP浆料组合物接触足够的时间,从而去除至少一部分微电子器件基板上的阻挡层材料,其中第二CMP浆料组合物包含至少一种氧化剂、至少一种钝化剂、至少一种螯合剂、至少一种溶剂和至少一种酸稳定性磨料,
附带条件是,该第一和第二CMP浆料组合物中不含有过硫酸盐及亚磷酸和/或其盐。
在进一步的一个方面中,本发明涉及一种试剂盒,其在一个或多个容器中包含步骤I的CMP浆料组合物试剂,其中该步骤I的CMP浆料组合物包含至少一种钝化剂、至少一种氧化剂、至少一种螯合剂、至少一种溶剂、至少一种酸稳定性磨料和任选的至少一种pH调节剂,且其中适合于与步骤I的CMP浆料组合而形成步骤II的CMP浆料的一种或多种附加组分任选地包括在一个或多个容器中,其中该一种或多种附加组分选自于至少一种阻挡层去除增强剂、至少一种选择性增强剂及其组合。
另一方面,本发明涉及微电子器件的制造方法,所述方法包括在化学机械抛光(CMP)条件下使其上具有铜的微电子器件基板与CMP浆料组合物接触足够的时间,从而去除微电子器件基板上的铜,其中该CMP浆料组合物包含至少一种氧化剂、至少一种钝化剂、至少一种螯合剂、至少一种溶剂和至少一种酸稳定性磨料,以及任选将微电子器件结合到产品中,附带条件是该CMP浆料组合物中不含有过硫酸盐及亚磷酸和/或其盐。
在进一步的一个方面中,本发明涉及微电子器件的制造方法,所述方法包括在CMP条件下使其上具有阻挡层材料的微电子器件基板与CMP浆料组合物接触足够的时间,从而去除微电子器件基板上的阻挡层材料,其中该CMP浆料组合物包含至少一种钝化剂、至少一种阻挡层去除增强剂、至少一种选择性添加剂、至少一种溶剂、至少一种酸稳定性磨料和任选的至少一种氧化剂,以及任选将微电子器件结合到产品中,附带条件是该CMP浆料组合物中不含有过硫酸盐及亚磷酸和/或其盐。
本发明的另一方面涉及一种用于对铜和阻挡层材料进行化学机械抛光的浆料试剂盒,所述浆料试剂盒在一个容器中包含:
第一浆料,其具有的铜去除速率大于阻挡物及介电材料的去除速率;和
第二浆料,其具有的阻挡物及介电材料的去除速率类似于或大于铜去除速率,
其中所述第一和第二浆料基于组合物总重量包含如下重量百分比浓度的物质:
约0.001至约10.0wt.%的钝化剂;
约0.01至约30.0wt.%的酸稳定性磨料;和
约20至约99.4wt.%的溶剂。
且其中所述第一和第二浆料是相容的,从而能实现对铜和阻挡层材料进行去除和抛光的单台板方法。
本发明的另一方面涉及在步骤I与步骤II的抛光步骤之间清洗抛光垫的方法。为了最小化第一与第二浆料在各自的铜去除及阻挡物去除步骤期间的交叉污染,可以进行垫的清洗。
由随后的公开内容及所附的权利要求,本发明的其它方面、特征及实施方案将更加显而易见。
附图简要说明
图1以图解的形式说明包括3.1wt.%的ATMI OS-70KL TM70nm二氧化硅的水基浆料的电位滴定,其中在pH值为4时的ζ电位是约-20mV。
图2以图解的形式说明包括4wt.%的酸稳定性二氧化硅的水基浆料的电位滴定,其中在pH值为4时的ζ电位是约-50mV。
图3以图解的形式说明包括4wt.%的酸稳定性二氧化硅和0.4wt.%的1,2,4-三唑钝化剂的水基浆料的电位滴定,其中在pH值为4时的ζ电位是约-40mV。
图4以图解的形式说明包括4wt.%的酸稳定性二氧化硅和0.4wt.%的氨基四唑钝化剂的水基浆料的电位滴定,其中在pH值为4时的ζ电位是约-30mV。
图5说明利用步骤I的CMP浆料相对于台板的向下压力的铜去除速率(以
Figure A200680028191D00161
min-1表示)和晶片内不均匀度(WIWNU)的百分比,所用的步骤I的CMP浆料包括0.05wt.%的1,2,4-三唑。
图6说明在各种下压力下,使用本发明两种不同的步骤I浆料的图案化晶片上的平坦化效率。
图7说明10wt.%二氧化硅浆料的ζ电位和pH值与1M Fe(NO3)3的函数关系。
图8说明利用步骤I浆料的覆盖的晶片的铜去除速率(以
Figure A200680028191D00162
 min-1表示)与下压力的关系,所用的步骤I浆料包含5wt.%的过氧化氢。
图9说明在各种下压力下,使用根据本发明步骤I浆料在图案化晶片上的平坦化效率。
图10说明模拟本发明的原位、单台板处理次序的铜连续去除速率。
发明详述及其优选实施方案
本发明涉及化学机械抛光组合物及方法,其中可以在单个处理台板上去除微电子器件基板上的铜和阻挡层材料。具体而言,本发明涉及在单个台板上的步骤I抛光组合物向步骤II抛光组合物的原位转化,即不用将微电子器件基板转移到另一台板上进行步骤II的处理。
本文中的“约”意指对应于规定值的±5%。
为便于参考,“微电子器件”对应于制造用于微电子、集成电路或计算机芯片应用的半导体基板、平板显示器和微机电系统(MEMS)。应该理解的是,术语“微电子器件”并不具有任何方式的限制意义,并包括最终将成为微电子器件或微电子组件的任何基板。
本文中定义的“介电覆盖材料”对应于包括例如SiON、SiCOH、SiCN和Si3N4的化合物。
本文中的晶片内不均匀度(WIWNU)对应于晶片断面上材料去除的变化的量度。更具体地说,WIWNU为49个测量点上的Cu去除量相对于49个测量点上的平均Cu去除量的百分比标准偏差,所基于的是所述49个测量点上的平均Cu去除量。优选的是,WIWNU小于约5%。
本文中的“充分地去除”对应的是所涉及材料的去除使得在特定的CMP处理步骤之后,图样之间超过50%的区域已经露出下面的材料,优选的是露出超过90%,更优选的是露出超过95%,最优选的是露出超过99%。例如,步骤I铜去除方法在处理步骤完成时图样之间应露出超过99%的下面的阻挡物。
在CMP中配制浆料以独立地控制待抛光图案的不同材料之间的相对抛光速率。例如,步骤I浆料用于迅速地去除体相铜并均匀地使形貌平坦化。步骤II浆料用于去除阻挡层材料和任选的部分覆盖物和/或介电层。通常情况下,将具有铜层和阻挡层材料的微电子器件基板置于第一台板上进行步骤I抛光,从而去除铜层并使之平坦化,然后随即转移到另一台板上进行步骤II的抛光,从而去除阻挡层材料。使用另外的台板进行步骤II处理是不利的,部分原因是生产能力方面的考虑以及工具的限制。
在单个台板上对微电子器件基板进行化学机械抛光需要将步骤I浆料和步骤II浆料顺序地引入到同一台板上。即使在两种不同的浆料向同一台板的引入之间进行漂洗,pH值振荡、化学品和/或磨料之间的不相容性以及其它的问题也会使抛光性能劣化或造成缺陷性问题。
本发明克服了现有技术中单台板CMP配方及方法所带来的种种问题。具体而言,本发明涉及彼此相容的步骤I与步骤II的CMP配方,正因如此,可以将它们顺序地引入到同一个台板上。此外,本发明的一个实施方案涉及单台板多步骤CMP方法,其在各步骤之间包括垫清洗步骤,从而最大限度地减小前一步骤的浆料对后续步骤的影响。此外,本发明的另一方面涉及一种CMP方法,其包括在单个台板上的步骤I抛光组合物向步骤II抛光组合物的原位转化,即不用将微电子器件基板转移到第二台板上进行在那里的步骤II处理。本文中所述的CMP组合物及其方法可以确保在步骤I期间体相铜的迅速、有效和选择性的去除及平坦化,以及在步骤II期间残留铜、阻挡层材料的选择性去除和任选的介电叠层的部分去除,其中步骤I和步骤II处理均在同一台板上完成。
本文中定义的“步骤I”对应于去除其上具有体相铜的基板表面上的体相铜并使之平坦化的CMP方法以及在所述CMP方法期间所使用的浆料制剂。此外,步骤I的方法可以包括“软着陆”或“触地”,其对应于步骤I抛光方法中的某些点,在此可以减小抛光机的下压力,从而减少基板表面上铜的凹陷和/或侵蚀。“软着陆”或“触地”优选止于可检出的处理终点。当达此终点时可以开始过抛光。进行过抛光是为了去除阻挡材料表面上的残留铜,同时最大程度地减少铜图样的额外凹陷或侵蚀。
本文中定义的“步骤II”对应于去除微电子器件基板表面上的残留铜、阻挡层材料、诸如SiON的介电覆盖材料或任选的一些介电的CMP方法,以及在所述CMP方法期间所使用的浆料制剂。通常采用固定的工艺时间对步骤II方法进行控制,但也可以通过终点系统控制该方法,且在检出步骤II抛光终点后可以包括过抛光步骤。
本文中定义的“阻挡层材料”对应于任何这样的材料,其在本领域中用于密封金属连线(例如铜互连线),从而最大程度地减少所述金属(例如铜)向介电材料中的扩散。优选的阻挡层材料包括钽、钛、钌、铪、钨以及其它难熔金属和它们的氮化物及硅化物。在本发明广泛描述的下文中具体涉及到的钽旨在提供本发明的说明性实施例,不意味着以任何方式进行限制。
本发明的步骤I的CMP制剂中基于组合物总重量而言包括按下述范围存在的至少一种氧化剂、至少一种钝化剂、至少一种螯合剂、磨料、至少一种溶剂和任选的至少一种pH调节剂:
Figure A200680028191D00191
步骤I制剂的pH值范围是约2至约12,优选为约4至约6,还更优选为约4.5至约5.5。溶剂相对于氧化剂的摩尔比范围是约1:1至约100:1,优选为约10:1至约80:1,最优选为约25:1至约45:1,溶剂相对于螯合剂的摩尔比范围是约1:1至约250:1,优选为约100:1至约150:1,溶剂相对于钝化剂的摩尔比范围是约500:1至约8000:1,优选为约500:1至约1000:1或约6500:1至约7500:1,溶剂相对于磨料的摩尔比范围是约50:1至约700:1,优选为约200:1至约600:1。
在本发明的广泛实践中,步骤I的CMP制剂可以包含至少一种氧化剂、至少一种钝化剂、至少一种螯合剂、磨料、溶剂和任选的至少一种pH调节剂,或由上述物质构成,或者基本上由上述物质构成。一般而言,对氧化剂、钝化剂、螯合剂、磨料、溶剂和任选的pH调节剂彼此之间的具体比例和数量可以作适当的改动,从而对微电子器件基板上的体相铜层提供理想的去除作用,在本领域的技术范围内无需进行过多的工作便可以容易地确定这一点。重要的是,步骤I的CMP制剂中不含有过硫酸盐及亚磷酸和/或其盐。
在本发明特别优选的实施方案中,步骤I制剂中基于组合物总重量而言包括按下述范围存在的下述组分:
本文的步骤I制剂中的磨料组分可以为任何适当的类型,包括但不限于:氧化物、金属氧化物、氮化硅、碳化物等。具体的例子包括二氧化硅、氧化铝、碳化硅、氮化硅、氧化铁、二氧化铈、氧化锆、氧化锡、二氧化钛以及两种或多种此类组分的混合物,其为合适的形式例如为细粒、微粒、粒子或其它的分立形式。或者另外,磨料可以包括由两种或多种材料形成的复合粒子,如NYACOL
Figure A200680026709D0005155238QIETU
氧化铝涂覆的胶体二氧化硅(Nyacol Nano Technologies,Inc.,Ashland,MA)或所述磨料的不同粒度分布的混合物或其任意组合。可以利用有机聚合物粒子作为磨料,例如包括热固性和/或热塑性树脂。在本发明的广泛实践中适用的树脂包括环氧化物、氨基甲酸乙酯、聚酯、聚酰胺、聚碳酸酯、聚烯烃、聚氯乙烯、聚苯乙烯、聚烯烃和(甲基)丙烯酸树脂。两种或多种有机聚合物粒子的混合物可以用作磨料介质,还有包含无机及有机组分的粒子。优选的是,选择磨料或对其进行改性,从而使之与酸性介质相容。
步骤I制剂的优选磨料组分的直径范围是约10nm至约1000nm,优选为约20nm至约90nm。
步骤I组合物的氧化剂包括能除去金属的电子并提升原子价的任何物质,包括但不限于过氧化氢(H2O2)、硝酸铁(Fe(NO3)3)、碘酸钾(KIO3)、高锰酸钾(KMnO4)、硝酸(HNO3)、亚氯酸铵(NH4ClO2)、氯酸铵(NH4ClO3)、碘酸铵(NH4IO3)、过硼酸铵(NH4BO3)、高氯酸铵(NH4ClO4)、高碘酸铵(NH4IO3)、四甲基亚氯酸铵((N(CH3)4)ClO2)、四甲基氯酸铵((N(CH3)4)ClO3)、四甲基碘酸铵(N(CH3)4)IO3)、四甲基过硼酸铵((N(CH3)4)BO3)、四甲基高氯酸铵((N(CH3)4)ClO4)、四甲基高碘酸铵((N(CH3)4)IO4)、过氧化氢脲((CO(NH2)2)H2O2)。用于本发明中步骤I组合物的优选氧化剂是过氧化氢。
这里的步骤I组合物所使用的术语螯合剂意指在水溶液的存在下能溶解或腐蚀氧化的铜材料的任何物质。在本发明中适用的铜螯合剂和蚀刻剂包括但不限于无机酸和有机酸、胺和氨基酸(即甘氨酸、丙氨酸、柠檬酸、乙酸、马来酸、草酸、丙二酸、邻苯二甲酸、丁二酸)、次氮基三乙酸、亚氨基二乙酸、乙(撑)二胺、CDTA和EDTA。优选的螯合剂是甘氨酸。
本文中的术语钝化剂意指在CMP期间,能与新鲜的铜表面和/或氧化的铜薄膜发生反应、从而钝化铜层并防止铜表面的过度腐蚀的任何物质。优选的是,本发明中步骤I组合物中的钝化剂可以包含一种或多种抑制剂组分,所述的抑制剂组分包括例如:三唑,例如1,2,4-三唑(TAZ),或用诸如C1-C8烷基、氨基、硫醇基、巯基、亚氨基、羧基及硝基的取代基取代的三唑,例如苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醚-苯并三唑、卤代苯并三唑(卤素=F、Cl、Br或I)、萘并三唑等,以及噻唑、四唑、咪唑、磷酸酯、硫醇和吖嗪,例如2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、苯并异二唑(indiazole)等。诸如草酸、丙二酸、丁二酸、次氮基三乙酸、亚氨基二乙酸及其组合的二羧酸也是适用的钝化剂。重要的是,步骤I的CMP制剂中的三唑化合物与苯并三唑化合物之比最优选为小于1:1或大于100:1。优选的钝化剂包括三唑及其衍生物。在一个特定的实施方案中,优选的钝化剂为1,2,4-三唑(TAZ)。
在一个特别优选的实施方案中,步骤I的CMP浆料基本上不含有聚氧化乙烯、聚氧乙烯烷基醚、聚氧丙烯烷基醚、聚氧乙烯聚氧丙烯烷基醚和聚氧化亚烷基加成聚合物。在另一特别优选的实施方案中,步骤I的CMP浆料基本上不含有具有4至6个碳原子的烷基胺或烷氧基烷基胺。仍在另一特别优选的实施方案中,步骤I的CMP浆料基本上不含有诸如月桂酸、亚油酸、肉豆蔻酸、棕榈酸、硬脂酸、油酸、癸二酸及十二烷二酸(dodecanedoic acid)的脂族羧酸。本文中定义的“基本上不含有”对应的是,基于所述组合物的总重量而言,低于组合物的约0.5wt.%,更优选低于组合物的0.05wt.%,最优选低于组合物的0.005wt.%。
根据所需的步骤I的CMP平坦化的结果,可以改变钝化剂的浓度,从而调节铜的去除速率而不损害平坦化的效率。如在下文中介绍到的,提出的两种步骤I CMP浆料包括制剂A和B,所基于的是组合物的总重量:
制剂A
甘氨酸                  3wt.%
1,2,4-三唑            0.4wt.%
酸稳定性二氧化硅        1wt.%
H 2 O 2                     5wt.%
KOH或HNO 3                0.02-0.05wt.%
水                      余量
pH5.0-5.1
制剂B
甘氨酸                  3wt.%
1,2,4-三唑            0.05wt.%
酸稳定性二氧化硅        1wt.%
H 2 O 2                     5wt.%
HNO 3                     0.005wt.%
水                      余量
pH5.1
本发明中的步骤II的CMP制剂基于组合物总重量而言包括按下述范围存在的至少一种氧化剂、至少一种钝化剂、至少一种阻挡层去除增强剂、至少一种选择性添加剂、磨料、溶剂和任选的至少一种pH调节剂:
Figure A200680028191D00241
步骤II制剂的pH值范围是约2至约12,优选的范围是约2至约5。溶剂相对于氧化剂的摩尔比范围是约100:1至约2000:1,优选为约700:1至约1300:1,最优选为约1000:1至约1200:1,溶剂相对于钝化剂的摩尔比范围是约500:1至约3000:1,优选为约1500:1至约2000:1,最优选为约1650:1至约1800:1,溶剂相对于磨料的摩尔比范围是约1:1至约100:1,优选为约20:1至约60:1,溶剂相对于阻挡层去除增强剂的摩尔比范围是约1000:1至约4000:1,优选为约2500:1至约3000:1,溶剂相对于选择性添加剂的摩尔比范围为大于50,000:1。
在本发明的广泛实践中,步骤II的CMP制剂可以包含至少一种氧化剂、至少一种钝化剂、至少一种阻挡层去除增强剂、至少一种选择性添加剂、磨料材料、溶剂和任选的pH调节剂,或由上述物质构成,或者基本上由上述物质构成。一般而言,对氧化剂、钝化剂、阻挡层去除增强剂、选择性添加剂、磨料材料、溶剂和任选的pH调节剂彼此之间的具体比例和数量可以作适当的改动,从而对微电子器件基板上的阻挡层材料提供理想的去除效果,在本领域的技术范围内无需进行过多的努力便可以容易地确定这一点。重要的是,步骤II的CMP制剂中不含有过硫酸盐及亚磷酸和磷酸和/或其盐。
在本发明的一个特别优选的实施方案中,制剂基于组合物总重量而言包括按下述范围存在的下述组分:
Figure A200680028191D00251
在一个特别优选的实施方案中,步骤II制剂可以由制剂C代表:
制剂C
1,2,4-三唑                    0.2wt.%
邻苯二甲酸                      0.3wt.%
聚丙烯酸(2.000MW)               0.1wt.%
酸稳定性二氧化硅                10wt.%
H 2 O 2                             0.15wt.%
KOH或HNO 3                        0.06-0.09wt.%
水                             余量
pH约3.5
步骤II制剂中优选的磨料组分也是酸稳定性的二氧化硅。步骤II磨料优选的直径范围是约10nm至约1000nm,优选为约20nm至约90nm。
对于步骤II的CMP制剂可以考虑的氧化剂包括本文中对步骤I的CMP制剂所列举的那些。步骤I与步骤II制剂中的氧化剂彼此可以相同或不同。优选的是,步骤II的氧化剂为过氧化氢。
对于步骤II的CMP制剂可以考虑的钝化剂优选包括本文中对步骤I的CMP制剂所列举的那些。步骤I与步骤II制剂中的钝化剂彼此可以相同或不同。在优选的实施方案中,步骤I与步骤II均使用相同的钝化剂。此外,在优选的pH值状态下钝化剂不应对磨料的ζ电位具有可以测得的影响。优选的是,步骤II钝化剂为1,2,4-三唑。
添加阻挡层去除增强剂以提高在步骤II处理期间的阻挡层材料的去除速率。优选的是,本发明的步骤II制剂中的去除增强剂可以包含一种或多种阻挡层去除组分,包括例如邻苯二甲酸、水杨酸、苯甲酸及其它的芳族羧酸。优选的是,步骤II阻挡层去除增强剂为邻苯二甲酸。
添加选择性添加剂以降低步骤II处理期间的铜去除速率,从而控制选择性。在优选的实施方案中,为防止残留的铜缺陷,(以非零的速率)除去一些铜。优选的是,本发明步骤II制剂中的选择性添加剂可以包含一种或多种选择性组分,包括例如聚(丙烯酸)、阴离子表面活性剂以及其它的聚电解质。优选的是,选择性添加剂是分子量为约400至约8,000,000的聚(丙烯酸)(PAA)。
在一个特别优选的实施方案中,本发明步骤II的CMP制剂包括在pH值约3.5的水溶液中的酸稳定性二氧化硅、1,2,4-三唑、H2O2、邻苯二甲酸和PAA。
在本发明的步骤I及步骤II制剂中使用的溶剂可以为单组分溶剂或多组分溶剂,这取决于具体的应用情况。步骤I与步骤II制剂中的溶剂彼此可以相同或不同,优选的是彼此相同。在本发明的一个实施方案中,CMP组合物中的溶剂为水。在另一实施方案中,溶剂包括一种或多种有机溶剂,如甲醇、乙醇、丙醇、丁醇、乙二醇、丙二醇、甘油等。在又一实施方案中,所述溶剂包括水-有机溶剂溶液。为了提供溶剂化/悬浮介质,在本发明的通常实践中可以使用很多种的溶剂类型和具体的溶剂介质,其中在该溶剂化/悬浮介质中磨料被分散并引入其它的组分,以提供应用到CMP单元的台板上的适当特性(例如浆料形式)的组合物,从而对微电子器件基板上的铜及阻挡层材料提供理想的抛光水平。
本发明步骤I和步骤II的CMP制剂中任选可以使用酸和碱以调节pH值。举例来说,示例性的酸包括甲酸、乙酸、丙酸、丁酸、戊酸、异戊酸、己酸、庚酸、辛酸、壬酸、乳酸、盐酸、硝酸、硫酸、氢氟酸、苹果酸、富马酸、丙二酸、戊二酸、乙醇酸、水杨酸、1,2,3-苯三羧酸、酒石酸、葡萄糖酸、柠檬酸、邻苯二甲酸、焦儿茶酚酸、连苯三酚羧酸、没食子酸、单宁酸以及包括前述或其它类型的两种或多种酸的混合物。举例来说,示例性的碱包括,例如氢氧化钾、氢氧化铵和四甲基氢氧化铵(TMAH)、四乙基氢氧化铵、三甲基羟乙基氢氧化铵、甲基三(羟乙基)氢氧化铵、四(羟乙基)氢氧化铵和苄基三甲基氢氧化铵。优选的是,该碱为KOH。
此外,步骤I和步骤II的CMP制剂中可以进一步包含附加的组分,包括但不限于消泡剂、杀菌剂、流变剂和表面活性剂。
在另一实施方案中,上文所述的步骤I的CMP制剂中的磨料为阳离子磨料,例如氧化铝,上文所述的步骤II的CMP制剂中的磨料为已经过处理变为阳离子性的阴离子性磨料材料,因此增大了CMP处理期间步骤I与步骤II磨料材料在单台板上的相容性。
在背景技术部分中已经介绍过,一般来说,步骤I浆料包括阳离子性的氧化铝,步骤II浆料包括阴离子性的二氧化硅。为了实现在一个台板上的CMP处理,磨料材料必须是电性排斥的,即步骤I和步骤II的磨料必须具有相同的电荷。所以,如果采用在步骤I和步骤II的CMP制剂中通常使用的磨料(即分别为氧化铝和二氧化硅),则在将步骤II浆料引入到单个的台板上之时或之前必须使它们中的一个的电荷反转。
为了这一目的,现在发现,通过使二氧化硅在酸性环境中接触诸如Fe3+、Ca2+、Ba2+、Co2+的金属离子和/或十六烷基三甲基溴化铵(CTAB)可以使二氧化硅上的阴离子电荷反转。这种电荷反转将有助于提供步骤I浆料与步骤II浆料之间的相容性,尤其是如果诸如氧化铝的阳离子性磨料包括在步骤I浆料中的话。最优选的是,在制造浆料期间完成电荷反转,从而最大程度地减少晶片对诸如Fe3+、Ca2+、Ba2+、Co2+的非吸附金属离子和/或CTAB的接触。
可以单独包装制剂或者在使用之时或在根据上游的储槽中进行混合的多部分制剂的形式提供本发明的CMP制剂。多部分制剂的优点在于相对于单独包装制剂其保存期的延长。相对于多部分制剂来说,随着时间的推移,单独包装制剂更易于分解并改变其性能,这是由于在单独包装CMP制剂中存在氧化剂的缘故。在本发明的广泛实践中,可以特定倍数的方式对单独包装制剂或多部分制剂的独立包装部分的浓度作很大的改变,即更稀或更浓,且应该理解的是,本发明的CMP制剂可以在不同的情况下且选择性地包括与本文中披露的成分一致的任意组合,或者由上述的组合构成,或基本上由上述的组合构成。
在一个实施方案中,单独地将CMP制剂中的每一单个成分传送到抛光台上,用以在台上进行混合,从而构成使用的CMP制剂。在另一实施方案中,将CMP制剂配制成两部分的制剂,其中第一部分包含在适当溶剂中的磨料和钝化剂,第二部分包含氧化剂和螯合剂。仍在另一实施方案中,将CMP制剂配制成两部分的制剂,其中第一部分包含在适当溶剂中的磨料、钝化剂和螯合剂,第二部分包含氧化剂。本文中公开的多部分制剂的实施方案并不意味着以任何方式进行限制,并可以包括替代性的组合。在所有这些不同的实施方案中,在使用之时(例如在抛光台、抛光带等上进行混合)、在即将到达抛光台之前在适当的容器中、或者由CMP制剂的制造者和/或供应者进行各成分或各部分的混合,从而形成最终的制剂。
在又一实施方案中,可以按大于在抛光期间优选浓度的至少三至四倍的浓度提供本文中所述的制剂中的单独部分。因此,可以在使用之时(例如在抛光台、抛光带等处进行混合)或在适当的容器中在即将到达抛光台之前,用适当的溶剂对浓缩制剂中的各部分进行稀释。例如,可以按约0.1:1至约4:1、优选约1:1至约3:1的范围,用溶剂对包含本文中所述摩尔比范围的浓缩CMP浆料进行稀释,从而形成本文中所述的任何优选组合物。优选的是,所述稀释溶剂包括具体CMP浆料组合物的溶剂。
因此,本发明的另一方面涉及一种试剂盒,其在一个或多个容器中包括上文所述的适合于形成本发明制剂的组分。试剂盒的容器可以为包括基于含氟聚合物材料的NOWPak
Figure A200680026709D0005155238QIETU
容器(Advanced TechnologyMaterials,Inc.,Danbury,Conn.,USA)。
在实践中,将步骤I制剂传送到台板上进行步骤I处理,其可以分为三个子步骤:体相铜的去除、“软着陆”和过抛光。体相铜去除子步骤的处理条件包括约0.1psi至约7psi、优选为约3psi至约7psi的台板垫下压力。参考图8,其代表覆盖的样品晶片的体相铜去除,所用的步骤I浆料包含5wt.%的H2O2,可以看出,使用更大的下压力可以获得更高的生产量。
软着陆子步骤的处理条件包括约0.1psi至约7psi、优选小于或等于3psi的台板垫下压力。当达到终点时停止软着陆子步骤,本领域的技术人员很容易对此进行确定。终点方法包括但不限于磨擦或转矩测量、涡电流厚度测量、膜反射率测量、图像分析和化学传感。过抛光的处理条件包括约0.1psi至约4psi的台板垫下压力,优选小于或等于3psi。本领域的技术人员易于确定过抛光时间的长度。在一个优选的实施方案中,体相铜去除的下压力大于软着陆的下压力,而后者大于过抛光的下压力。
如本领域的技术人员可以确定的,可以在相当大的范围上调节铜去除速率。在步骤I处理期间铜对钽的选择性优选为约100:1至约1,000:1,优选约400:1至约1000:1。
步骤I的CMP方法完成之后,可以用诸如水或垫清洗剂的溶剂对台板和微电子器件基板进行漂洗。优选的是,溶剂与在本文中所述步骤I和/或步骤II的CMP制剂中所用的相同,例如为水。垫清洗化学品优选为羧酸及其铵盐的溶液,例如商业产品LP-12(ATMI,Danbury,CT,USA),更优选为(用水)10:1稀释的LP-12。
此后,将步骤II的CMP制剂传送到台板上进行步骤II处理。重要的是,通过在使用之时(例如在抛光台、抛光带等上进行混合)、在适当的容器中在即将到达抛光台之前、或者由CMP制剂的制造者和/或供应者进行各成分或各部分的混合从而形成最终的制剂,以制备步骤II的CMP制剂。步骤II的处理条件包括约0.1psi至约7psi的下压力,优选为约2.5psi至约4psi。
可以调整步骤II浆料,从而改变铜相对于阻挡层材料、相对于介电叠层的去除速率。具体来说,通过调节化学组成、磨料装填量、下压力及其它处理参数可以调整选择性。因此,可以根据不同的整合要求调整步骤II浆料,本领域的技术人员很容易对此进行确定。
表1中包括使用本发明的步骤II的CMP制剂时,在3psi的下压力下覆盖的样品晶片在步骤II处理期间的铜、钽、TEOS氧化物和SiON的去除速率。
表1:使用本发明的步骤II的CMP制剂时Cu、Ta、介电和SiON的去除速率
Figure A200680028191D00311
为了满足不同的整合要求,可以在宽范围上调节不同材料的去除速率选择性。这个选择可以涵盖从非选择性工艺到高度选择性工艺的范围。优选的是,步骤II期间的铜去除速率为约100
Figure A200680028191D00312
min-1至约1,500
Figure A200680028191D00313
min-1,最优选为约300
Figure A200680028191D00314
min-1至约1000
Figure A200680028191D00315
min-1。步骤II期间优选的铜对钽选择性和铜对介电选择性可以为约10:1至约1:10,更优选为约1:1至1:10。具体的目标取决于工艺集成的要求。
在一个实施方案中,在完成CMP方法的每一步之后,可以在下一处理步骤之前将抛光的基板从台板上移开。为了防止浆料的带出,在基板的抛光之前可以对抛光垫进行彻底的清洗。浆料的带出可能会在后续处理步骤期间改变材料的去除速率,因此在后续处理之前必须用溶剂或垫清洗溶液进行清洗。优选的是,所述溶剂与在本文中所述步骤I和/或步骤II的CMP制剂中所用的相同,例如为水。垫清洗化学品优选为羧酸及其铵盐的溶液,例如商业产品LP-12(ATMI,Danbury,CT,USA),更优选为(用水)10:1稀释的LP-12。
在另一实施方案中,在CMP方法的步骤I完成以后,将步骤II的CMP制剂直接引入到其上具有步骤I的CMP制剂的抛光垫上,当确定必须将多少步骤II组分添加到台板垫上时需考虑步骤I组分的浓度,本领域的技术人员很容易对此进行确定。在又一实施方案中,在CMP方法的步骤I完成以后,用步骤II的CMP制剂对抛光垫进行漂洗。
本文中所述的CMP方法对应的是在单个台板上的步骤I抛光组合物向步骤II抛光组合物的原位转化,即不用将微电子器件基板转移到第二台板上进行步骤II处理。步骤I与步骤II的CMP制剂的充分相容性以及垫清洗步骤的有效性使得这种方法是可行的。应该理解的是,虽然所描述的本方法是在单台板上进行的,但本发明并不局限于此。例如,本方法可以包括在一个台板上使用步骤I浆料的步骤I处理,接着在不同的台板上使用步骤II浆料的步骤II处理。
以下的实施例仅为说明而非限制本发明。
实施例1
如上文所述,优选的是,本发明的磨料组分在酸性介质中是稳定的,例如在4及以上的pH值范围中ζ电位低于约-50mV(即更负)的酸稳定性胶体二氧化硅。对分别相当于标准的3.1wt.%ATMI OS70KLTM70nm二氧化硅水基浆料和4wt.%酸稳定性二氧化硅水基浆料的图1和2进行比较可以看出,酸稳定性二氧化硅浆料在整个pH值范围上是高度负性的,这就确保了更好的胶体稳定性,即,带电粒子相互排斥,从而克服了团聚的自然倾向。此外,酸性范围的稳定性确保了浆料的液体组分与磨料之间的pH值相容性。
实施例2
图3说明包括4wt.%酸稳定性二氧化硅和0.4wt.%的1,2,4-三唑钝化剂的水基浆料的电位滴定。重要的是,整个pH值范围上的ζ电位保持为充分带负电的状态,类似于没有钝化剂时的二氧化硅(例如参见图2),后者表明在磨料与钝化剂之间的微弱相互作用。通过举例说明,图4代表的是观察到的磨料与钝化剂之间存在着充分的相互作用的实验。图4所示为包括4wt.%酸稳定性二氧化硅和0.4wt.%的5-氨基,1H-四唑钝化剂的水基浆料的静电位。将图4的ζ电位曲线与图2的(即,没有钝化剂时的酸稳定性二氧化硅)进行比较可以看出,在pH值范围内曲线形状明显不同。这种静电位的明显差异表明了磨料与钝化剂之间存在相互作用,这种是不希望的。
实施例3
参考图5和6,说明使用制剂A和B时的平坦化效率。图5所示为使用步骤I的CMP制剂B时以min-1表示的Cu去除速率和WIWNU与下压力的函数关系。可以看出,铜去除速率较高,且WIWNU较低,这对应于步骤I的Cu平坦化方法期间的优选结果。此外,参考图6可以看出,制剂B在制剂A时三分之一的下压力时具有大约相同的平坦化效率。
实施例4
参考图1、2和7,所示为二氧化硅上的电荷反转,用1M的Fe(NO3)3滴定10wt.%的二氧化硅浆料。可以看出,在没有Fe3+离子时,在pH值为约3的情况下二氧化硅浆料的ζ电位是约-25mV,因此二氧化硅材料是阴离子性的。添加仅0.5mmol的Fe3+以后,在pH值为约2.58的情况下ζ电位是约+30mV,因此随着Fe3+的加入,二氧化硅材料经历了电荷反转,变成阳离子性的。当在两步CMP方法期间期望使用不同的、但电相容的磨料材料时,这种电荷反转是有用的。
实施例5
通过调节化学组成和磨料浓度可以对步骤I去除期间的去除速率和选择性进行调整。例如,表2包括利用本文中所述的制剂A,在下压力为3psi时覆盖的样品晶片在步骤I处理期间的铜去除速率和钽去除速率与氧化剂浓度的函数关系。
表2:在覆盖的样品晶片的步骤I处理期间铜和钽的去除速率与氧化剂的函数关系
Figure A200680028191D00341
参考表2和图8可以看出,使用本文中所述的步骤I制剂可以实现良好的铜去除速率和铜对钽的优异选择性。
实施例6
图9说明在图案化晶片上铜的平坦化效率与下压力(即,3psi至7psi)的函数关系。平坦化效率由作为保留的台阶高度之函数的铜去除量表示。高平坦化效率对应于陡坡,即,在0
Figure A200680028191D0034161541QIETU
至5000
Figure A200680028191D0034161541QIETU
之间显示的去除铜的台阶高度快速降低。重要的是,使用本文中所述的制剂A时改变下压力导致几乎相同的平坦化曲线。然而,例如3和5psi的较低下压力在减少基板表面暴露出阻挡层时的凹陷和侵蚀方面是有益的。
实施例7
图10说明在单个垫上用于抛光晶片的步骤I和步骤II组合物的相容性。在每个相应的下压力下标记“未干”的第一条形显示仅使用步骤I浆料时的铜去除速率。在每个相应的下压力下标记“干”的第二和第三条形所示为覆盖的晶片的Cu去除速率,所述覆盖的晶片在同一个垫上使用步骤II浆料进行晶片抛光后用步骤I浆料进行抛光。标记“干”与“未干”的去除速率的差别是微不足道的。因此,两种浆料在单个垫上使用时是高度相容的。在同一垫上的整个序列的抛光步骤完成之后对图案化测试晶片进行的检查表明,表面缺陷的量极低。这说明两种浆料制剂在单台板方法中使用时是高度相容的。
虽然在本文中参照本发明的具体方面、特征和示例的实施方案对本发明进行了描述,但应理解的是,本发明的用途并不因此受到限制,而是可以延伸到并涵盖许多其它的变化、修改和替代性实施方案,本发明领域的普通技术人员基于本文中的公开内容可以意识到这些方案。相应地,应将下文中权利要求所要求保护的本发明广泛地解释和理解为包括其实质和范围之内的所有的这种变化、修改和替代性实施方案。

Claims (35)

1.一种CMP浆料组合物,其包含至少一种钝化剂、至少一种溶剂、至少一种磨料和任选的至少一种pH调节剂,其中所述组合物进一步的特征在于其包含至少一种下述组分(I)或(II):
(I)至少一种氧化剂和至少一种螯合剂,其中所述组合物用于去除铜并使之平坦化;或
(II)至少一种阻挡层去除增强剂、至少一种选择性添加剂和任选的至少一种氧化剂,其中所述组合物用于阻挡层材料的选择性去除与抛光。
2.权利要求1的组合物,其附带条件是,该CMP浆料组合物不含有过硫酸盐及亚磷酸和/或其盐。
3.权利要求1的CMP浆料组合物,其包含组分(I),且pH值为约4至约6。
4.权利要求1的CMP浆料组合物,其包含组分(II),且pH值为约2至约5。
5.权利要求1的CMP浆料组合物,其包含组分(II),其中磨料包括酸稳定性磨料物质,所述酸稳定性磨料物质选自于二氧化硅、酸稳定性二氧化硅、氧化铝、碳化硅、氮化硅、氧化铁、二氧化铈、氧化锆、氧化锡、二氧化钛、有机聚合物粒子、环氧化物、氨基甲酸乙酯、聚酯、聚酰胺、聚碳酸酯、聚烯烃、聚氯乙烯、聚苯乙烯、聚烯烃、(甲基)丙烯酸树脂、氧化铝涂覆的胶体二氧化硅、以及两种或多种此类组分的混合物;
其中钝化剂包括选自如下的化合物:1,2,4-三唑(TAZ)、苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醚-苯并三唑、卤代苯并三唑(卤素=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基四唑一水合物、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、苯并异二唑、脲及硫脲化合物、草酸、丙二酸、丁二酸、次氮基三乙酸、亚氨基二乙酸、及其衍生物和组合;
其中阻挡层去除增强剂包括选自于邻苯二甲酸、水杨酸、苯甲酸和其它芳族羧酸的化合物;
其中选择性添加剂包括选自于聚(丙烯酸)、阴离子表面活性剂和其它聚电解质的化合物;和
其中溶剂包括选自于水、甲醇、乙醇、丙醇、丁醇、乙二醇、丙二醇、甘油及其组合的化合物。
6.权利要求1的CMP浆料组合物,其包含组分(I),其中所述至少一种氧化剂选自于过氧化氢、硝酸铁、碘酸钾、高锰酸钾、硝酸、亚氯酸铵、氯酸铵、碘酸铵、过硼酸铵、高氯酸铵、高碘酸铵、四甲基亚氯酸铵、四甲基氯酸铵、四甲基碘酸铵、四甲基过硼酸铵、四甲基高氯酸铵、四甲基高碘酸铵、4-甲基吗啉-N-氧化物、吡啶-N-氧化物、过氧化氢脲、以及两种或多种此类组分的混合物;
其中磨料包括酸稳定性磨料物质,所述酸稳定性磨料物质选自于二氧化硅、酸稳定性二氧化硅、氧化铝、碳化硅、氮化硅、氧化铁、二氧化铈、氧化锆、氧化锡、二氧化钛、有机聚合物粒子、环氧化物、氨基甲酸乙酯、聚酯、聚酰胺、聚碳酸酯、聚烯烃、聚氯乙烯、聚苯乙烯、聚烯烃、(甲基)丙烯酸树脂、氧化铝涂覆的胶体二氧化硅、以及两种或多种此类组分的混合物;
其中钝化剂包括选自如下的化合物:1,2,4-三唑(TAZ)、苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醚-苯并三唑、卤代苯并三唑(卤素=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基四唑一水合物、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、苯并异二唑、脲及硫脲化合物、草酸、丙二酸、丁二酸、次氮基三乙酸、亚氨基二乙酸、及其衍生物和组合;
其中溶剂包括选自于水、甲醇、乙醇、丙醇、丁醇、乙二醇、丙二醇、甘油及其组合的化合物;其中所述至少一种螯合剂包括甘氨酸、丙氨酸、柠檬酸、乙酸、马来酸、草酸、丙二酸、邻苯二甲酸、丁二酸、次氮基三乙酸、亚氨基二乙酸、乙二胺、CDTA、EDTA、及其组合。
7.权利要求1的CMP浆料组合物,其中酸稳定性磨料在约4至约8的pH值范围下的ζ电位低于约-30mV。
8.权利要求1的CMP浆料组合物,其中用选自于Fe3+、Ca2+、Ba2+、Co2+、十六烷基三甲基溴化铵及其组合的物质对酸稳定性磨料进行表面改性。
9.权利要求8的CMP浆料组合物,其中酸稳定性磨料在约2.9至约4.0的pH值范围下的ζ电位高于约20mV。
10.权利要求1的CMP浆料组合物,其中酸稳定性磨料的平均粒径为约10nm至约1000nm。
11.权利要求1的CMP浆料组合物,其中酸稳定性磨料的平均粒径为约20nm至约120nm。
12.权利要求1的CMP浆料组合物,其包含组分(I),且基本上由过氧化氢、1,2,4-三唑、甘氨酸、酸稳定化的二氧化硅、至少一种pH调节剂和水组成。
13.权利要求1的CMP浆料组合物,其中组分(II)包括至少一种氧化剂。
14.权利要求1的CMP浆料组合物,其包含组分(I),其中使用所述CMP浆料组合物时的铜去除速率大于阻挡层和介电材料的去除速率。
15.权利要求1的CMP浆料组合物,其包含组分(II),其中使用所述CMP浆料组合物时的阻挡层和介电材料去除速率大于或大约等于铜去除速率。
16.权利要求1的CMP浆料组合物,其中阻挡层材料包括选自于钽、氮化钽、钛、氮化钛、钌、铪和钨的化合物。
17.权利要求1的CMP浆料组合物,其包含组分(II),其中CMP浆料组合物包括在水溶液中的酸稳定性二氧化硅、1,2,4-三唑、过氧化氢、邻苯二甲酸和聚(丙烯酸)(PAA)。
18.权利要求17的CMP浆料组合物,其中PAA的分子量为约400g mole-1至约8,000,000g mole-1
19.在台板上对其上沉积有铜和阻挡层材料的晶片基板进行抛光的方法,所述方法包括:
在第一化学机械抛光(CMP)条件下在台板上使其上具有铜的微电子器件基板与第一CMP浆料组合物接触足够的时间,从而充分地去除微电子器件基板上的铜并暴露出阻挡层材料,其中该第一CMP浆料组合物包含至少一种氧化剂、至少一种钝化剂、至少一种螯合剂、至少一种溶剂和至少一种酸稳定性磨料;和
在第二CMP条件下在相同的台板上使其上具有阻挡层材料的微电子器件基板与第二CMP浆料组合物接触足够的时间,从而充分地去除微电子器件基板上的阻挡层材料,其中第二CMP浆料组合物包含至少一种钝化剂、至少一种阻挡层去除增强剂、至少一种选择性添加剂、至少一种溶剂、至少一种酸稳定性磨料和任选的至少一种氧化剂,
附带条件是,第一和第二CMP浆料组合物中不含有过硫酸盐及亚磷酸和/或其盐。
20.权利要求19的方法,其中第一CMP条件包括约0.1psi至约7psi的台板垫下压力。
21.权利要求19的方法,其中使用第一CMP浆料组合物时铜与阻挡层材料的去除之比为约100:1至约10,000:1。
22.权利要求19的方法,其中第二CMP条件包括约0.1psi至约7psi的台板垫下压力。
23.权利要求19的方法,其中使用第二CMP浆料组合物时铜与钽的选择性之比和铜与电介质的选择性之比为约10:1至约1:10。
24.权利要求19的方法,进一步包括在使阻挡层材料与第二CMP浆料组合物接触之前,在第一漂洗条件下用溶剂或垫清洗溶液对台板垫进行足够时间的第一漂洗。
25.权利要求19的方法,进一步包括在使阻挡层材料与第二CMP浆料组合物接触以后,在第二漂洗条件下用溶剂或垫清洗溶液对台板垫进行足够时间的第二漂洗。
26.权利要求19的方法,其中第一CMP浆料的pH值为约4至约6。
27.权利要求19的方法,其中第一CMP浆料的磨料包括酸稳定性磨料物质,所述酸稳定性磨料物质选自于二氧化硅、氧化铝、碳化硅、氮化硅、氧化铁、二氧化铈、氧化锆、氧化锡、二氧化钛、有机聚合物粒子、环氧化物、氨基甲酸乙酯、聚酯、聚酰胺、聚碳酸酯、聚烯烃、聚氯乙烯、聚苯乙烯、聚烯烃、(甲基)丙烯酸树脂、氧化铝涂覆的胶体二氧化硅、以及两种或多种此类组分的混合物;
其中第一CMP浆料的氧化剂包括选自如下的化合物:过氧化氢、硝酸铁、碘酸钾、高锰酸钾、硝酸、亚氯酸铵、氯酸铵、碘酸铵、过硼酸铵、高氯酸铵、高碘酸铵、四甲基亚氯酸铵、四甲基氯酸铵、四甲基碘酸铵、四甲基过硼酸铵、四甲基高氯酸铵、四甲基高碘酸铵、4-甲基吗啉-N-氧化物、吡啶-N-氧化物、过氧化氢脲、以及两种或多种此类组分的混合物;
其中第一CMP浆料的螯合剂包括选自如下的化合物:甘氨酸、丙氨酸、柠檬酸、乙酸、马来酸、草酸、丙二酸、丁二酸、次氮基三乙酸、亚氨基二乙酸、乙二胺、EDTA、以及两种或多种此类组分的混合物;
其中第一CMP浆料的钝化剂包括选自如下的化合物:1,2,4-三唑(TAZ)、苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醚-苯并三唑、卤代苯并三唑(卤素=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基四唑一水合物、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、苯并异二唑、脲及硫脲化合物、草酸、丙二酸、丁二酸、次氮基三乙酸、亚氨基二乙酸、及其衍生物和组合;和
其中第一CMP浆料的溶剂包括选自于水、甲醇、乙醇、丙醇、丁醇、乙二醇、丙二醇、甘油及其组合的化合物。
28.权利要求19的方法,其中第一CMP浆料的酸稳定性磨料的平均粒径为约10nm至约1000nm。
29.权利要求19的方法,其中第一CMP浆料组合物基本上由在水溶液中的酸稳定性二氧化硅、1,2,4-三唑、过氧化氢、甘氨酸和至少一种pH调节剂构成。
30.权利要求19的方法,其中第二CMP浆料的磨料包括酸稳定性磨料物质,所述酸稳定性磨料物质选自于二氧化硅、酸稳定性二氧化硅、氧化铝、碳化硅、氮化硅、氧化铁、二氧化铈、氧化锆、氧化锡、二氧化钛、有机聚合物粒子、环氧化物、氨基甲酸乙酯、聚酯、聚酰胺、聚碳酸酯、聚烯烃、聚氯乙烯、聚苯乙烯、聚烯烃、(甲基)丙烯酸树脂、氧化铝涂覆的胶体二氧化硅、以及两种或多种此类组分的混合物;
其中第二CMP浆料的钝化剂包括选自如下的化合物:1,2,4-三唑(TAZ)、苯并三唑、甲苯基三唑、5-苯基-苯并三唑、5-硝基-苯并三唑、3-氨基-5-巯基-1,2,4-三唑、1-氨基-1,2,4-三唑、羟基苯并三唑、2-(5-氨基-戊基)-苯并三唑、1-氨基-1,2,3-三唑、1-氨基-5-甲基-1,2,3-三唑、3-氨基-1,2,4-三唑、3-巯基-1,2,4-三唑、3-异丙基-1,2,4-三唑、5-苯基硫醚-苯并三唑、卤代苯并三唑(卤素=F、Cl、Br或I)、萘并三唑、2-巯基苯并咪唑(MBI)、2-巯基苯并噻唑、4-甲基-2-苯基咪唑、2-巯基噻唑啉、5-氨基四唑、5-氨基四唑一水合物、5-氨基-1,3,4-噻二唑-2-硫醇、2,4-二氨基-6-甲基-1,3,5-三嗪、噻唑、三嗪、甲基四唑、1,3-二甲基-2-咪唑啉酮、1,5-五亚甲基四唑、1-苯基-5-巯基四唑、二氨基甲基三嗪、咪唑啉硫酮、巯基苯并咪唑、4-甲基-4H-1,2,4-三唑-3-硫醇、5-氨基-1,3,4-噻二唑-2-硫醇、苯并噻唑、磷酸三甲苯酯、咪唑、苯并异二唑、脲及硫脲化合物、草酸、丙二酸、丁二酸、次氮基三乙酸、亚氨基二乙酸、及其衍生物和组合;
其中第二CMP浆料的阻挡层去除增强剂包括选自于邻苯二甲酸、水杨酸、苯甲酸以及其它芳族羧酸的化合物;
其中第二CMP浆料的选择性添加剂包括选自于聚(丙烯酸)、阴离子表面活性剂以及其它聚电解质的化合物;和
其中第二CMP浆料的溶剂包括选自于水、甲醇、乙醇、丙醇、丁醇、乙二醇、丙二醇、甘油及其组合的化合物。
31.权利要求19的方法,其中第二CMP浆料包括在水溶液中的酸稳定性二氧化硅、1,2,4-三唑、过氧化氢、邻苯二甲酸和聚(丙烯酸)(PAA)。
32.权利要求31的方法,其中在第一CMP浆料中的过氧化氢浓度大于在第二CMP浆料中的过氧化氢浓度。
33.权利要求19的方法,其中第二CMP浆料的pH值为约2至约5。
34.一种试剂盒,其在一个或多个容器中包含步骤I的CMP浆料组合物试剂,其中步骤I的CMP浆料组合物包含至少一种钝化剂、至少一种氧化剂、至少一种螯合剂、至少一种溶剂、至少一种酸稳定性磨料和任选的至少一种pH调节剂,且其中适合于与步骤I的CMP浆料组合而形成步骤II的CMP浆料的一种或多种附加组分任选地包括在一个或多个容器中,其中所述一种或多种附加组分选自于至少一种阻挡层去除增强剂、至少一种选择性增强剂及其组合。
35.权利要求34的试剂盒,其中所述容器包括基于含氟聚合物的材料。
CNA2006800281916A 2005-06-06 2006-06-06 整合的化学机械抛光组合物及单台板处理方法 Pending CN101511607A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US68772105P 2005-06-06 2005-06-06
US60/687,721 2005-06-06

Publications (1)

Publication Number Publication Date
CN101511607A true CN101511607A (zh) 2009-08-19

Family

ID=37499073

Family Applications (1)

Application Number Title Priority Date Filing Date
CNA2006800281916A Pending CN101511607A (zh) 2005-06-06 2006-06-06 整合的化学机械抛光组合物及单台板处理方法

Country Status (8)

Country Link
US (1) US20090215269A1 (zh)
EP (1) EP1899111A2 (zh)
JP (1) JP2008546214A (zh)
KR (1) KR101332302B1 (zh)
CN (1) CN101511607A (zh)
IL (1) IL187914A0 (zh)
TW (1) TWI434957B (zh)
WO (1) WO2006133249A2 (zh)

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011069343A1 (zh) * 2009-12-11 2011-06-16 安集微电子(上海)有限公司 一种用于钽阻挡抛光的化学机械抛光液
CN102693899A (zh) * 2011-03-23 2012-09-26 南亚科技股份有限公司 钨化学机械抛光后清洗溶液及其使用方法
CN103205205A (zh) * 2012-01-16 2013-07-17 安集微电子(上海)有限公司 一种碱性化学机械抛光液
CN103894918A (zh) * 2012-12-28 2014-07-02 安集微电子(上海)有限公司 一种化学机械抛光方法
CN105401210A (zh) * 2015-11-30 2016-03-16 惠州市博美化工制品有限公司 一种环保型不锈钢基体镀层剥离剂
US9567490B2 (en) 2013-12-27 2017-02-14 Ubmaterials Inc. Polishing slurry and substrate polishing method using the same
CN106479373A (zh) * 2016-10-28 2017-03-08 扬州翠佛堂珠宝有限公司 一种翡翠抛光液
CN107109133A (zh) * 2014-12-22 2017-08-29 巴斯夫欧洲公司 化学机械抛光(cmp)组合物在抛光包含钴和/或钴合金的基材中的用途
CN108842150A (zh) * 2018-07-23 2018-11-20 铜陵金力铜材有限公司 一种铜制品表面处理方法
CN109759942A (zh) * 2019-03-08 2019-05-17 烟台大学 一种3d打印钛合金的化学磨粒流抛光方法
CN111936936A (zh) * 2018-04-04 2020-11-13 巴斯夫欧洲公司 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物
CN113396197A (zh) * 2018-12-04 2021-09-14 Cmc材料股份有限公司 用于铜阻挡物的化学机械抛光的组合物及方法
CN114958207A (zh) * 2021-02-24 2022-08-30 爱思开海力士有限公司 用于对氧化硅膜进行抛光的cmp浆料组合物

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2007019342A2 (en) 2005-08-05 2007-02-15 Advanced Technology Materials, Inc. High throughput chemical mechanical polishing composition for metal film planarization
JP5026710B2 (ja) * 2005-09-02 2012-09-19 株式会社フジミインコーポレーテッド 研磨用組成物
KR20070088245A (ko) * 2006-02-24 2007-08-29 후지필름 가부시키가이샤 금속용 연마액
US8551202B2 (en) * 2006-03-23 2013-10-08 Cabot Microelectronics Corporation Iodate-containing chemical-mechanical polishing compositions and methods
MY153666A (en) * 2006-07-12 2015-03-13 Cabot Microelectronics Corporations Cmp method for metal-containing substrates
US20080148649A1 (en) * 2006-12-21 2008-06-26 Zhendong Liu Ruthenium-barrier polishing slurry
SG177201A1 (en) 2006-12-21 2012-01-30 Advanced Tech Materials Compositions and methods for the selective removal of silicon nitride
CN101220255B (zh) * 2007-01-11 2010-06-30 长兴开发科技股份有限公司 化学机械研磨浆液与化学机械平坦化方法
JP2008192930A (ja) * 2007-02-06 2008-08-21 Fujifilm Corp 金属研磨用組成物及びそれを用いた化学的機械的研磨方法
US7976723B2 (en) * 2007-05-17 2011-07-12 International Business Machines Corporation Method for kinetically controlled etching of copper
KR20100037107A (ko) * 2007-06-15 2010-04-08 바스프 에스이 구리 화학적 기계적 평탄화를 위한, 콜로이드성 입자, 고분자 전해질 및 이온성 첨가제를 사용하는 부동태화 필름 특성의 제어
JP2010535422A (ja) * 2007-08-02 2010-11-18 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド マイクロ電子デバイスから残渣を除去するための非フッ化物含有組成物
JP2010540265A (ja) * 2007-10-05 2010-12-24 サン−ゴバン セラミックス アンド プラスティクス,インコーポレイティド 複合スラリーによるサファイアの研磨
US8815396B2 (en) * 2007-10-05 2014-08-26 Saint-Gobain Ceramics & Plastics, Inc. Abrasive particles comprising nano-sized silicon carbide particles surface-coated with silica, and methods using same
TW200939335A (en) 2007-12-06 2009-09-16 Advanced Tech Materials Systems and methods for delivery of fluid-containing process material combinations
CN101457123B (zh) * 2007-12-14 2013-01-16 安集微电子(上海)有限公司 一种用于铜制程的化学机械抛光液
JP5306644B2 (ja) * 2007-12-29 2013-10-02 Hoya株式会社 マスクブランク用基板の製造方法、多層反射膜付き基板の製造方法、及び反射型マスクブランクの製造方法、並びに反射型マスクの製造方法
US20090241988A1 (en) * 2008-03-31 2009-10-01 Intel Corporation Photoresist and antireflective layer removal solution and method thereof
JP5371416B2 (ja) * 2008-12-25 2013-12-18 富士フイルム株式会社 研磨液及び研磨方法
TWI454561B (zh) * 2008-12-30 2014-10-01 Uwiz Technology Co Ltd A polishing composition for planarizing the metal layer
JP5769284B2 (ja) * 2009-01-20 2015-08-26 花王株式会社 磁気ディスク基板用研磨液組成物
US8088690B2 (en) * 2009-03-31 2012-01-03 International Business Machines Corporation CMP method
US20110132868A1 (en) * 2009-12-03 2011-06-09 Tdk Corporation Polishing composition for polishing silver and alumina, and polishing method using the same
JP5657247B2 (ja) * 2009-12-25 2015-01-21 花王株式会社 研磨液組成物
JP5795843B2 (ja) 2010-07-26 2015-10-14 東洋鋼鈑株式会社 ハードディスク基板の製造方法
JP5601922B2 (ja) * 2010-07-29 2014-10-08 富士フイルム株式会社 研磨液及び研磨方法
JP6101421B2 (ja) 2010-08-16 2017-03-22 インテグリス・インコーポレーテッド 銅または銅合金用エッチング液
KR20130099948A (ko) 2010-08-20 2013-09-06 어드밴스드 테크놀러지 머티리얼즈, 인코포레이티드 E-폐기물로부터 귀금속 및 베이스 금속을 회수하는 지속가능한 방법
WO2012051380A2 (en) 2010-10-13 2012-04-19 Advanced Technology Materials, Inc. Composition for and method of suppressing titanium nitride corrosion
KR102064487B1 (ko) 2011-01-13 2020-01-10 엔테그리스, 아이엔씨. 세륨-함유 용액에 의해 발생된 입자의 제거를 위한 배합물
US8309468B1 (en) * 2011-04-28 2012-11-13 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing germanium-antimony-tellurium alloys
US8790160B2 (en) * 2011-04-28 2014-07-29 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Chemical mechanical polishing composition and method for polishing phase change alloys
US8865013B2 (en) * 2011-08-15 2014-10-21 Rohm And Haas Electronic Materials Cmp Holdings, Inc. Method for chemical mechanical polishing tungsten
JP5933950B2 (ja) 2011-09-30 2016-06-15 アドバンスド テクノロジー マテリアルズ,インコーポレイテッド 銅または銅合金用エッチング液
US8956974B2 (en) * 2012-06-29 2015-02-17 Micron Technology, Inc. Devices, systems, and methods related to planarizing semiconductor devices after forming openings
JP2014072336A (ja) * 2012-09-28 2014-04-21 Fujimi Inc 研磨用組成物
WO2014089196A1 (en) 2012-12-05 2014-06-12 Advanced Technology Materials, Inc. Compositions for cleaning iii-v semiconductor materials and methods of using same
KR101526006B1 (ko) * 2012-12-31 2015-06-04 제일모직주식회사 구리 연마용 cmp 슬러리 조성물 및 이를 이용한 연마 방법
US10472567B2 (en) 2013-03-04 2019-11-12 Entegris, Inc. Compositions and methods for selectively etching titanium nitride
CA2912537C (en) 2013-05-14 2018-12-11 Prc-Desoto International, Inc. Permanganate based conversion coating compositions
SG10201708364XA (en) 2013-06-06 2017-11-29 Entegris Inc Compositions and methods for selectively etching titanium nitride
CN112442374A (zh) 2013-07-31 2021-03-05 恩特格里斯公司 用于去除金属硬掩模和蚀刻后残余物的具有Cu/W相容性的水性制剂
CN105492576B (zh) 2013-08-30 2019-01-04 恩特格里斯公司 选择性蚀刻氮化钛的组合物和方法
US10340150B2 (en) 2013-12-16 2019-07-02 Entegris, Inc. Ni:NiGe:Ge selective etch formulations and method of using same
TWI662379B (zh) 2013-12-20 2019-06-11 美商恩特葛瑞斯股份有限公司 移除離子植入抗蝕劑之非氧化強酸類之用途
US10475658B2 (en) 2013-12-31 2019-11-12 Entegris, Inc. Formulations to selectively etch silicon and germanium
WO2015116818A1 (en) 2014-01-29 2015-08-06 Advanced Technology Materials, Inc. Post chemical mechanical polishing formulations and method of use
US11127587B2 (en) 2014-02-05 2021-09-21 Entegris, Inc. Non-amine post-CMP compositions and method of use
US9303189B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9303188B2 (en) 2014-03-11 2016-04-05 Cabot Microelectronics Corporation Composition for tungsten CMP
US9238754B2 (en) 2014-03-11 2016-01-19 Cabot Microelectronics Corporation Composition for tungsten CMP
US9309442B2 (en) 2014-03-21 2016-04-12 Cabot Microelectronics Corporation Composition for tungsten buffing
US9127187B1 (en) 2014-03-24 2015-09-08 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9303190B2 (en) 2014-03-24 2016-04-05 Cabot Microelectronics Corporation Mixed abrasive tungsten CMP composition
US9583359B2 (en) * 2014-04-04 2017-02-28 Fujifilm Planar Solutions, LLC Polishing compositions and methods for selectively polishing silicon nitride over silicon oxide films
WO2016061116A1 (en) * 2014-10-14 2016-04-21 Cabot Microelectronics Corporation Nickel phosphorous cmp compositions and methods
TWI775722B (zh) * 2014-12-22 2022-09-01 德商巴斯夫歐洲公司 化學機械拋光(cmp)組成物用於拋光含鈷及/或鈷合金之基材的用途
KR102543680B1 (ko) * 2015-12-17 2023-06-16 솔브레인 주식회사 화학기계적 연마 슬러리 조성물
KR102298238B1 (ko) * 2016-06-03 2021-09-06 후지필름 가부시키가이샤 연마액, 및 화학적 기계적 연마 방법
TWI660017B (zh) 2016-07-14 2019-05-21 卡博特微電子公司 用於鈷化學機械拋光(cmp)之替代氧化劑
KR102524807B1 (ko) * 2016-11-04 2023-04-25 삼성전자주식회사 반도체 소자의 제조 방법
WO2018131341A1 (ja) * 2017-01-11 2018-07-19 株式会社フジミインコーポレーテッド 研磨用組成物
KR102324957B1 (ko) 2017-05-25 2021-11-15 후지필름 일렉트로닉 머티리얼스 유.에스.에이., 아이엔씨. 코발트 적용을 위한 화학 기계 연마 슬러리
US20200102476A1 (en) * 2018-09-28 2020-04-02 Versum Materials Us, Llc Barrier Slurry Removal Rate Improvement
US20200347493A1 (en) 2019-05-05 2020-11-05 Applied Materials, Inc. Reverse Selective Deposition
KR20220166348A (ko) * 2020-04-14 2022-12-16 엔테그리스, 아이엔씨. 몰리브데넘을 에칭하기 위한 방법 및 조성물
TW202323463A (zh) * 2021-08-24 2023-06-16 日商Jsr股份有限公司 化學機械研磨用組成物及研磨方法
TW202323464A (zh) * 2021-08-24 2023-06-16 日商Jsr股份有限公司 化學機械研磨用組成物及研磨方法

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US20020019202A1 (en) * 1998-06-10 2002-02-14 Thomas Terence M. Control of removal rates in CMP
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing

Family Cites Families (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5876490A (en) * 1996-12-09 1999-03-02 International Business Machines Corporatin Polish process and slurry for planarization
US5993685A (en) * 1997-04-02 1999-11-30 Advanced Technology Materials Planarization composition for removing metal films
AU7147798A (en) * 1997-04-23 1998-11-13 Advanced Chemical Systems International, Inc. Planarization compositions for cmp of interlayer dielectrics
US5897375A (en) * 1997-10-20 1999-04-27 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for copper and method of use in integrated circuit manufacture
US6001730A (en) * 1997-10-20 1999-12-14 Motorola, Inc. Chemical mechanical polishing (CMP) slurry for polishing copper interconnects which use tantalum-based barrier layers
US6096652A (en) * 1997-11-03 2000-08-01 Motorola, Inc. Method of chemical mechanical planarization using copper coordinating ligands
US6346741B1 (en) * 1997-11-20 2002-02-12 Advanced Technology Materials, Inc. Compositions and structures for chemical mechanical polishing of FeRAM capacitors and method of fabricating FeRAM capacitors using same
US5976928A (en) * 1997-11-20 1999-11-02 Advanced Technology Materials, Inc. Chemical mechanical polishing of FeRAM capacitors
US5985748A (en) * 1997-12-01 1999-11-16 Motorola, Inc. Method of making a semiconductor device using chemical-mechanical polishing having a combination-step process
EP1102821A4 (en) * 1998-06-10 2004-05-19 Rodel Inc COMPOSITION AND METHOD FOR CMP POLISHING METAL
JP4053165B2 (ja) * 1998-12-01 2008-02-27 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6261158B1 (en) * 1998-12-16 2001-07-17 Speedfam-Ipec Multi-step chemical mechanical polishing
US6395194B1 (en) * 1998-12-18 2002-05-28 Intersurface Dynamics Inc. Chemical mechanical polishing compositions, and process for the CMP removal of iridium thin using same
KR100447551B1 (ko) * 1999-01-18 2004-09-08 가부시끼가이샤 도시바 복합 입자 및 그의 제조 방법, 수계 분산체, 화학 기계연마용 수계 분산체 조성물 및 반도체 장치의 제조 방법
TW486514B (en) * 1999-06-16 2002-05-11 Eternal Chemical Co Ltd Chemical mechanical abrasive composition for use in semiconductor processing
US6274478B1 (en) * 1999-07-13 2001-08-14 Motorola, Inc. Method for forming a copper interconnect using a multi-platen chemical mechanical polishing (CMP) process
US6630433B2 (en) * 1999-07-19 2003-10-07 Honeywell International Inc. Composition for chemical mechanical planarization of copper, tantalum and tantalum nitride
US6436302B1 (en) * 1999-08-23 2002-08-20 Applied Materials, Inc. Post CU CMP polishing for reduced defects
TW499471B (en) * 1999-09-01 2002-08-21 Eternal Chemical Co Ltd Chemical mechanical/abrasive composition for semiconductor processing
JP4505891B2 (ja) * 1999-09-06 2010-07-21 Jsr株式会社 半導体装置の製造に用いる化学機械研磨用水系分散体
JP4264781B2 (ja) * 1999-09-20 2009-05-20 株式会社フジミインコーポレーテッド 研磨用組成物および研磨方法
US6368955B1 (en) * 1999-11-22 2002-04-09 Lucent Technologies, Inc. Method of polishing semiconductor structures using a two-step chemical mechanical planarization with slurry particles having different particle bulk densities
WO2001041973A2 (en) * 1999-12-07 2001-06-14 Cabot Microelectronics Corporation Chemical-mechanical polishing method
US6599837B1 (en) * 2000-02-29 2003-07-29 Agere Systems Guardian Corp. Chemical mechanical polishing composition and method of polishing metal layers using same
US6409781B1 (en) * 2000-05-01 2002-06-25 Advanced Technology Materials, Inc. Polishing slurries for copper and associated materials
JP2002075927A (ja) * 2000-08-24 2002-03-15 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
JP3816743B2 (ja) * 2000-11-24 2006-08-30 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP3768402B2 (ja) * 2000-11-24 2006-04-19 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP2002164307A (ja) * 2000-11-24 2002-06-07 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
JP3825246B2 (ja) * 2000-11-24 2006-09-27 Necエレクトロニクス株式会社 化学的機械的研磨用スラリー
JP2002231666A (ja) * 2001-01-31 2002-08-16 Fujimi Inc 研磨用組成物およびそれを用いた研磨方法
SG144688A1 (en) * 2001-07-23 2008-08-28 Fujimi Inc Polishing composition and polishing method employing it
US6692546B2 (en) * 2001-08-14 2004-02-17 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US7029373B2 (en) * 2001-08-14 2006-04-18 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for metal and associated materials and method of using same
US6800218B2 (en) * 2001-08-23 2004-10-05 Advanced Technology Materials, Inc. Abrasive free formulations for chemical mechanical polishing of copper and associated materials and method of using same
US6802983B2 (en) * 2001-09-17 2004-10-12 Advanced Technology Materials, Inc. Preparation of high performance silica slurry using a centrifuge
JP3899456B2 (ja) * 2001-10-19 2007-03-28 株式会社フジミインコーポレーテッド 研磨用組成物およびそれを用いた研磨方法
US6821897B2 (en) * 2001-12-05 2004-11-23 Cabot Microelectronics Corporation Method for copper CMP using polymeric complexing agents
US6776810B1 (en) * 2002-02-11 2004-08-17 Cabot Microelectronics Corporation Anionic abrasive particles treated with positively charged polyelectrolytes for CMP
US6641630B1 (en) * 2002-06-06 2003-11-04 Cabot Microelectronics Corp. CMP compositions containing iodine and an iodine vapor-trapping agent
EP1520211A2 (en) * 2002-06-07 2005-04-06 Mallinckrodt Baker, Inc. Microelectronic cleaning compositions containing oxidizers and organic solvents
US6776696B2 (en) * 2002-10-28 2004-08-17 Planar Solutions Llc Continuous chemical mechanical polishing process for polishing multiple conductive and non-conductive layers on semiconductor wafers
US7300601B2 (en) * 2002-12-10 2007-11-27 Advanced Technology Materials, Inc. Passivative chemical mechanical polishing composition for copper film planarization
US7736405B2 (en) * 2003-05-12 2010-06-15 Advanced Technology Materials, Inc. Chemical mechanical polishing compositions for copper and associated materials and method of using same
US7153335B2 (en) * 2003-10-10 2006-12-26 Dupont Air Products Nanomaterials Llc Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
US7419911B2 (en) * 2003-11-10 2008-09-02 Ekc Technology, Inc. Compositions and methods for rapidly removing overfilled substrates
US20050263407A1 (en) * 2004-05-28 2005-12-01 Cabot Microelectronics Corporation Electrochemical-mechanical polishing composition and method for using the same
US8038752B2 (en) * 2004-10-27 2011-10-18 Cabot Microelectronics Corporation Metal ion-containing CMP composition and method for using the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5954997A (en) * 1996-12-09 1999-09-21 Cabot Corporation Chemical mechanical polishing slurry useful for copper substrates
US20020019202A1 (en) * 1998-06-10 2002-02-14 Thomas Terence M. Control of removal rates in CMP
US6709316B1 (en) * 2000-10-27 2004-03-23 Applied Materials, Inc. Method and apparatus for two-step barrier layer polishing

Cited By (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2011069343A1 (zh) * 2009-12-11 2011-06-16 安集微电子(上海)有限公司 一种用于钽阻挡抛光的化学机械抛光液
CN102693899A (zh) * 2011-03-23 2012-09-26 南亚科技股份有限公司 钨化学机械抛光后清洗溶液及其使用方法
CN102693899B (zh) * 2011-03-23 2016-04-20 南亚科技股份有限公司 钨化学机械抛光后清洗溶液及其使用方法
CN103205205A (zh) * 2012-01-16 2013-07-17 安集微电子(上海)有限公司 一种碱性化学机械抛光液
CN103205205B (zh) * 2012-01-16 2016-06-22 安集微电子(上海)有限公司 一种碱性化学机械抛光液
CN103894918A (zh) * 2012-12-28 2014-07-02 安集微电子(上海)有限公司 一种化学机械抛光方法
US9567490B2 (en) 2013-12-27 2017-02-14 Ubmaterials Inc. Polishing slurry and substrate polishing method using the same
CN107109133A (zh) * 2014-12-22 2017-08-29 巴斯夫欧洲公司 化学机械抛光(cmp)组合物在抛光包含钴和/或钴合金的基材中的用途
CN105401210A (zh) * 2015-11-30 2016-03-16 惠州市博美化工制品有限公司 一种环保型不锈钢基体镀层剥离剂
CN106479373A (zh) * 2016-10-28 2017-03-08 扬州翠佛堂珠宝有限公司 一种翡翠抛光液
CN111936936A (zh) * 2018-04-04 2020-11-13 巴斯夫欧洲公司 用于去除灰化后残留物和/或用于氧化蚀刻含TiN层料或掩模的含咪唑烷硫酮组合物
CN108842150A (zh) * 2018-07-23 2018-11-20 铜陵金力铜材有限公司 一种铜制品表面处理方法
CN113396197A (zh) * 2018-12-04 2021-09-14 Cmc材料股份有限公司 用于铜阻挡物的化学机械抛光的组合物及方法
CN109759942A (zh) * 2019-03-08 2019-05-17 烟台大学 一种3d打印钛合金的化学磨粒流抛光方法
CN109759942B (zh) * 2019-03-08 2020-07-21 烟台大学 一种3d打印钛合金的化学磨粒流抛光方法
CN114958207A (zh) * 2021-02-24 2022-08-30 爱思开海力士有限公司 用于对氧化硅膜进行抛光的cmp浆料组合物
CN114958207B (zh) * 2021-02-24 2024-01-19 爱思开海力士有限公司 用于对氧化硅膜进行抛光的cmp浆料组合物

Also Published As

Publication number Publication date
IL187914A0 (en) 2008-03-20
TW200706703A (en) 2007-02-16
KR20080016934A (ko) 2008-02-22
JP2008546214A (ja) 2008-12-18
WO2006133249A3 (en) 2009-04-16
TWI434957B (zh) 2014-04-21
EP1899111A2 (en) 2008-03-19
WO2006133249A2 (en) 2006-12-14
US20090215269A1 (en) 2009-08-27
KR101332302B1 (ko) 2013-11-25

Similar Documents

Publication Publication Date Title
CN101511607A (zh) 整合的化学机械抛光组合物及单台板处理方法
CN101356628B (zh) 用于对金属膜进行平坦化的高通量化学机械抛光组合物
CN1329467C (zh) 用于铜膜平面化的钝化化学机械抛光组合物
JP6023125B2 (ja) 化学的機械的研磨スラリー組成物およびそれを使用した銅のための方法およびシリコン貫通ビア適用
US7153335B2 (en) Tunable composition and method for chemical-mechanical planarization with aspartic acid/tolyltriazole
KR101100951B1 (ko) 스택 장치의 제작에서 베이스 웨이퍼 관통 비아를 형성시키는 방법
US20060249482A1 (en) Chemical mechanical polishing compositions for step-ll copper line and other associated materials and method of using same
US20100087065A1 (en) Stabilization of polymer-silica dispersions for chemical mechanical polishing slurry applications
JP5620673B2 (ja) ケミカルメカニカル研磨組成物およびそれに関する方法
JP2004502860A (ja) すぐ使用できる安定な化学的機械的研磨スラリー
KR20140117622A (ko) 코발트 적용을 위한 슬러리
KR101565361B1 (ko) 연마액 및 연마방법
CN108250977B (zh) 一种用于阻挡层平坦化的化学机械抛光液
CN106928859A (zh) 一种化学机械抛光液及其应用
CN102477262A (zh) 一种化学机械抛光浆料
CN104745086A (zh) 一种用于阻挡层平坦化的化学机械抛光液及其使用方法
WO2018120808A1 (zh) 一种用于阻挡层的化学机械抛光液
CN102477259A (zh) 一种化学机械抛光浆料
CN111378382B (zh) 一种化学机械抛光液及其应用
CN111378367A (zh) 一种化学机械抛光液
TW202134392A (zh) 化學機械拋光液
CN108250972B (zh) 一种用于阻挡层平坦化的化学机械抛光液
CN116333686A (zh) 化学机械研磨用组合物及研磨方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20090819