CN101621001B - 通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能 - Google Patents

通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能 Download PDF

Info

Publication number
CN101621001B
CN101621001B CN200910164131.2A CN200910164131A CN101621001B CN 101621001 B CN101621001 B CN 101621001B CN 200910164131 A CN200910164131 A CN 200910164131A CN 101621001 B CN101621001 B CN 101621001B
Authority
CN
China
Prior art keywords
film
exposure
hardness
dielectric constant
deposition
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Expired - Lifetime
Application number
CN200910164131.2A
Other languages
English (en)
Other versions
CN101621001A (zh
Inventor
A·S·鲁卡斯
M·L·奥内尔
J·L·文森特
R·N·弗蒂斯
M·D·比特纳
E·J·小卡瓦基
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Versum Materials US LLC
Original Assignee
Air Products and Chemicals Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Air Products and Chemicals Inc filed Critical Air Products and Chemicals Inc
Publication of CN101621001A publication Critical patent/CN101621001A/zh
Application granted granted Critical
Publication of CN101621001B publication Critical patent/CN101621001B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31695Deposition of porous oxides or porous glassy oxides or oxide based porous glass

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Formation Of Insulating Films (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

涉及通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能。一种低介电材料和包含该低介电材料的薄膜,当在集成电路中用作为层间电介质时,具有改善性能,以及制备该材料和薄膜的方法。在本发明的一方面,有机硅酸盐玻璃薄膜被暴露在紫外光源下,其中该薄膜在曝光后,其机械性能(例如材料硬度和弹性模量)至少比沉积的薄膜要提高10%或更多。

Description

通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能
本申请是分案申请,其母案是申请日为2004年3月4日、申请号为200410032658.7、发明名称为“通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能”的申请。
相关申请的交叉引用
本申请是2003年3月4日申请的美国专利申请10/379,466的部分继续申请,其内容在此整个引入作为参考。
技术领域
本发明总体上涉及介电薄膜的制备。更具体地说,本发明涉及介电材料和含该介电材料的具有低介电常数和改善的机械性能的薄膜及其制备方法。
背景技术
在微电子工业中,需要不断增加多级集成电路器件例如内存芯片和逻辑芯片的电路密度,以改善运行速度和降低能量消耗。为了不断减小集成电路中器件的大小,防止不同金属涂层之间电容性串音的要求变得越来越重要。这些要求可以概括成表达符号“RC”,其中“R”是导线的电阻,“C”是绝缘介电隔层的电容。电容“C”与线的间隔成反比并且与隔层电介质(ILD)的介电常数(κ)成正比。例如,这种低介电材料用于金属前(pemetal)介电层或中间介电层是理想的。
已经有数种方法用于制备低介电常数的薄膜。通常用化学气相沉积(CVD)和旋压介电(SOD)的方法制备绝缘层的薄膜。其它混合方法也是已知的,例如液体聚合物前体CVD和转移聚合CVD。通过这些技术沉积的各种低κ材料通常按类别进行分类,例如纯无机材料、陶瓷材料、基于二氧化硅的材料、纯有机材料或无机-有机混合物。同样的,可以使用各种方法固化这些材料,例如加热、用等离子体、电子束或紫外辐射处理该材料,以进行例如分解和/或除去挥发性组分和充分地对薄膜进行交联。
人们试图通过在硅酸盐网格内引入有机或其它材料,来制备低介电常数的基于二氧化硅的材料。未掺杂的二氧化硅玻璃(SiO2)(这里称为“USG”)的介电常数约为4.0。然而,通过在硅酸盐网格中引入端基例如氟或甲基,二氧化硅玻璃的介电常数可以降低到2.7-3.5。这些材料通常使用类似于制备USG薄膜的工艺步骤沉积为致密的薄膜,并集成在IC器件中。
降低材料介电常数的另一种方法可以是引入孔隙,即降低材料的密度。与相对较密的薄膜相比,制成多孔的介电薄膜可以表现出更低的介电常数。可以通过多种不同的方法将孔隙引入低介电材料中。例如,通过分解部分薄膜将孔隙引入薄膜,得到具有孔隙的低密度薄膜。在制备多孔薄膜的过程中可能需要其它制备步骤,最终增加了制备工艺的时间和能量。在制备这些薄膜的过程中,需要尽可能地减少制备的时间和能量;因此发现能够容易制备的材料或使制备时间最小化的方法是非常有利的。
若不伴随机械性能(即材料的弹性模量(杨氏模量)、硬度、粗糙度)的降低,材料的介电常数(κ)通常不能降低。在随后的工艺步骤中,例如蚀刻、CMP(“化学机械平面化”)和沉积其它层例如铜、铜金属(“Cu”)的扩散阻挡层和产品的包封层中,需要有一定的机械强度。机械完整性或硬度、压缩强度和剪切强度可能对维持CMP特别重要。现已发现,维持CMP的能力可能与材料的弹性模量以及与其它因素包括抛光参数例如向下的力和盘速有关。参见例如Wang等人的“Advanced processing:CMP ofCu/low-κ”,Solid State Technology,2001年9月;Lin等人的“Low-κDielectrics Characterization for Damascene Integration”,Interconnect Technology Conference,Burlingame,CA,2001年6月。这些机械性能在包装最终产品中也是很重要的。因为需要权衡机械性能,使用某些多孔低介电组合物可能不实际。
在制备低介电薄膜过程中,除了机械性能外,还需要考虑的可能是制备IC器件的整个热衡算。在文献中广泛使用的用于交联低介电薄膜和/或在薄膜中引入孔隙的方法是热退火。在退火步骤中,或固化步骤中,通常将薄膜加热分解和/或除去挥发性组分和充分地交联薄膜。不幸的是,出于对热衡算的考虑,IC器件的各种组件例如Cu金属线仅能够在非常短的时间内处于加工温度,以免由于不利的扩散过程使它们的性能被破坏。附加的加热和冷却步骤也可能显著地增加器件的整个制备时间,从而降低产量。
热退火或固化步骤的另一选择方法是将紫外(“UV”)光与含氧空气组合以在材料内产生孔隙度和降低介电常数。参考文献Hozumi,A等人的“LowTemperature Elimination of Organic Components from MesostructuredOrganic-Inorganic Composite Films Using Vacuum Ultraviolet Light”,ChemMater.2000 Vol.12,pp.3842-47(Hozumi I)和Hozumi,A等人的“Micropattemed SilicaFilms with Ordered Nanopores Fabricated through Photocalcination”,Nanoletters2001,1(8),pp.395-399(Hozumi II)描述了在氧气存在下使用紫外(“VUV”)光(172nm)从四乙氧基硅烷(TEOS)薄膜中除去十六烷基三甲基氯化铵(CTAC)造孔剂。参考文献Ouyang,M等的“Conversion of Some Siloxane Ploymers to SiliconOxide by UV/Ozone Photochemical Processes”,Chem.Mater.2000,12(6),Pp.1591-96描述了使用波长为185nm-254nm的紫外光就地产生臭氧,以氧化聚(硅氧烷)内的碳侧基并形成SiO2薄膜。参考文献Clark,T等人的“A NewPreparation of UV-Ozone Treatment in the Preparation of Substrate-SupportedMesoporous Thin Films”,Chem.Mater.2000,12(12),pp.3879-3884,描述了使用波长低于245.4nm的紫外光,产生臭氧和原子氧,以除去TEOS薄膜内的有机物质。不幸的是,这些技术通过化学修饰留在材料内的键可能会损害所得的薄膜。例如,将这些材料暴露在氧化性气体环境中可以引起其内碳原子的氧化,这样对材料的介电性质会产生不利影响。
US4,603,168描述了在热量存在下,通过暴露在紫外光或电子束的照射,交联链烯基-有机聚硅氧烷或有机氢硅氧烷薄膜。该薄膜还包括一种掺杂剂例如光敏剂如苯甲酮,或以低浓度存在的引发和催化交联反应的铂催化剂。同样地,参考文献Guo等人的“Highly Active Visible-light Photocatalysts for Curing CuringCeramic Precursor”,Chem.Mater.1998,10(2),pp.531-36,描述了使用二(β-二酮酸酯)铂催化剂通过UV/可见光交联寡聚(甲基亚甲硅基)亚甲基和四乙烯基硅烷。在介电薄膜中存在金属催化剂和发色团是不合适的。
US6,284,500描述了使用波长为230nm-350nm的紫外光,在由CVD制备的有机聚合物薄膜或者由旋压沉积法制备的有机倍半硅氧烷薄膜内,进行光引发交联反应,以改善薄膜的粘附力和机械性能。US6,284,500教导了可以用热退火步骤来稳定交联的薄膜。
公开的美国专利申请2003/054115(‘115申请)教导了使用UV来固化通过CVD或旋压沉积法制备的多孔介电材料,以制备具有改善的模量和类似介电常数的UV固化的多孔介电材料。美国专利申请2003/054115表明:在O2气氛下的UV曝光比在N2气氛下的UV曝光更有效。然而,美国专利申请2003/054115还教导了UV固化可以在多孔介电材料中产生显著量的极性物质。另外,美国专利申请2003/054115表明:在所有的情况中,为了除去通常在UV固化过程中产生的Si-OH键,随后的或可能同时的退火步骤是必要的。
US6,566,278教导了通过将薄膜暴露在UV辐射来致密化碳掺杂的二氧化硅(SiCxOy)薄膜。通过供氧气体和有机硅烷供硅气体的化学气相沉积来沉积碳掺杂的二氧化硅薄膜。然后将薄膜暴露在由激发态的物质例如氙、汞、氘或KrCl2产生的UV辐射中。
US5,970,384和6,168,980描述了在N2O、NH3或N2H4存在下于300-700℃,将PVD或CVD沉积的氧化物栅层暴露在UV光中。US5,970,384和6,168,980中描述的方法降低了氧化物栅层中的C和H杂质并且在材料与硅基片的边界附近引入氮。
因此,在本领域需要提供一种具有低介电常数并具有足够机械强度的改进介电材料,以及一种制备这样介电材料的方法和混合物。由于考虑到热衡算,在制备用于集成电路的低介电常数材料时,还需要进行低温处理。
这里引用的所有参考文献整个引入于此作为参考。
发明概述
通过提供一种改善有机硅酸盐玻璃薄膜的机械性能的方法,本发明满足本领域的一种(如果不是所有的话)需要。具体地说,在本发明的一个方面,本发明提供一种改善材料硬度和有机硅酸盐薄膜弹性模量的方法,包括:提供具有第一材料硬度和第一弹性模量的有机硅酸盐薄膜;在一种非氧化性气体环境中,将该有机硅酸盐薄膜暴露在紫外辐射源中,以提供具有第二材料硬度和第二弹性模量的有机硅酸盐薄膜,其中第二材料硬度和第二弹性模量至少比第一材料硬度和第一弹性模量高出10%。
在本发明的另一方面,提供一种化学气相沉积方法,用来制备式SivOwCxHyFz的有机硅酸盐薄膜,其中v+w+x+y+z=100%,v为10-35原子%,w为10-65原子%,x为5-30原子%,y为10-50原子%,和z为0-15原子%,该方法包括:在真空室中放入一块基片;将至少一种包含一种选自有机硅烷和有机硅氧烷的造骨架剂前体和一种造孔剂前体的化学试剂引入到真空室中;将能量施加到在真空室的至少一种化学试剂,引起试剂的反应,以在至少基片的一部分上沉积有机硅酸盐薄膜;以及在一种非氧化性气体环境中,将有机硅酸盐薄膜暴露在紫外光源中,其中经过曝光后的有机硅酸盐材料的材料硬度和弹性模量比曝光前的有机硅酸盐材料的材料硬度和弹性模量要高,以及其中有机硅酸盐材料基本上无Si-OH键。
在本发明的另一方面,提供一种用于沉积有机硅酸盐薄膜的混合物,该混合物包含至少一种选自有机硅烷和有机硅氧烷的造骨架剂前体,其中该至少一种造骨架剂前体和/或有机硅酸盐薄膜在200-400nm波长范围内表现出吸收。
在本发明的又一方面,提供一种用于沉积有机硅酸盐薄膜的混合物,该混合物包含5-95%的选自有机硅烷和有机硅氧烷的造骨架剂前体和5-95%的造孔剂前体,其中至少一种前体和/或有机硅酸盐薄膜在200-400nm波长范围内表现出吸收。
在本发明的又一方面,提供一种制备介电常数为2.7或更小的多孔有机硅酸盐薄膜的方法,包括:形成一种包含造骨架剂材料和造孔剂材料的复合薄膜,其中该复合薄膜具有第一介电常数,第一硬度和第一材料模量;以及在非氧化性气体环境下,将该复合薄膜暴露于至少一种紫外光源中,以除去至少一部分包含在其中的造孔剂材料,并产生多孔有机硅酸盐薄膜,其中多孔有机硅酸盐薄膜具有第二介电常数,第二硬度和第二材料模量,其中第二介电常数至少比第一介电常数小5%,第二模量至少比第一模量大10%,以及第二硬度至少比第一硬度大10%。
通过下面详细的说明,本发明的这些和其它方面将会变得明白。
附图概述
图1a至1c提供本发明的一种实施方案的各种步骤的说明,其中暴露于一种UV辐射能量源导致薄膜内孔的形成。
图2比较了在各种工艺温度下,沉积、热退火和UV曝光的致密OSG玻璃薄膜的硬度和介电常数的关系,其中沉积使用DEMS造骨架剂前体。
图3提供沉积、热退火和UV曝光的多孔OSG玻璃薄膜的IR吸收光谱,其中多孔OSG玻璃薄膜使用DEMS造骨架剂前体和ATRP造孔剂前体进行沉积。
图4提供沉积的多孔OSG玻璃薄膜的UV/V吸收光谱和ATRP液体的UV/V吸收光谱,其中多孔OSG玻璃薄膜使用DEMS造骨架剂前体和ATRP造孔剂前体进行沉积。
图5提供多孔OSG玻璃薄膜的IR吸收光谱,其中多孔OSG玻璃薄膜在UV曝光前后使用DEMS造骨架剂前体和ATRP造孔剂前体进行沉积。
图6a和6b分别提供在真空(5毫乇)和在氮气环境下使用DEMS造骨架剂前体和ATRP造孔剂前体进行沉积的薄膜的介电常数和折射率对UV曝光时间的关系图。
图7提供使用DEMS造骨架剂前体和ATRP造孔剂前体在真空(5毫乇)下进行沉积的薄膜的介电常数和硬度(GPa)对UV曝光时间的关系图。
图8显示使用DEMS造骨架剂前体和ATRP造孔剂前体沉积的多孔OSG玻璃薄膜在真空下UV曝光0-20min,IR吸收光谱在700-1350cm-1波长范围内的变化图。
图9提供使用DEMS造骨架剂前体和ATRP造孔剂前体沉积的多孔OSG玻璃薄膜在沉积后、用UV光在真空中曝光1min和15min后的IR吸收光谱。
图10提供使用DEMS造骨架剂前体和ATRP造孔剂前体沉积的多孔OSG玻璃薄膜在沉积后和用UV光在空气中曝光5min时的FT-IR吸收光谱。
图11a、11b和11c分别提供在沉积后、用UV光在真空气体环境中分别曝光1min和15min后,使用DEMS造骨架剂前体和ATRP造孔剂前体沉积的多孔OSG薄膜中硅、氧、氢和碳的动态SIMS断面深度测量值。
发明详述
本发明涉及制备一种致密的或多孔的有机硅酸盐(OSG)玻璃材料和具有低介电常数但具有足够机械性能的薄膜,以使该薄膜适合于用作例如集成电路中的层间绝缘材料。本发明的有机硅酸盐玻璃薄膜通过至少一种选自有机硅烷或有机硅氧烷的造骨架剂前体的化学气相沉积方法进行沉积。然后将沉积的有机硅酸盐薄膜暴露在紫外(UV)辐射源中,以提高该薄膜的机械性能,即沉积的薄膜的材料硬度和弹性模量(杨氏模量),同时基本上保持材料的介电常数。在其中有机硅酸盐薄膜通过造骨架剂和造孔剂前体的化学气相沉积进行沉积以提供一种多孔有机硅酸盐薄膜的实施方案中,进行UV曝光后,改善了这种多孔有机硅酸盐薄膜的机械性能,并且同时降低了介电常数。不像其它方法,UV曝光步骤在某些情况下可以避免需要进行热退火。
尽管不打算受理论束缚,但是相信通过化学气相沉积法制备得到的沉积有机硅酸盐薄膜包含网格缺陷,例如没有结合在薄膜网络中的虚悬基团。在其它有机硅酸盐薄膜中,这些网格缺陷可以是与二氧化硅骨架键合成Si-H的氢。在这些薄膜中,Si-H键在将材料加热至约525℃前通常不会被破坏,该温度超过了有机硅酸盐薄膜通常可以处于的温度范围(即425℃或更低)。因此,热处理这些薄膜以除去Si-H也许是不可能的。将有机硅酸盐薄膜暴露在UV光源中,特别是在至少一部分曝光步骤中与热能或其它能源一起使用,除去至少一部分这些虚悬基团或Si-H键,可以“完善”该薄膜网格。沉积的薄膜和UV曝光处理后的薄膜的组成基本上是相同的。然而,用UV曝光过的薄膜的机械性能,例如硬度和弹性模量,比沉积的薄膜的机械性能至少高10%,优选高25%,更优选高100%。另外,UV曝光的有机硅酸盐薄膜的介电常数基本上与沉积薄膜的介电常数相同,或者对于多孔有机硅酸盐薄膜的情况,比沉积薄膜至少低5%。因此,在相对低的温度下制得具有改善的机械性能的低介电材料是令人惊奇的和意想不到的。
有机硅酸盐玻璃材料优选是在至少一部分基片上形成的薄膜。可以使用的合适基片包括,但不限于,半导体材料例如砷化镓(“GaAs”)、一硼化氮(“BN”)、硅和含硅组合物例如晶体硅、多晶硅、无定形硅、外延硅、二氧化硅(“SiO2”)、碳化硅(“SiC”)、氧碳化硅(“SiOC”)、氮化硅(“SiN”)、氰化硅(“SiCN”)、有机硅酸盐玻璃(“OSG”)、有机氟硅酸盐玻璃(“OFSG”)、氟硅酸盐玻璃(“FSG”)和其它合适的基片或它们的混合物。基片还可以包括各种层,例如抗反射涂层、光致抗蚀层、有机聚合物、多孔有机和无机材料、金属例如铜和铝,或扩散阻挡层例如TiN、Ti(C)N、TaN、Ta(C)N、Ta、W、WN、TiSiN、TaSiN、SiCN、TiSiCN、TaSiCN或W(C)N。本发明的有机硅酸盐玻璃薄膜优选能够粘附在至少一种前述材料上,并足以通过常规的拉力试验,例如ASTM D3359-95a带拉力试验。
本发明的有机硅酸盐薄膜可以是致密的或多孔的薄膜。致密的有机硅酸盐薄膜可以具有约1.5g/cm3-约2.2g/cm3范围的密度。这些薄膜通常由至少一种造骨架剂前体通过沉积得到,优选一种有机硅烷或有机硅氧烷前体。
在本发明的其它实施方案中,有机硅酸盐薄膜是多孔的或复合的薄膜。这些薄膜通常包含至少一种造骨架剂材料和至少一种造孔剂材料,并且通过至少一种造骨架剂前体和至少一种造孔剂前体进行沉积。该至少一种造孔剂材料可以分散在造骨架剂材料中。这里使用的术语“分散”包括造孔剂材料的不连续区域、气隙(即包含在造骨架剂壳中的相对大面积的造孔剂材料),或造骨架剂和造孔剂的双连续区域。尽管不打算受理论束缚,有理由相信,当多孔有机硅酸盐薄膜暴露在一种或多种能量下时,吸收一定量的能量以能够从沉积薄膜中除去至少部分造孔剂材料,同时保持造骨架剂材料中的键不受影响。造孔剂材料内的化学键可以破裂,取决于能源和造孔剂材料的化学性质,这样有利于其从材料中除去。通过这种方式,造孔剂材料基本上可以从有机硅酸盐薄膜中除去,从而留下基本上由造骨架剂材料组成的多孔薄膜。当所得多孔有机硅酸盐薄膜暴露在一种或多种能量源后,与沉积的薄膜相比,可以表现出低密度和低介电常数。
本发明的有机硅酸盐玻璃(OSG)薄膜包含一种造骨架剂材料,该材料能形成和保持一种内部连接网络。有机硅酸盐玻璃薄膜和其包含的造骨架剂的例子包括,但不限于,碳化硅(SiC)、氢化碳化硅(Si:C:H)、氧碳化硅(Si:O:C)、氧氮化硅(Si:O:N)、氮化硅(Si:N)、氰化硅(Si:C:N)、氟硅酸盐玻璃(Si:O:F)、有机氟硅酸盐玻璃(Si:O:C:H:F)、有机硅酸盐玻璃(Si:O:C:H)、类钻石碳、硼硅酸盐玻璃(Si:O:B:H)或磷掺杂的硼硅酸盐玻璃(Si:O:B:H:P)。
在某些优选的实施方案中,造骨架剂材料包括硅石化合物。这里使用的术语“硅石”是一种具有硅(Si)和氧(O)原子的材料,并可能具有其它的取代基例如,但不限于,其它元素例如C、H、B、N、P或卤素;烷基;或芳基。在某些优选的实施方案中,造骨架剂材料可以包含式SivOwCxHyFz的OSG化合物,其中v+w+x+y+z=100%,v为10-35原子%,w为10-65原子%,x为5-30原子%,y为10-50原子%,z为0-15原子%。不管造骨架剂在整个发明方法中是否保持不变,这里使用的术语“造骨架剂”包括本发明整个方法中的任何形式的造骨架试剂或前体(或造骨架取代基)和它们的衍生物。
在有机硅酸盐薄膜是多孔的实施方案中,除了造骨架剂材料外,有机硅酸盐薄膜至少还包括一种造孔剂材料。造孔剂材料包括一种暴露在一种或多种能量源后能容易地并且优选可以基本上从有机硅酸盐薄膜中除去的化合物。造孔剂材料还可以称为生孔剂。这里使用的“造孔剂”是一种用于在所得薄膜内产生空体积的试剂。不管造孔剂在整个发明方法中是否保持不变,这里使用的术语“造孔剂”包括本发明中整个方法中出现的任何形式的造孔剂或前体(或造孔取代基)和它们的衍生物。合适作为造孔剂使用的化合物包括,但不限于,烃类材料、不稳定的有机基团、可分解的聚合物、表面活性剂、树状聚合物、超支化聚合物、聚氧化烯化合物或它们的组合。
前面已经提及,有机硅酸盐薄膜可以使用各种不同方法由一种前体组合物或混合物沉积在至少一部分基片上形成。这些方法可以单独或联合使用。可以用来形成有机硅酸盐薄膜的方法的一些例子包括如下:热化学气相沉积、等离子体改进的化学气相沉积(“PECVD”)、高密度PECVD、光子辅助CVD、等离子体-光子辅助CVD、低温化学气相沉积、化学辅助气相沉积、热丝化学气相沉积、光引发化学气相沉积、液体聚合物前体CVD、从超临界流体的沉积或转移聚合(“TP”)。US6,171,945、6,054,206、6,054,379、6,159,871和WO 99/41423提供了一些示范性的CVD方法,其可以用于制备本发明的有机硅酸盐薄膜。在某些优选的实施方案中,沉积在100-425℃的温度范围内进行,优选250-425℃。尽管这里使用的化学试剂有时可以描述成“气相的”,应该理解,化学试剂可以以气体直接输入反应器、或者以蒸发液体、升华固体和/或通过惰性载气传输将其输入反应器。
在本发明的优选实施方案中,有机硅酸盐薄膜通过等离子体改进化学气相沉积法制备。简要地说,在PECVD方法中,化学试剂流入反应室例如真空室中,等离子体能量给化学试剂赋予能量,从而在至少一部分基片上形成一种薄膜。在这些实施方案中,有机硅酸盐薄膜可以由气体混合物通过共沉积,或者连续沉积形成,该气体混合物包含至少一种形成造骨架剂材料的含硅石材料和至少一种形成造孔剂材料的等离子体可聚合有机材料,其中含硅石材料优选有机硅材料。在某些实施方案中,赋予化学试剂的等离子体能量可以为0.02-7watts/cm2,更优选0.3-3watts/cm2。每种试剂的流速可以为10-5000标准立方厘米/秒(sccm)。对于本发明的PECVD,在沉积期间真空室中的压力数值可以为0.01-600乇,更优选为1-10乇。然而应当理解的是工艺参数例如等离子体能量、流速和压力可以依赖很多因素而发生改变,例如基片的表面积、所使用的造骨架剂和造孔剂、在PECVD中使用的设备等等。
在本发明的某一优选实施方案中,其中有机硅酸盐玻璃薄膜基本上由Si、C、O、H和任选的F组成,该薄膜如下制备:将基片放入真空室中;将化学试剂引入真空室中,其中该化学试剂包括至少一种选自有机硅烷和有机硅氧烷的造骨架剂前体,和任选包括一种不同于上述至少一种造骨架剂前体的一种造孔剂前体;给所述真空室中的化学试剂赋予能量,引发试剂反应并在基片上形成薄膜。作为造骨架剂前体和造孔剂前体使用的化学试剂的例子可以在未审的美国专利申请律师号06063USA,06274PUSA,06150USA和06336PUSA中找到,它们一起被转让给本发明的受让人,并在此整体引入作为参考。
含硅石化合物例如有机硅烷和有机硅氧烷是优选的形成有机硅酸盐玻璃薄膜的造骨架剂材料的前体。合适的有机硅烷和有机硅氧烷包括例如:(a)式R1 nSiR2 4-n表示的烷基硅烷,其中n是1-3的整数;R1和R2独立地为至少一种支化或直链的C1-C8烷基(例如甲基、乙基)、C3-C8取代或未取代的环烷基(例如环丁基、环己基)、C3-C10部分不饱和的烷基(例如,丙烯基、丁二烯基)、C6-C12取代或未取代的芳基(例如苯基、甲苯基)、相应的含烷氧基的直链、支链、环状、部分不饱和烷基或芳基(例如甲氧基、乙氧基、苯氧基),R2还可以是氢化物(例如甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、苯基硅烷、甲基苯基硅烷、环己基硅烷、叔丁基硅烷、乙基硅烷、二乙基硅烷、四乙氧基硅烷、二甲基二乙氧基硅烷、二甲基二甲氧基硅烷、二甲基乙氧基硅烷、甲基三乙氧基硅烷、甲基二乙氧基硅烷、三乙氧基硅烷、三甲基苯氧基硅烷和苯氧基硅烷);(b)式R1(R2 2SiO)nSiR2 3表示的直链有机硅氧烷,其中n是1-10的整数,或式(R1R2SiO)n表示的环状有机硅氧烷,其中n是2-10的整数以及R1和R2如上定义(例如1,3,5,7-四甲基环四硅氧烷、八甲基环四硅氧烷、六甲基环三硅氧烷、六甲基二硅氧烷、1,1,2,2-四甲基二硅氧烷和八甲基三硅氧烷);以及(c)式R2(SiR1R2)nR2表示的直链有机硅烷寡聚物,其中n是2-10的整数,或式(SiR1R2)n表示的环状有机硅烷,其中n是3-10的整数,且R1和R2如上定义(例如1,2-二甲基二硅烷、1,1,2,2-四甲基二硅烷、1,2-二甲基-1,1,2,2-二甲氧基二硅烷、六甲基二硅烷、八甲基三硅烷、1,2,3,4,5,6-六苯基六硅烷、1,2-二甲基-1,2-二苯基二硅烷和1,2-二苯基二硅烷)。在某些实施方案中。有机硅烷/有机硅氧烷是环状烷基硅烷、环状烷基硅氧烷、环状烷氧基硅烷,或者在一对Si原子之间含有至少一个烷氧基或烷基桥,例如1,2-二硅烷乙烷、1,3-二硅烷丙烷、二甲基硅杂环丁烷、1,2-二(三甲基硅氧)环丁烯、1,1-二甲基-1-硅杂-2,6-二氧杂环己烷、1,1-二甲基-1-硅杂-2-氧杂环己烷、1,2-二(三甲基硅氧)乙烷、1,4-二(二甲基甲硅烷基)苯、八甲基四环硅氧烷(OMCTS)或1,3-(二甲基甲硅烷基)环丁烷。在某些实施方案中,有机硅烷/有机硅氧烷包含一种活泼的侧基,选自环氧化物、羧酸酯、炔、二烯、苯基乙炔基、张力环状基团和可以对有机硅烷/有机硅氧烷产生立体位阻或张力的C4-C10基团,例如三甲基甲硅烷基乙炔、1-(三甲基甲硅烷基)-1,3-丁二烯、三甲基甲硅烷基环戊二烯、三甲基甲硅烷基乙酸酯和二叔丁氧基二乙酸基硅烷。
在某些实施方案中,该至少一种造骨架剂材料还包括氟。优选用于PECVD沉积的有机硅酸盐薄膜的含氟化学试剂不含任何F-C键(即,键合在碳原子上的氟),其可能作为薄膜末端。因此,优选的含氟试剂包括例如SiF4、NF3、F2、HF、SF6、ClF3、BF3、BrF3、SF4、NF2Cl、FSiH3、FSiH2、FSiH、有机氟硅烷以及它们的混合物,前提是有机氟硅烷不包括任何F-C键。其它优选的含氟试剂包括上述提及的烷基硅烷、烷氧基硅烷、链状或环状有机硅氧烷、、链状或环状有机硅烷寡聚物、环状或桥连有机硅烷、和具有活泼侧基的有机硅烷,前提是一个氟原子取代至少一个硅上的取代基,使其存在至少一个Si-F键。更具体地说,合适的含氟试剂包括例如氟三甲基硅烷、二氟二甲基硅烷、甲基三氟硅烷、氟三乙氧基硅烷、1,2-二氟-1,1,2,2-四甲基二硅烷或二氟二甲氧基硅烷。
在某些优选的实施方案中,用来制备有机硅酸盐薄膜的混合物优选包括一种可以形成造骨架剂材料的硅石源。这里使用的“硅石源”是一种具有硅(Si)和氧(O),并可能具有其它的取代基例如,但不限于,其它元素如H、B、C、P或卤素原子;烷基;或芳基的化合物。这里使用的术语“烷基”包括直链、支链或环状烷基,优选包含1-24个碳原子,或者更优选1-13个碳原子。该术语还适用于包含在其它基团例如卤代烷基、烷芳基或芳烷基中的烷基部分。术语“烷基”还适用于被取代的烷基部分。这里使用的术语“芳基”包括具有芳香特征的6-12元碳环。术语“芳基”还适用于被取代的芳基部分。硅石源可以包括具有很大数量Si-O键的材料,但还可以进一步包括Si-O-Si桥、Si-R-Si桥、Si-C键、Si-F键、Si-H键或者部分材料还可以有C-H键。硅石源的其它例子可以包括例如在US6,258,407中描述的氟化硅烷或氟化硅氧烷。硅石源的另一个例子可以包括在除去造孔剂材料过程中产生Si-H键的化合物。
硅石源的其它例子还包括倍半硅氧烷如氢倍半硅氧烷(HSQ,HSiO1.5)和甲基倍半硅氧烷(MSQ,RSiO1.5,其中R是甲基)。
合适硅石源例子还包括在US6,271,273和EP1,088,868;1,123,753以及1,127,929中所描述的。在优选的实施方案中,硅石源可以是下面所表示的化合物:RaSi(OR1)4-a,其中R表示氢原子、氟原子或单价有机基团;R1表示单价有机基团;和a是1或2的整数;Si(OR2)4,其中R2表示单价有机基团;或R3 b(R4O)3-bSi-(R7)d-Si(OR5)3-cR6 c,其中R3-R6可以相同或不同,各自表示单价有机基团;b和c可以相同或不同,各自表示0-2的数;R7表示氧原子、亚苯基或式-(CH2)n表示的基团,其中n是1-6的整数;d是0或1;或它们的组合。这里使用的术语“单价有机基团”涉及与相关元素例如Si或O,通过单个C键即Si-C或O-C,键合的有机基团。
在制备多孔OSG薄膜的实施方案中,气体试剂至少有一种是造孔剂前体。造孔剂前体优选使用与造骨架剂前体相同的方式进行沉积。例如,造孔剂前体可以在与造骨架剂前体的混合物中进行沉积,与造骨架剂前体共沉积,或者以与造骨架剂前体交叉的方式进行沉积。在随后的工艺步骤中,造孔剂前体在其被除去的过程中用于在所得多孔薄膜中产生空体积。多孔OSG薄膜中的造孔剂可以是与混合物中和/或导入反应室中的造孔剂相同的形式或不同的形式。同样,造孔剂去除步骤可以从薄膜中释放出造孔剂或其片断。本质上,造孔剂试剂(或与前体相连的造孔取代基)、有机硅酸盐薄膜中的造孔剂和被除去的造孔剂可以是相同物质或不同物质,不过优选它们都来源于造孔试剂(或造孔取代基)。
在本发明的某些实施方案中,造孔剂可以是烃化合物,优选具有1-13个碳原子。这些化合物的实例包括,但不限于,α-萜品烯、柠檬油精、环己烷、γ-萜品烯、莰烯、二甲基己二烯、乙基苯、降冰片二烯、环戊烯氧化物、1,2,4-三甲基环己烷、1,5-二甲基-1,5-环辛二烯、金刚烷、1,3-丁二烯、取代的二烯,α-蒎烯、β-蒎烯和十氢化萘。
在本发明的某些实施方案中,造孔剂可以包括不稳定的有机基团。当一些不稳定的有机基团存在于本发明的反应混合物中时,在固化阶段,不稳定的有机基团可以包含足够的氧以转化为气体产物。在本发明的又一个实施方案中,薄膜由包含不稳定的有机基团和过氧化物的混合物使用CVD法进行沉积,接着进行热退火。含不稳定的有机基团的化合物的一些例子包括在US6,171,945中公开的化合物,其整体引入此处作为参考。
造孔剂还可以是可分解的聚合物。可分解聚合物可以是可辐射分解的。在此使用的术语“聚合物”还包括术语寡聚物和/或共聚物,除非另有相反的说明。可辐射分解的聚合物指在暴露于辐射例如紫外线、X-射线、电子束等等时分解的聚合物。这些聚合物的例子包括具有形成三维结构构造的聚合物,例如,但不限于,嵌段共聚物即二嵌段、三嵌段和多嵌段共聚物;星型嵌段共聚物;径向二嵌段共聚物;接枝二嵌段共聚物;共接枝共聚物;树枝状接枝共聚物;锥形嵌段共聚物;和这些构造的组合物。可分解聚合物的其它例子可以参见US6,204,202,该文献整体引入此处作为参考。
造孔剂可以是超支化或树枝状的聚合物。超支化和树枝状聚合物通常具有低的溶液和熔体粘度、表面官能度导致的高化学活性,以及即使在更高的分子量时都具有改善的溶解性。一些合适的可分解超支化聚合物和树枝状聚合物的非限制性例子可以参见“Comprehensive Polymer Science”,2nd Supplement,Aggarwal,pp.71-132(1996),其整体被引入此处作为参考。
在本发明的某些实施方案中,单一化合物可以在多孔OSG薄膜内同时作为造骨架剂和造孔剂使用。即,造骨架剂和造孔剂前体不必要是不同的化合物,在某些实施方案中,造孔剂是造骨架剂前体的一部分(例如共价连接)。例如,这些材料的例子可以参见在未审美国专利申请律师档案号06150USA和06274PUSA,其被一起转让给本发明的受让人,并在此整体引入作为参考。例如,可以以单一物质的形式使用1-新己基-1,3,5,7-四甲基-环四硅氧烷(“新己基TMCTS”),其中分子中的TMCTS部分形成基本的OSG结构,大体积的烷基取代基新己基是造孔剂物质,其在例如退火过程中被除去。将造孔剂连接到网接在OSG结构上的Si元素上,对在沉积过程中实现更高效率地将造孔剂结合到膜中可能是有利的。此外,在一种前体中,将两个造孔剂连接到一个Si上,例如在二-新己基-二乙氧基硅烷中,或两个Si连接到一个造孔剂上,例如在1,4-二(二乙氧基甲硅烷基)环己烷中,也是有利的。尽管不打算受理论的束缚,但在等离子体中Si-造孔剂键的反应可能使第二造孔基团与沉积的薄膜结合。
在单个或多个造孔剂与硅连接的材料的某些实施方案中,以这样的方式设计造孔剂可能是有利的:当薄膜固化形成孔时,一部分造孔剂保持与硅连接,以赋予薄膜疏水性。在合适的条件下,相信这样会留下末端-CH3基团与Si键合,以使薄膜获得疏水性和相对较低的介电常数。前体的例子是新戊基三乙氧基硅烷、新戊基二乙氧基硅烷和新戊基二乙氧基甲基硅烷。
在本发明的某些实施方案中,可以在除去造孔剂的过程中向环境中加入其它试剂例如还原剂。加入其它的试剂可以促进一种或多种造孔剂从有机硅酸盐薄膜中除去。
图1a至1c提供本发明制备多孔OSG薄膜方法的一种实施方案的范例。在图1a中,薄膜100在至少部分基片50上形成。薄膜100包括至少两种材料:至少一种造骨架剂材料110和至少一种分散在造骨架剂材料110中的造孔剂材料120。在某些优选的实施方案中,造骨架剂材料110是主要包含Si:O:C:H的一种化合物,而至少一种造孔剂材料120是主要包含C:H的一种有机化合物。在图1b中,薄膜100暴露在一种或多种能源例如紫外光130中。图1b中描述的曝光步骤可以在一种或多种低于425℃的温度下和较短的时间段内进行,从而尽可能少地消耗基片50的总体热量衡算。在图1c中,造孔剂材料120基本上从薄膜100中除去,留下多孔OSG薄膜140。所得的多孔薄膜140具有低的介电常数,至少比在曝光前的沉积膜100的介电常数少5%或更少,以及具有更高的材料硬度和模量,至少比在曝光前的沉积膜100的材料硬度和模量高10%,优选至少高25%或更高。
前面已经提及,将致密或多孔OSG薄膜暴露在一种或多种波长为200-400nm的紫外光源中,以改善薄膜的机械性能。这种曝光步骤可以代替或者附加于退火步骤。在使用紫外光源对基片进行曝光期间,基片的温度通常在25-425℃之间。经过紫外光源曝光后,造骨架剂材料的介电常数基本不变。
有机硅酸盐薄膜可以在一种或多种紫外光谱范围的波长中进行曝光,例如深紫外光(即波长为280nm或更小)或真空紫外光(即波长为200nm或更小)。紫外光可以是色散的、集中的、连续波、脉冲的或隔离的(shuttered)的。紫外光源包括,但不限于,受激准分子激光器、栅栏放电灯、汞灯、产生微波的紫外灯、激光例如在红外或可见区内的双倍频或三倍频激光,或在可见光区从激光的两光子吸收。紫外光源可以放在离有机硅酸盐薄膜50毫英寸至1,000英尺的位置。
在某些优选实施方案中,曝光步骤在非氧化性气体环境中例如惰性气体环境(例如,氮气、氦气、氩气、氙气、氪气、氡气等)、还原气体环境(例如H2、CO)或真空中进行。相信在曝光步骤中,氧气的存在可以明显改变形成薄膜结构的材料和/或增加薄膜的介电常数。另外,相信在制备多孔OSG薄膜的实施方案中,氧气的存在可以妨碍造孔剂前体的除去。
有机硅酸盐薄膜可以暴露在紫外光源范围内或者更宽波长范围的一种或多种特定波长中。例如,通过激光和/或光学的聚焦光源,将复合薄膜暴露在一种或多种特定的光波长中。在后者的实施方案中,辐射源可以通过光学元件例如透镜(例如凸透镜、凹透镜、柱面透镜、椭圆形透镜、正方形透镜或抛物线形透镜)、滤光器(例如RF滤光器)、视窗(例如玻璃、塑料、熔融石英、合成石英、硅酸盐、氟化钙、氟化锂或氯化镁视窗)或镜子以提供特定和聚焦光的波长。在这些实施方案中,在至少一部分曝光步骤期间,可以使非活性气体流过光学元件,以防止在孔形成步骤期间,逸出的气体在光学元件的表面累积。作为选择方案,辐射源可不通过任何光学元件。
在某些实施方案中,曝光步骤期间紫外光源通过光学元件,通过将紫外光调节到特定的波长使基片温度保持相对较低。例如,图4提供了一种沉积薄膜的紫外/可见光吸收光谱和ATRP液体的紫外/可见光吸收光谱,其中薄膜由DEMS造骨架剂前体和ATRP造孔剂前体沉积。该光谱在265nm处出现峰,其与薄膜内的C-C键的存在有关。给予一个265nm波长的聚焦紫外光源,可以在短时间内和较低的基片温度下除去ATRP造孔剂。曝光步骤的具体温度和时间可以根据用于制备有机硅酸盐薄膜的化学物质而改变。在某些优选的实施方案中,曝光步骤在低于约425℃的温度下,优选在低于约300℃的温度下,更优选在低于约250℃的温度下进行。曝光时间约60分钟或更少,优选约10分钟或更少,更优选约10秒或更少。在本发明的某些实施方案中,OSG薄膜沉积在其上的基片的温度在25-425℃的温度范围内,优选在250-425℃的温度范围内。在一些实施方案中,基片可以放在热的平台、平板等上面。
曝光可以在各种设备中进行,其取决于用于形成有机硅酸盐薄膜的方法。在至少一部分有机硅酸盐薄膜形成后或者甚至在形成过程中进行曝光是有利的。曝光可以在各种设备中进行,例如,但不限于,石英容器、改进的沉积室、传送带加工系统、热板、真空室、组工具、单晶片仪器、批量加工仪器或旋转十字转门。
对本发明的有机硅酸盐薄膜还可以进行其他后沉积步骤,例如用一种或多种能源对多孔薄膜进行处理。处理步骤的能源可以包括,但不限于,α-粒子、β-粒子、γ-射线、X-射线、高能电子、能量的电子束源、紫外光(波长为10-400nm)、可见光(波长为400-750nm)、红外光(波长为750-105nm)、微波频率(>109Hz)、射频(>106Hz)、热源;等离子体;或它们的联合。这种处理步骤可以在曝光步骤前、曝光步骤期间、或曝光步骤后进行。优选地,处理步骤可以在至少一部分曝光步骤前、或期间进行。该处理步骤还可以通过例如促进多孔薄膜内交联而增加材料的机械完整性,稳定多孔薄膜,和/或在至少一部分造孔剂除去过程中,从网络中除去另外一部分化学物质。
一种或多种能源可以包括这里公开的任何能源以及热源,例如热板、烤箱、炉、RTA(快速热退火)、红外辐射源等等。在某些优选实施方案中,该处理步骤在至少一部分紫外光曝光步骤之前和/或期间使用热源进行。在这些实施方案中,与单独进行热退火和/或紫外光曝光相比,薄膜的机械性能可以大幅增加。
在本发明的另一个实施方案中,处理步骤可以使用紫外光进行。该处理步骤与紫外曝光步骤的区别在于:曝光步骤从有机硅酸盐薄膜中基本上除去造孔剂材料以得到一种多孔薄膜,而处理步骤可以例如改善薄膜的机械性能例如硬度和模量。例如,紫外曝光步骤可以在约0.1-约5分钟内发生,优选约0.1-约1分钟,以基本上除去含在其中的造孔剂材料并提供一种多孔OSG薄膜;然后紫外处理步骤进行约1-约20分钟,优选约5-约20分钟。紫外曝光和紫外处理步骤可以使用相同的灯、吹扫气体化学物质和/或室进行,以改善加工生产量。在这些实施方案中,也可以进行进一步的后处理步骤,例如用其他能源处理和/或化学处理。
这些处理步骤的进行条件可以变化很大。例如,处理步骤可以在高压或在真空环境中进行。环境可以是惰性的(例如氮气、CO2、惰性气体(He、Ar、Ne、Kr、Xe)等等)、氧化性的(例如,氧气、空气、贫氧环境、富氧环境、臭氧、一氧化二氮等等)、或还原性的(稀或浓氢气、烃(饱和的、不饱和的、直链或支链的、芳族的)等等)。压力优选在约为1乇至约1000乇,更优选在常压下。然而,对于热源以及其他后处理方法,真空环境也是可以的。温度可以在25-450℃的范围内,优选200-450℃。温度的速率斜率可以在0.1-100℃/分钟。总的处理时间可以在0.01分钟-12小时,优选1-240分钟。
在本发明的某些实施方案中,OSG薄膜可以进行化学处理,其可以包括例如采取氟化(HF、SiF4、NF3、F2、COF2、CO2F2等等),氧化(H2O2、O3等等),化学干燥,甲基化,或其它化学处理。在这些处理中使用的化学品可以是固体、液体、气体和/或超临界流体状态。在某些实施方案中,可以使用超临界流体来处理薄膜。流体可以是二氧化碳、水、一氧化二氮、乙烯、SF6和/或其它类型的化学品。其它化学品可以加入到超临界流体中以改善该方法。这些化学品可以是惰性的(例如,氮气、CO2、惰性气体(He、Ar、Ne、Kr、Xe)等等),氧化性的(例如氧气、臭氧、一氧化二氮等等),或还原性的(例如稀的或浓的烃、氢气等等)。温度优选为环境温度至500℃。化学品还可以包括更大的化学物质,例如表面活性剂。总的曝光时间优选为0.01min-12h。
在OSG薄膜用等离子体处理的实施方案中,等离子体在下面条件下进行:环境可以是惰性的(氮气、CO2、惰性气体(He、Ar、Ne、Kr、Xe)等等),氧化性的(例如氧气、空气、贫氧环境、富氧环境、臭氧、一氧化二氮等等),或还原性的(例如稀的或浓的氢气、烃(饱和的、不饱和的、直链或支链的、芳族的)等等)。等离子体功率优选0-10W/cm3。温度优选环境温度至425℃。压力优选10毫乇-大气压。总的处理时间优选在0.01min-12h的范围内。
光固化后处理可以在以下条件下进行:环境可以是惰性的(例如,氮气、CO2、惰性气体(He、Ar、Ne、Kr、Xe)等等),或还原性的(例如稀的或浓的烃、氢气等等)。温度优选环境温度至425℃。功率优选0-10W/cm3。波长优选IR、可见、UV或深UV(波长<200nm)。总的固化时间优选为0.01min-12h。
微波后处理可以在以下条件下进行:环境可以是惰性的(例如,氮气、CO2、惰性气体(He、Ar、Ne、Kr、Xe)等等),氧化性的(例如氧气、空气、贫氧环境、富氧环境、臭氧、一氧化二氮等等),或还原性的(例如稀的或浓的烃、氢气等等)。温度优选环境温度至500℃。功率和波长可以改变并根据具体的键进行调节。总的固化时间优选0.01min至12h。
电子束后处理可以在以下条件下进行:环境可以是真空、惰性的(例如,氮气、CO2、惰性气体(He、Ar、Ne、Kr、Xe)等等),氧化性的(例如氧气、空气、贫氧环境、富氧环境、臭氧、一氧化二氮等等),或还原性的(例如稀的或浓的烃、氢气等等)。温度优选环境温度至500℃。电子密度和能量可以改变并且根据具体的键进行调节。总的固化时间优选0.001min-12h,并且可以是连续的或脉冲的。其它关于电子束的一般用法在出版物例如S.Chattopadhyay等人的Journal ofMaterials Science,36(2001)4323-4330;G.Kloster等人的Proceeding of IITC,June3-5,2002,SF,CA;和US6,207,555B1、US6,204,201B1和US6,132,814A1中可以获得。
在本发明的某些实施方案中,有机硅酸盐薄膜是多孔的。多孔薄膜内的平均孔径为约
Figure G2009101641312D00181
-约
Figure G2009101641312D00182
优选约
Figure G2009101641312D00183
-约并且最优选约
Figure G2009101641312D00185
-约
Figure G2009101641312D00186
薄膜优选具有窄尺寸范围的孔且孔均匀分布在薄膜中。然而,薄膜的孔隙度不需要在整个薄膜中均匀分布。在某些实施方案中,存在孔隙度梯度和/或具有不同孔隙度的层。这样的薄膜可以例如通过在制备多孔有机硅酸盐薄膜的过程中,调节造孔剂材料与造骨架剂材料的比例而获得。多孔薄膜可以具有连续的孔或不连续的孔。本发明的多孔薄膜密度优选为2.0g/cm3,或者作为选择,1.5g/cm3或更少,或1.25g/cm3或更少。优选地,本发明的多孔薄膜的密度比未曝光的薄膜密度至少小10%,优选至少小25%,更优选至少小50%。
相对于致密OSG材料,本发明的多孔薄膜具有较低的介电常数。致密OSG薄膜的介电常数为2.7-3.5,而本发明的多孔OSG薄膜的介电常数约为2.7或更小,优选约2.4或更小,更优选约为2.2或更小。
在某些实施方案中,本发明的致密或多孔OSG薄膜是热稳定的,并且具有良好的耐化学腐蚀性。特别地,这些薄膜经过UV曝光步骤后,在N2中于425℃等温下,平均重量损失小于1.0wt%/小时。
该薄膜适合于各种用途。该薄膜特别适合在半导体基片上进行沉积,并且特别适合用作例如绝缘层、夹层电介质层和/或金属间电介质层。该薄膜可以形成一种共形涂层。这些薄膜表现出来的特性使得它们特别适合于Al减(subtractive)技术和Cu镶嵌或二重镶嵌技术。
因为它们改善的机械性能,薄膜与化学机械平板化(CMP)和各向异性蚀刻是相容的,并且它们能够粘合在各种基片材料上,例如硅、SiO2、Si3N4、OSG、FSG、碳化硅、氢化碳化硅、氮化硅、氢化氮化硅、氰化硅、氢化氰化硅、硼氮化物、反射涂层、光致蚀刻剂、有机聚合物、多孔有机和无机材料、金属例如铜和铝和扩散阻挡层例如,但不限于,TiN、Ti(C)N、TaN、Ta(C)N、Ta、W或WN。
本发明还公开了一种混合物,用于制备具有3.5或更小介电常数并且适合进行紫外光曝光的致密或多孔OSG薄膜。OSG薄膜可以使用各种沉积方法制备,包括CVD相关方法和旋压玻璃法。对于致密OSG薄膜,混合物包括至少一种造骨架剂前体,该前体和/或所得OSG膜在波长200nm-400nm处表现出吸收。对于多孔OSG薄膜,混合物可以包括5%-95%重量的造骨架剂前体和5%-95%重量的造孔剂前体,其中至少一种前体和/或有机硅酸盐薄膜在波长200nm-400nm处表现出吸收。混合物可以包括其它添加剂,例如溶剂、催化剂、表面活性剂、水等等,取决于沉积的方法,例如旋压玻璃沉积。加入到用于旋压玻璃沉积的混合物中的其它添加剂,可以在例如未审美国专利申请律师档案号06336PUSA中找到,其被一起转让给本发明的受让人,在此全部引入作为参考。
本发明的介电材料和薄膜在组成上基本表现出均一性。组成的均一性表述一种薄膜性质,其中组成相对均一,从薄膜的表面到底部组成的偏差相对较小。在组成表现基本均一的薄膜中可以避免形成“皮层”相关的问题。例如,在曝光和/或处理步骤期间,使用紫外光或电子束可以形成“皮层”,其在组成上与下面大体积薄膜不同,因为在复合薄膜中足以除去造孔剂材料的辐射也可以改变位于辐射通量最大的表面部位的造骨架剂材料。
为了能够进行比较,结果可以用非均一性百分比表示。优选地,非均一性百分比约为10%或更少,更优选约5%或更少,最优选约2%或更少。例如,组成的均一性可以通过例如电气测量(如四点探针)、SIMS(二次粒子质谱)、RBS(卢瑟福反向散射光谱)、分光镜椭圆对称法和/或高分辨率X射线衍射(HR-XRD)进行测定。
组成的均一性优选使用SIMS穿过沉积了OSG薄膜的基片进行测定。在一种优选的方法中,SIMS测定通过穿过薄膜一定深度进行。对于每一个被考察的元素,由SIMS数据测定元素在整个薄膜中的分布,所得的数值以在检测器测得的强度表示,该数值与其在薄膜内任何给定的深度的浓度有关。然后将该数值平均化,测定标准偏差。
对于一种给定的OSG薄膜,组成的非均一性可以使用标准偏差除以最大和最小测量值的和进行比较,所得结果用百分比表示。例如,对于一种给定的OSG薄膜,如果侧面的动态SIMS深度在单一点进行,碳信号的平均强度是1.255×106点,标准偏差是1.987×104,整个薄膜的最小强度是1.21×106点,最大强度是1.3×106点,那么,组成非均一性是0.8%,因为最小和最大值之和是2.51×106点,标准偏差是1.987×104,1.987×104除以2.51×106等于0.8%。
组成非均一性的优选数值可以变化,取决于OSG薄膜中元素的量。如果元素的量是1原子%或更多,含硅薄膜的组成非均一性是约15%或更少,更优选约10%或更少,尤其更优选约5%或更少,最优选约1%或更少。因此,OSG薄膜内主要元素,即硅、氧、氢和碳的组成非均一性是约15%或更少,更优选是约10%或更少,最优选约5%或更少。
尽管本发明特别适合提供薄膜,且本发明的产品在此基本上用薄膜进行描述,但是本发明并不限于此。本发明的产品可以以任何形式提供,例如涂层、多层组件和其它类型的不一定是平的或薄的物品,以及很多不一定在集成电路中使用的物品。优选地,基片是半导体。
下面将参考实施例更详细地阐明本发明,但是应当理解的是,本发明并不被认为是限定于此。
实施例
示范性的致密和多孔OSG玻璃薄膜通过等离子体改进CVD方法,采用一种应用材料精密-5000体系,在安装有高级能量200rf发生器的200mmDxZ真空室中,由各种不同的化学前体和工艺条件制备得到。除非另有阐述,玻璃薄膜沉积在低电阻率(R<0.02Ω-cm)硅片。CVD方法通常包括下面的基本步骤:首先建立和稳定气流,沉积,和在硅片移出之前,对室进行冲洗/抽真空。每个薄膜的厚度和折射率由折射仪使用标准方法测定。每个薄膜的介电常数使用汞探针电容技术在低电阻率p-型晶片上(R<0.02Ω-cm)测定。机械强度使用MTS纳米硬度试验压头测定。透射FTIR光谱使用Thermo-Nicolet 750分光光度计以4cm-1分辨率在高电阻率硅片(R>5Ω-cm)上进行测定。
热后处理或退火在一个应用测试体系(Applied Test Systems)中进行,公司系列号3210的安装有4”直径冲洗石英管的管炉,氮气冲洗范围为2-4slpm。25-425℃内的速率斜率是13℃/分钟。薄膜于425℃下浸湿240min。在从炉子中移出之前,该薄膜允许冷却至低于100℃。
除非另有说明,UV曝光使用带有1300MB辐射器元件、P300电源和一个“D”灯泡的熔融UV F305型紫外灯(Fusion UV model F305ultraviolet lamp)进行,其提供200-450nm的辐射。辐射器元件的工作面和样品之间的距离为约3英寸。样品放在一个2”直径的石英加工管中,该石英加工管装备有真空装置或氮气冲洗设备。进行紫外曝光的薄膜放在一个2”直径的石英玻璃管中,其末端通过氮气冲洗设备或真空进行密封。对于涉及真空或惰性气体环境的实施例,在UV曝光之前,进行三个周期的抽真空和冲洗,以保证样品管内任何氧气浓度均低于50ppm。薄膜在UV辐射下曝光0-30min。
实施例1:使用二乙氧基甲基硅烷(DEMS)和三乙氧基硅烷(TES)制备致密OSG薄膜
通过等离子体改进化学气相沉积法(PECVD),以流速为500sccm的CO2作为载气,将造骨架剂前体DEMS(773mg/min)和TES(773mg/min)进行沉积,在硅片上制备有机硅酸盐玻璃薄膜。沉积在6乇、600W等离子体功率和顶部电极与硅片基片之间的距离为400毫英寸(mils)的条件下进行。在沉积过程中,硅片温度保持在300℃。薄膜的沉积速率是540nm/min。
沉积后(实施例1a)、热退火后(实施例1b)、和暴露在UV光源后(实施例1c)的OSG薄膜的性质列于表1中。如表1所示,薄膜1b和1c分别进行热退火和暴露在UV光中,相对于实施例1a或沉积的薄膜,介电常数表现出轻微的降低。然而,与实施例1a的硬度相比,薄膜1c在硬度上表现出显著的增加,或者说硬度增加了约23%。相反,与实施例1a相比,实施例1b硬度增加了约3%。因此,当使用较温和的工艺条件时,UV曝光步骤相对于热后处理显著地改善了OSG玻璃薄膜的机械性能。
实施例2:使用1,3-二甲基-1,3-二乙氧基-二硅氧烷(MEDS)制备致密OSG薄膜通过PECVD法,以流速为250sccm的CO2作为载气,将造骨架剂前体DEMS(700mg/min)进行沉积,在硅片上制备有机硅酸盐玻璃薄膜。沉积在6乇、600W等离子体功率、距离为350mils的条件下进行。在沉积过程中,硅片温度保持在250℃。薄膜的沉积速率是1330nm/min。
沉积后(实施例2a)、热退火后(实施例2b)、和暴露在UV光源后(实施例2c)的OSG薄膜的性质(即,厚度、折射率、介电常数和硬度)列于表1中。如表1所示,薄膜2b和2c分别进行了热退火和暴露在UV光中,相对于实施例2a或沉积的薄膜,它们的介电常数表现出轻微的增加。然而,与实施例2a相比,实施例2c的薄膜在硬度上还表现出显著的增加,或者说硬度增加了约96%。相反,与实施例2a相比,实施例2b硬度增加了约14%。因此,当使用较温和的工艺条件时,UV曝光相对于热后处理显著地改善了OSG玻璃薄膜的机械性能。
实施例3:使用三甲基硅烷(3MS)制备致密OSG薄膜
通过PECVD法,采用540sccm的造骨架剂前体3MS和流速为90sccm的氧气,在硅片上制备有机硅酸盐玻璃薄膜。沉积在4乇、600W等离子体功率和距离为260mils的条件下进行。在沉积过程中,硅片温度保持在350℃。薄膜的沉积速率是815nm/min。
沉积后(实施例3a)和暴露在UV光源后(实施例3b)的OSG薄膜的性质(即,厚度、折射率、介电常数和硬度)列于表1中。如表1所示,相对于实施例3a或沉积的薄膜,薄膜3b在UV光下进行曝光使其介电常数降低了0.09或4%,并增加了0.59GPa或47%的硬度。因此,当使用较温和的工艺条件时,UV曝光相对于热后处理极大地改善了OSG玻璃薄膜的机械性能,并且对介电常数没有消极作用。
表1:各种致密OSG材料的薄膜性能
实施例 前体   厚度损失(%) 折射率   介电常数   硬度(GPa)
  1a   DEMS/TES   沉积   N/A   1.425   3.06   1.85
  1b   DEMS/TES   热   0   1.415   3.03   1.91
  1c   DEMS/TES   UV   -3   1.420   2.97   2.27
  2a   MEDS   沉积   N/A   1.415   2.79   0.70
  2b   MEDS   热   0   1.371   2.84   0.80
  2c   MEDS   UV   -10   1.396   2.85   1.37
  3a   3MS   沉积   N/A   1.445   3.07   1.25
  3b   3MS   UV   0   1.439   2.98   1.84
实施例4:使用二甲基二甲氧基硅烷(DMDMOS)制备致密OSG薄膜
通过PECVD法,使用1250mg/min的造骨架剂前体DMDMOS,200sccm的氦气载气和15sccm作为添加剂的O2,在硅片上制备有机硅酸盐玻璃薄膜。沉积在12乇、300W的等离子体功率和300mils的间距下进行。沉积期间的硅片温度保持在350℃。薄膜的沉积速率在110nm/min。
沉积后(实施例4a)和暴露在UV光源后(实施例4b)OSG薄膜的性能列于表II中。如表II所示,UV后处理使薄膜的介电常数降低了0.1或6%。另外,UV后处理使薄膜的模量和硬度分别提高了5.7GPa和0.94GPa,或分别提高了约270%和274%。
实施例5:使用二甲基二甲氧基硅烷(DMDMOS)制备致密OSG薄膜
通过PECVD法,使用750mg/min的造骨架剂前体DMDMOS,200sccm的氦气载气,在硅片上制备一种有机硅酸盐玻璃薄膜。沉积在12乇、500W的等离子体功率和300mils的间距下进行。沉积期间的硅片温度保持在350℃。薄膜的沉积速率在135nm/min。
沉积后(实施例5a)和暴露在UV光源后(实施例5b)OSG薄膜的性能列于表II中。如表II所示,UV光后处理使薄膜的介电常数和硬度都增加了。UV后处理使薄膜的介电常数增加了0.32或15%,使薄膜的模量和硬度分别增加了约207%和170%。这种薄膜硬度的极大改善将伴随着薄膜介电常数的增加并不意外。虽然薄膜的折射率缺少变化表明,通过UV曝光对材料的密度基本上没有变化,但是人们相信,对薄膜的硬度有促进作用的附加键例如Si-C-Si键可能也会对薄膜的介电常数产生负面作用。
表II
  实施例   前体   厚度损失   折射率   介电常数   模量(GPa)   硬度(GPa)
  4a   DMDMOS   沉积   N/A   1.387   2.75   3.36   0.54
  4b   DMDMOS   UV   -11%   1.351   2.65   9.06   1.48
  5a   DMDMOS   沉积   N/A   1.452   3.07   14.77   2.50
  5b   DMDMOS   UV   -8%   1.451   3.39   30.62   4.25
实施例6:沉积温度对致密DEMS OSG薄膜的影响
通过PECVD法,使用1500mg/min的造骨架剂DEMS,150sccm的氦气载气和250sccm作为添加剂的CO2,在硅片上制备示范性的有机硅酸盐玻璃薄膜。沉积在6乇、500W的等离子体功率和300mils的间距下进行。在沉积期间,硅片温度从150℃变化到425℃。部分沉积薄膜在氮气环境下于375℃、400℃和425℃下热退火4h。其它沉积薄膜在真空环境下于紫外光中曝光15min。还有其它沉积薄膜各自在氮气中于375℃或400℃热退火4h,然后在真空环境下在紫外光中曝光15min。
所得薄膜的性能列于表III中。在每个沉积温度时的沉积、热退火和紫外光曝光的DEMS OSG薄膜的硬度和介电常数的关系列于图2中。
在表III和图2中,沉积温度对所得薄膜性能具有重要的影响。薄膜沉积进行时的基片温度和薄膜的介电常数和硬度之间成正比关系。薄膜温度还能影响可以被后处理步骤例如热退火和紫外光曝光影响的薄膜性能的变化大小。例如,在温度<300℃下沉积的DEMS OSG薄膜在经过热退火后,在介电常数、折射率和硬度方面表现出很大的变化。这可能是由于沉积薄膜在沉积过程中没有被除去的残余烷氧基的原因。在<300℃进行沉积时,热退火和紫外光曝光后处理都能降低DEMS OSG薄膜的介电常数。通常,在温度<300℃下沉积的薄膜进行紫外光曝光时所得介电常数比进行热退火后处理时降低的更多。然而,在改善于温度<300℃下沉积的薄膜的模量和硬度方面,热退火后处理要比紫外光处理稍微更有效。
在热退火后,在温度>300℃下沉积的薄膜在介电常数或硬度方面仅表现出很小的变化。这可能是因为当基片温度>300℃时,在沉积过程中,热不稳定物质例如DEMS前体化学品中的烷氧基被除去。因此,在热后处理时,这些薄膜的折射率、介电常数或硬度变化很小。然而,紫外曝光在增加这些薄膜的机械强度上仍然有效并同时保持或降低介电常数。图2说明,在温度>300℃下沉积得到的薄膜在紫外光曝光后,薄膜的模量和硬度有很大的改善。在这点上,在温度低于300℃下沉积得到的薄膜,介电常数和硬度之间没有表现出正则关系,而在温度>300℃下沉积得到的薄膜,介电常数和硬度之间表现出线性关系。
当在进行紫外光曝光之前于400℃下进行热退火时,在OSG薄膜上观察到最戏剧性的结果。在这些薄膜中,硬度有更显著的增加,而介电常数仅有微小的增加。将热退火的温度降低至375℃,硬度的增加降低,但是介电常数保持不变或降低。人们相信,这可能是因为在375℃-400℃的温度范围内,烷氧基的大量损失。除去烷氧基可以使薄膜更容易通过紫外光曝光提高硬度。
表III:致密DEMS OSG薄膜沉积温度的比较
  沉积温度   厚度损失   折射率   介电常数   模量(GPa)  硬度(GPa)
  150℃   沉积   N/A   1.433   3.06   3.07   0.48
  150℃   热(375℃)   -1%   1.395   N/A   N/A   N/A
  150℃   热(400℃)   -1%   1.403   N/A   N/A   N/A
  150℃   热(425℃)   -4%   1.384   2.79   5.34   0.94
  150℃   热(375℃)+UV   -16%   1.398   2.82   12.18   1.93
  150℃   热(400℃)+UV   -16%   1.400   2.77   11.97   2.03
  150℃   UV   -6%   1.397   2.69   4.49   0.72
  200℃   沉积   N/A   1.432   2.96   4.99   0.86
  200℃   热(375℃)   -1%   1.395   N/A   N/A   N/A
  200℃   热(400℃)   -1%   1.403   N/A   N/A   N/A
  200℃   热(425℃)   -2%   1.402   2.81   5.33   0.92
  200℃   热(375℃)+UV   -5%   1.402   2.79   7.48   1.19
  200℃   热(400℃)+UV   -15%   1.411   2.87   15.10   2.49
  200℃   UV   -1%   1.417   2.83   4.78   0.80
  250℃   沉积   N/A   1.411   3.00   5.55   0.84
  250℃   热(375℃)   -1%   1.414   N/A   N/A   N/A
  250℃   热(400℃)   -1%   1.423   N/A   N/A   N/A
  250℃   热(425℃)   -1%   1.408   2.90   7.42   1.30
  250℃   热(375℃)+UV   -5%   1.395   2.92   8.19   1.33
  250℃   热(400℃)+UV   -15%   1.433   3.03   22.66   3.2
  250℃   UV   -1%   1.413   2.85   7.51   1.29
  300℃   沉积   N/A   1.433   3.00   10.30   1.80
  300℃   热(375℃)   -1%   1.420   N/A   N/A   N/A
  300℃   热(400℃)   -1%   1.427   N/A   N/A   N/A
  300℃   热   -1%   1.430   3.01   10.90   1.94
  300℃   热(375℃)+UV   -2%   1.420   2.99   11.68   1.90
  300℃   热(400℃)+UV   -12%   1.419   3.21   25.48   3.56
  300℃   UV   -1%   1.407   2.99   12.58   2.15
  350℃   沉积   N/A   1.440   3.12   15.43   2.65
  350℃   热(375℃)   0%   1.440   N/A   N/A   N/A
  350℃   热(400℃)   0%   1.433   N/A   N/A   N/A
  350℃   热   0%   1.442   3.09   15.93   2.68
  350℃   热(375℃)+UV   -2%   1.442   3.05   18.33   2.87
  350℃   热(400℃)+UV   -8%   1.462   3.28   28.96   4.00
  350℃   UV   -2%   1.446   3.05   17.78   2.94
  425℃   沉积   N/A   1.479   3.34   26.05   4.17
  425℃   热(375℃)   0%   1.461   N/A   N/A   N/A
  425℃   热(400℃)   0%   1.475   N/A   N/A   N/A
  425℃   热   0%   1.479   3.32   26.61   4.18
  425℃   热(375℃)+UV   -2%   1.472   3.30   31.73   4.54
  425℃   热(400℃)+UV   -3.5%   1.473   3.42   33.50   4.76
  425℃   UV   -2%   1.474   3.27   30.09   4.59
实施例7和8:使用1,3,5,7-四甲基环四硅氧烷(TMCTS)在各种基片温度上制备致密OSG薄膜
通过PECVD法,使用750mg/min的造骨架剂前体TMCTS,500sccm的氦气载气,在硅片上制备一种有机硅酸盐玻璃薄膜。沉积在6乇、300W的等离子体功率和320mils的间距下进行。在沉积期间,晶片温度保持在350℃或425℃。在350℃时,薄膜的沉积速率是990nm/min,在425℃时,薄膜的沉积速率是710nm/min。
沉积后(实施例7a和8a)和暴露在紫外光源后(实施例7b和8b)TMCTS OSG薄膜的性能列于表IV中。在沉积过程中,基片温度对TMCTS薄膜的硬度具有正面作用。此外,在更高的基片温度时获得较低的介电常数,清楚地表明:随着基片的温度提高,基于TMCTS的OSG材料的总体薄膜性能可以得到改善。在紫外光曝光后(实施例7b和8b),比较这些相同的薄膜,其介电常数、机械模量和硬度基本上相同。这样表明UV曝光步骤可以改变OSG薄膜的化学结构,以使介电常数和硬度之间的关系得到优化。所需重组的程度通过薄膜损失的厚度显示。当沉积温度为350℃时,暴露在UV光时,薄膜损失的厚度为9%;然而当沉积温度为425℃时,薄膜的厚度仅降低3%。
OSG薄膜用作层间绝缘材料时,可以在各种基片上沉积,取决于其用途。因为许多基片例如聚合材料在半导体加工温度时可能损失它们的材料完整性,或者是由于热衡算原因,将OSG薄膜暴露在UV中是有利的,因为曝光可以在相对低的温度下进行,并能保留介电绝缘特性。表IV中的数据表明:,在较低温度下沉积的层间绝缘材料可以通过将其在UV辐射中曝光发生变化,以显著地改善其总体性能。这种变化在中等温度下获得,并且不需要加入化学前体,因此可以应用于各种用途。
表IV:在各种温度沉积的TMCTS致密OSG薄膜在UV曝光前后的比较
  实施例   前体   沉积温度   厚度损失(%)   折射率   介电常数   模量(GPa)   硬度(GPa)
  7a   TMCTS   沉积   350℃   N/A   1.385   3.03   6.75   1.10
  7b   TMCTS   UV   350℃   -9   1.396   2.91   10.49   1.78
  8a   TMCTS   沉积   425℃   N/A   1.388   2.86   9.07   1.49
  8b   TMCTS   UV   425℃   -3   1.402   2.93   10.50   1.74
实施例9和10:使用1-新己基-1,3,5,7-四甲基-环四硅氧烷(NH-TMCTS)在各种温度下制备多孔OSG薄膜
通过PECVD法,使用500mg/min的造骨架剂/造孔剂前体NH-TMCTS,200sccm流速的CO2载气,在硅片上制备有机硅酸盐玻璃薄膜。沉积在8乇、300W的等离子体功率和300mils的间距下进行。在沉积期间,晶片温度保持在280℃(实施例9a、9b和9c)或350℃(实施例10a、10b和10c)。在280℃时,薄膜的沉积速率是625nm/min,在350℃时,薄膜的沉积速率是420nm/min。
沉积后(实施例9a)、热退火后(实施例9b)和暴露在UV光源后(实施例9c)OSG薄膜的性能列于表V中。如表V所示,薄膜9b和9c分别进行热退火和UV曝光,相对于实施例9a,介电常数表现出了变化。相对于实施例9a,尽管实施例9c的介电常数增加了,但是它还在模量和硬度上分别表现出很大的增加,或者约91%和137%的增加。相反,与实施例9a相比,实施例9b的模量和硬度出现下降。因此,当使用较温和的工艺条件时,UV曝光步骤相对于热后处理在OSG玻璃薄膜的机械性能上得到显著的改善。
沉积后(实施例10a)、热退火后(实施例10b)和暴露在UV光源后(实施例9c)OSG薄膜的性能列于表V中。如表V所示,相对于实施例10a,分别进行热退火和UV曝光的薄膜10b和10c的介电常数都出现了0.06的微小增加。然而,相对于实施例10a,薄膜10c的模量和硬度分别表现出显著的,或者说增加了约57%和88%。相反,相对于实施例10a,实施例10b的模量增加了约4.1%,硬度增加了约7.8%。因此,当使用温和的加工条件时,UV曝光步骤相对于热后处理在OSG玻璃薄膜的机械性能上提供很大的改善。
表V:在各种温度沉积的NH-TMCTS多孔OSG薄膜在UV曝光前后的比较(在真空下曝光15min)
  实施例   前体   沉积温度   厚度损失(%)   折射率   介电常数   模量(GPa)   硬度(GPa)
  9a   NH-TMCTS   280℃   沉积   N/A   1.406   2.66   3.09   0.41
  9b   NH-TMCTS   280℃   热   -2   1.381   2.54   2.64   0.35
  9c   NH-TMCTS   280℃   UV   -14   1.383   2.70   5.90   0.97
  10a   NH-TMCTS   350℃   沉积   N/A   1.409   2.63   4.82   0.64
  10b   NH-TMCTS   350℃   热   -3   1.400   2.69   5.02   0.69
  10c   NH-TMCTS   350℃   UV   -6   1.399   2.69   7.55   1.20
实施例11:使用新己基-二乙氧基甲基硅烷(NH-DEMS)制备多孔OSG薄膜
通过PECVD法,使用500mg/min的造骨架剂/造孔剂前体NH-DEMS,150sccm流速的氦气载气,在硅片上制备有机硅酸盐玻璃薄膜。沉积在10乇、400W的等离子体功率和300mils的间距下进行。在沉积期间,硅片温度保持在250℃。薄膜的沉积速率是200nm/min。
沉积后(实施例11a)、热退火后(实施例11b)和暴露在UV光源后(实施例11c)OSG薄膜的性能列于表VI中。热退火的薄膜的介电常数降低了0.05或3%。同样,热退火的薄膜的机械模量和硬度分别降低了0.62GPa或19%和0.08GPa或18%。相反,暴露在UV光源的薄膜的介电常数增加了0.07或3%,模量增加了10.03GPa或305%,硬度增加了1.97GPa或338%。因此,在较温和的工艺条件下,UV曝光使薄膜的机械性能得到很大的提高,并且介电常数仅有很小的增加。
实施例12:使用新己基-二乙氧基甲基硅烷(NH-DEMS)制备多孔OSG薄膜
通过PECVD法,使用500mg/min的造骨架剂/造孔剂前体NH-DEMS,150sccm流速的氦气载气,在硅片上制备有机硅酸盐玻璃薄膜。沉积在8乇、500W的等离子体功率和400mils的间距下进行。在沉积期间,晶片温度保持在250℃。薄膜的沉积速率是240nm/min。
沉积后(实施例12a)和暴露在UV光源后(实施例12b)OSG薄膜的性能列于表VI中。如表VI所示,UV后处理后,薄膜的模量和硬度分别提高了约206%和236%,而薄膜的介电常数仅增加了6%。
表VI:NH-DEMS多孔OSG薄膜在UV曝光前后的比较(在真空下曝光15min)
  实施例   前体   厚度损失(%)   折射率   介电常数   模量(GPa)   硬度(GPa)
  11a   NH-DEMS   沉积   N/A   1.437   2.61   3.29   0.45
  11b   NH-DEMS   热   -3   1.391   2.56   2.67   0.37
  11c   NH-DEMS   UV   -26   1.385   2.68   13.32   1.97
  12a   NH-DEMS   沉积   N/A   1.436   2.70   4.88   0.66
  12b   NH-DEMS   UV   -23   1.391   2.81   14.93   2.22
实施例13:使用二乙氧基甲基硅烷(DEMS)、三乙氧基硅烷(TES)和α-萜烯(ATRP)制备多孔OSG薄膜
通过PECVD法,使用210mg/min的造骨架剂前体DEMS和TES的50/50混合物,490mg/min的造孔剂前体ATRP,200sccm流速的CO2和25sccm的O2,在硅片上制备有机硅酸盐玻璃薄膜。沉积在8乇、600W的等离子体功率和350mils的间距下进行。在沉积期间,晶片温度保持在300℃。薄膜的沉积速率是275nm/min。
沉积后(实施例13a)、热退火后(实施例13b)和暴露在UV光源后(实施例13c)OSG薄膜的性能列于表VII中。如表VII所示,热后处理和UV后处理都降低了薄膜的介电常数。然而,UV后处理介电常数降低约25%,要比热后处理降低的程度大,其中热后处理介电常数降低约12%。另外,UV后处理使薄膜的模量和硬度分别增加了约2%和约10%,而热后处理使薄膜的模量和硬度分别降低约41%和26%。在温和的条件下,显然UV曝光步骤处理比热后处理得到的薄膜的性能更优越。
实施例14:使用造骨架剂1,3-二甲基-1,3-二乙氧基-二硅氧烷(MEDS)和造孔剂α-萜烯(ATRP)沉积多孔OSG薄膜
通过PECVD法,使用400mg/min的造骨架剂前体MEDS,600mg/min的造孔剂前体ATRP,250sccm流速的CO2载气,在硅片上制备有机硅酸盐玻璃薄膜。沉积在8乇、600W的等离子体功率和350mils的间距下进行。在沉积期间,晶片温度保持在300℃。薄膜的沉积速率是280nm/min。
沉积后(实施例14a)、热退火后(实施例14b)和暴露在UV光源后(实施例14c)OSG薄膜的性能列于表VII中。如表VII所示,UV后处理使薄膜的硬度增加了约46%,而相比之下热退火后处理的薄膜只增加了约1%。另外,UV后处理步骤使薄膜的模量增加了约37%,而热退火后处理步骤使薄膜的模量降低了约4%。
图3为每种薄膜的IR吸收光谱图。如图3所示,在1160-1180nm波长处,由Si-O键产生吸收,从沉积的和热退火的薄膜的双峰演变为UV曝光的薄膜的具有一小肩峰的单峰。这可能是因为UV曝光对多孔OSG薄膜的网络的作用。
表VII各种多孔OSG材料的膜性能
  实施例   前体   厚度损失(%)   折射率   介电常数   模量(GPa)   硬度(GPa)
  13a   DEMS/TES/ATRP   沉积   N/A   1.482   3.00   8.17   1.00
  13b   DEMS/TES/ATRP   热   0   1.351   2.77   5.79   0.74
  13c   DEMS/TES/ATRP   UV   -8   1.345   2.51   8.30   1.10
  14a   MEDS/ATRP   沉积   N/A   1.421   2.76   6.62   1.06
  14b   MEDS/ATRP   热   0   1.397   2.72   6.37   1.07
  14c   MEDS/ATRP   UV   -7   1.386   2.75   9.08   1.55
实施例15:使用二乙氧基甲基硅烷(DEMS)和α-萜烯(ATRP)沉积多孔OSG薄膜
通过PECVD法,使用210mg/min的造骨架剂前体DEMS,490mg/min的造孔剂前体ATRP,200sccm流速的CO2载气和25sccm的O2添加剂,在硅片上制备有机硅酸盐玻璃薄膜。沉积在8乇、750W的等离子体功率和350mils的间距下进行。在沉积期间,晶片温度保持在300℃。薄膜的沉积速率是460nm/min。
沉积后(实施例15a)、热退火后(实施例15b)和暴露在UV光源后(实施例15c)OSG薄膜的性能列于表VIII中。如表VIII所示,热后处理和UV后处理都降低了薄膜的介电常数,但是UV后处理使薄膜的介电常数降低更多。UV曝光增加了薄膜的模量和硬度,然而热退火却降低了薄膜的模量和硬度。因此,在相对较温和的工艺条件下,UV曝光显然比热退火后的样品具有更优越的低介电常数和高硬度的组合。
图4为沉积多孔DEMS/ATRP薄膜的UV/见光吸收光谱图。如图4所示,该材料在光谱的190-280nm区域具有明显的吸收。光谱的图形清楚地表明有两个最大吸收峰,第一个峰的中心在约268nm处,另一个在193nm处。较低能量吸收可能来自ATRP造孔剂前体,而更高强度和能量吸收可能来自形成DEMS网络的前体。
图5为沉积的多孔DEMS/ATRP薄膜(实施例15a)和暴露在UV光源后的薄膜(实施例15c)的IR吸收光谱图。如图5所示,在1160-1180nm的波长范围处,由Si-O键造成吸收,从沉积和热退火薄膜的双峰演变为UV曝光薄膜的具有一小肩峰的单峰。这可能是因为UV曝光降低了与笼状结构相关的Si-O键并引入更高程度的网络状Si-O键,这一点可以从增加了的硬度反映出来。
表VIII:各种多孔OSG薄膜的性能在UV曝光前后的比较
  实施例   前体   厚度损失   折射率   介电常数   模量(GPa)   硬度(GPa)
  15a   DEMS/ATRP   沉积   N/A   1.482   2.98   3.74   0.48
  15b   DEMS/ATRP   热   -2%   1.363   2.55   3.17   0.40
  15c   DEMS/ATRP   UV   -11%   1.345   2.29   4.73   0.57
实施例16和17:在UV曝光之前和之后进行热处理的作用
使用PE-CVD法,接着在425℃下进行热退火和/或UV曝光,沉积基于DEMS的多孔OSG薄膜。将前体DEMS(210mg/min)、ATRP(490mg/min)、O2添加剂(25sccm)和CO2载气(200sccm)导入沉积室中,在600W的等离子体功率和350mils的间距和8乇的室压下进行沉积。晶片温度为300℃。沉积速率是240nm/min。沉积后(实施例16a)、热退火后(实施例16b)、热退火然后暴露在UV光源后(实施例16c)、和暴露在UV光源后(实施例16d)薄膜的性能列于表IX中。
使用PE-CVD法,接着在425℃下进行热退火和/或UV曝光,沉积基于DEMS的多孔OSG薄膜。将前体DEMS(210mg/min)、ATRP(490mg/min)、O2添加剂(25sccm)和CO2载气(200sccm)导入沉积室中,在450W的等离子体功率、350mils的间距和6乇的室压下进行沉积。晶片温度为300℃。沉积速率是175nm/min。沉积后(实施例17a)、热退火后(实施例17b)、热退火然后暴露在UV光源后(实施例17c)、暴露在UV光源后(实施例17d)、和UV曝光然后热退火(实施例17e)薄膜的性能列于表VI中。
实施例1-15已经表明:在单个的后处理加工步骤中,无论对致密或多孔OSG材料,在降低材料的介电常数和增加材料的硬度方面,UV曝光优于热退火。实施例16和17表明:依次使用热退火和UV光源比单独使用UV曝光能够更大程度地改善多孔OSG薄膜的性能。特别地,应该注意当薄膜单独进行热退火时(实施例16b和17b),相对于沉积的薄膜,材料的硬度分别降低了9%和11%。另一方面,相对于沉积的薄膜,实施例16d和17d的机械硬度分别增加了5%和7%。对实施例16b与16d和实施例17b与17d进行比较,再次表明在增加多孔OSG薄膜的硬度和降低多孔OSG薄膜的介电常数上,UV曝光是一种优越的方法。
实施例16c和17c表明:依次使用热退火和UV曝光步骤比单独使用UV曝光可以用来在更大程度上改善材料的性能。结果清楚地表明:热退火后形成的多孔OSG材料依然容许进行UV曝光处理以改善材料的性能。相反地,UV曝光后的薄膜对热退火是稳定的,这一点从实施例17d和17e之间的相似性可以看出。
表IX:各种多孔OSG薄膜的性能在UV曝光前后的比较
  实施例   前体   厚度损失(%)   折射率   介电常数   模量(GPa)   硬度(GPa)
  16a   DEMS/ATRP   沉积   N/A   1.458   2.74   5.87   0.86
  16b   DEMS/ATRP   热   0   1.350   2.48   4.89   0.74
  16c   DEMS/ATRP   热+UV   -10   1.354   2.40   7.42   1.07
  16d   DEMS/ATRP   UV   -4   1.338   2.44   6.64   0.90
  17a   DEMS/ATRP   沉积   N/A   1.   2.79   4.89   1.05
  17b   DEMS/ATRP   热   0   1.366   2.61   5.87   0.93
  17c   DEMS/ATRP   热+UV   -6   1.348   2.57   3.74   1.55
  17d   DEMS/ATRP   UV   -3   1.339   2.56   3.17   1.12
  17e   DEMS/ATRP   UV+热   -4   1.331   2.55   4.73   1.03
实施例18:使用二乙氧基甲基硅烷(DEMS)和α-萜烯(ATRP)沉积多孔OSG薄膜
通过PE-CVD法,使用210mg/min的造骨架剂前体DEMS,490mg/min的造孔剂前体ATRP,200sccm流速的CO2载气和25sccm的O2添加剂,在硅片上制备一种示范性的多孔OSG薄膜。沉积在8乇、750W的等离子体功率、350mils的间距和675mg/min的液流下进行。在沉积期间,晶片温度保持在300℃。薄膜的沉积速率是460nm/min。
将薄膜在约5毫乇的真空下(实施例18a)或在环境压力和流速为800sccm的氮气环境中(实施例18b)暴露在紫外光中。图6a和6b分别是实施例18a和18b的介电常数和折射率对UV曝光时间关系图。
图6a和6b表明:在真空或环境压力下的氮气环境下,在曝光的第一个2分钟内UV曝光即除去了造孔剂前体ATRP。这一点由示范性薄膜18a和18b的介电常数和折射率的下降显示出来。
观察图9中的红外光谱,在进行UV曝光的第一分钟后,可以看到在2900cm-1附近的C-Hx吸收区有显著地下降。然而,在进行UV曝光的一分钟后,在光谱的其它区域可以观察到微小的变化。另外,在除去造孔剂过程中,可以观察到很小的薄膜收缩。
图7和表X表明:在真空环境中,在进行UV曝光的第一个2分钟内,薄膜的机械硬度降低了约10%。可以观察到对于该灯的功率和光谱输出配置,薄膜在UV曝光2分钟后的继续曝光期间或者在除去造孔剂前体后开始硬化,在约15分钟后达到饱和。
在图8和9中,IR光谱也证实在除去造孔剂前体时,图9中所示的硬化过程。在初始UV曝光期间,Si-O区域从双峰演变为带肩峰的单峰。Si-O区域的两个位置(1130cm-1和1060cm-1)分别代表笼状和网络型的硅酸盐。前者的增加是硅酸盐掺杂端基的特点,而后者的增加更表示高度网络化的氧化物。OSG薄膜从笼状演变为网络型硅酸盐代表机械强度增加的过程。另外,图8和9还显示甲基的伸缩和弯曲峰的降低以及Si-H的损失。
在真空下,在1min和15min曝光后,由x-射线光电子光谱测得的示范性的OSG18a薄膜的化学组成列于表XI中。数据表明UV曝光第一分钟内碳浓度降低了48%,这与造孔剂前体从薄膜中失去相一致。然而,在硬化过程中,尽管硬度和模量增加了100%,但是薄膜的总体组成却变化很小。
人们相信,这些增加是薄膜结构发生变化的结果。氢的浓度(未标出)也可以显著地降低。因此,人们相信,在UV曝光1-15min之间产生的大部分气体物质是含氢物质。
表X:在UV曝光下和真空中,由DEMS和ATRP沉积得到的示范性OSG 18a薄膜的性能变化
  时间(秒)   折射率   介电常数   模量(GPa)   硬度(GPa)   厚度损失(%)
  0   1.444   2.86   6.91   1.01   N/A
  15   1.438   2.73   6.79   1.00   0
  30   1.358   2.56   5.88   0.91   0
  45   1.344   2.49   6.01   0.96   -1
  60   1.344   2.50   6.29   1.02   -2
  75   1.344   2.48   7.13   1.16   -3
  90   1.350   2.49   6.29   0.92   -4
  105   1.342   2.51   6.59   1.03   -4
  120   1.350   2.49   8.11   1.27   -4
  150   1.347   2.51   7.38   1.16   -4
  300   1.363   2.56   9.47   1.52   -9
  600   1.360   2.62   8.7   1.42   -9
  900   1.373   2.64   12.2   1.9   -12.5
  1200   1.380   2.72   11.8   1.9   -12.5
表XI:在真空下UV曝光1分钟(产生孔隙度后)和UV曝光15分钟后(薄膜硬化后),DEMS/ATRP薄膜的性能。
  硅   氧   碳   式
  沉积的   30.3   38.7   31.8   SiO1.27C
  1minUV   35.3   47.7   17   SiO1.34C0.5
  15minUV   36.4   50   13.6   SiO1.37C0.37
实施例19:在UV曝光期间,气体环境对OSG薄膜性能的影响
现有技术(US 2003/0054115-A1)提供了在改善多孔HSQ和MSQ薄膜的机械强度方面,在氧气环境下进行UV曝光更有效的实例。另外,当在氧气存在下进行UV曝光时,对介电常数的不利影响可以忽略。这与由DEMS和DEMS+ATRP沉积得到的致密和多孔OSG薄膜的实验有很大的区别。
通过PE-CVD法,使用173mg/min的造骨架剂前体DEMS,402mg/min的造孔剂前体ATRP,200sccm流速的CO2载气和25sccm的O2添加剂,在硅片上制备一种示范性的多孔OSG薄膜。DEMS/ATRP薄膜的沉积在8乇、750W的等离子体功率和350mils的间距下进行。在沉积期间,晶片温度保持在300℃。薄膜的沉积速率是340nm/min。
图10和表XII显示了在UV曝光期间,气体环境对介电常数的影响。沉积的DEMS/ATRP薄膜的介电常数是2.8。DEMS/ATRP薄膜在空气环境中用UV曝光5min,得到多孔DEMS/ATRP薄膜。在空气环境中UV曝光多孔DEMS/ATRP薄膜的介电常数是4.8。
将由DEMS或三甲基硅烷沉积得到的致密有机硅酸盐玻璃薄膜在各种不同的气体环境中进行UV光曝光。致密DEMS薄膜按实施例6中薄膜的类似方法进行沉积。致密三甲基硅烷薄膜(3MS)通过PE-CVD法,由600sccm的3MS和100sccm的O2沉积在硅晶片上。3MS薄膜的沉积在4乇、750W的等离子体功率和280mils的间距下进行。在沉积期间,晶片温度保持在350℃。薄膜的沉积速率是600nm/min。3MS薄膜的介电常数是3,硬度是1.3GPa。UV曝光的结果列在表XII中。
表XII显示:对于3MS薄膜或由DEMS沉积得到的致密OSG薄膜,随着曝光时间的增加,介电常数都急剧地增加。然而,在真空UV曝光进行600秒后,3MS薄膜的介电常数保持相对恒定。
表XII:在空气中暴露在UV光时,致密OSG薄膜的性能变化。
  薄膜   时间(秒)   气体环境   折射率   介电常数   厚度损失(%)
  DEMS   0   N/A   1.429   2.75   N/A
  DEMS   300   空气   1.421   3.30   0
  DEMS   600   空气   1.423   3.39   0
  DEMS   1200   空气   1.419   3.65   0
  3MS   0   N/A   1.445   2.95   N/A
  3MS   300   空气   1.441   3.65   0
  3MS   600   空气   1.448   3.90   0
  3MS   1200   空气   1.435   4.45   0
  3MS   600   真空   1.439   2.98   0
表XIII:当在空气中暴露在UV光时,多孔OSG薄膜的性能变化。
  薄膜   时间(秒)   气体环境   折射率   介电常数   厚度损失(%)
  DEMS+ATRP   0   N/A   1.495   2.86   N/A
  DEMS+ATRP   300   空气   1.525   4.79   -13
实施例20:DEMS/ATRP OSG薄膜的组成均一性
通过PE-CVD法,使用210mg/min的造骨架剂前体DEMS,490mg/min的造孔剂前体ATRP,200sccm流速的CO2载气和25sccm的O2添加剂,在硅片上制备一种示范性的多孔OSG薄膜。沉积在8乇、750W的等离子体功率、350mils的间距和675mg/min的液流下进行。在沉积期间,晶片温度保持在300℃。薄膜的沉积速率是460nm/min。
使用2.5kev的铯离子枪对沉积并进行UV光源曝光1min和15min的OSG薄膜进行动态SIMS断面深度分析,以通过检测在整个薄膜厚度的不同点上的负电物质(negative species),来测定各个薄膜上硅、氧、碳和氢的组成非均一性。动态SIMS数据示于图11a-11c中。沉积的薄膜、暴露在紫外光中1min后的薄膜和暴露在紫外光15min后的薄膜的厚度分别是1微米、980nm和890nm。沉积后、在紫外光中曝光1min后和在紫外光中曝光15min后的OSG薄膜的组成非均一性的百分比示于图11a-11c中。
表XIV显示的组成非均一性百分比是通过对从每个整体薄膜获得的各个不同的SIMS测量进行标准偏差计算而来的。如图11a-11c所示,其中的硅、氧、碳、和氢元素的基本上平坦的曲线表明:在整个薄膜厚度中,组成基本上是均一的。薄膜底部数据中向上的峰值可归因于界面效应。
表XIV:组成非均一性百分数
  %均一性   H   C   O   Si
  沉积   3.5064   3.2662   6.2764   1.6829
  UV曝光1min   1.1669   0.8641   1.2081   1.1438
  UV曝光15min   0.9569   0.7892   0.7610   1.0811
实施例21:八甲基环四硅氧烷(OMCTS)薄膜的沉积
使用等离子体改进的化学气相沉积(PE-CVD)方法,由八甲基环四硅氧烷(OMCTS)沉积得到的OSG薄膜在UV光下曝光不同的时间。在UV处理前薄膜的介电常数标定为3.0。UV曝光后薄膜的模量和硬度变化列于表XV中。数据表明:将由PE-CVD法沉积的OMCTS薄膜进行UV曝光处理后比沉积薄膜材料的硬度增大了83%。
表XV:经过表中列出的UV曝光时间后的薄膜性能
  UV曝光时间(min)   厚度(nm)   厚度损失(%)   介电常数  模量(GPa)  硬度(GPa)
  0   930   -   3.0   13.8   2.3
  1   920   -1   N/A   15.4   2.6
  5   870   -6.5   N/A   22.0   3.4
  10   860   -7.5   N/A   24.6   3.5
  15   850   -8.6   N/A   24.4   3.5
  30   820   -11.8   N/A   31.3   4.1
本发明已经通过几个优选实施方案进行阐述,但是本发明的范围比那些实施方案要宽并且应通过下面的权利要求书进行确定。

Claims (14)

1.一种改进多孔有机硅酸盐薄膜的材料硬度和弹性模量的方法,其中多孔有机硅酸盐薄膜通过化学气相沉积制得,用式SivOwCxHyFz代表,其中v+w+x+y+z=100%,v为10-35原子%,w为10-65原子%,x为5-30原子%,y为10-50原子%,和z为0-15原子%,该方法包括:
在真空室中放入一块基片;
将至少一种包含选自有机硅烷和有机硅氧烷的造骨架剂前体和一种造孔剂前体的化学试剂引入到真空室中;
将能量施加到真空室中的该至少一种化学试剂,引发试剂的反应,以在至少基片的一部分上沉积包括造孔剂前体和造骨架剂前体的复合膜;和
在非氧化性气体环境中,将复合膜暴露在紫外光源中以制备多孔有机硅酸盐薄膜,其中经过曝光步骤后的多孔有机硅酸盐薄膜的材料硬度和弹性模量比在曝光步骤前复合膜的材料硬度和弹性模量高,且其中多孔有机硅酸盐薄膜基本上无Si-OH键。
2.权利要求1的方法,进一步包括加热多孔有机硅酸盐薄膜,其中加热步骤在曝光步骤之前进行。
3.权利要求1的方法,其中有机硅烷是至少一种选自甲基硅烷、二甲基硅烷、三甲基硅烷、四甲基硅烷、苯基硅烷、甲基苯基硅烷、环己基硅烷、叔丁基硅烷、乙基硅烷、二乙基硅烷、四乙氧基硅烷、二甲基二乙氧基硅烷、二甲基二甲氧基硅烷、二甲基乙氧基硅烷、甲基二乙氧基硅烷、三乙氧基硅烷、甲基三乙氧基硅烷、三甲基苯氧基硅烷、苯氧基硅烷、二叔丁基硅烷、二乙氧基硅烷、二乙酰氧基甲基硅烷、甲基三乙氧基硅烷、二叔丁基硅烷和其组合的化合物。
4.权利要求1的方法,其中有机硅氧烷是至少一种选自1,3,5,7-四甲基环四硅氧烷、八甲基环四硅氧烷、六甲基环三硅氧烷、六甲基二硅氧烷、1,1,2,2-四甲基二硅氧烷、八甲基三硅氧烷和其组合的化合物。
5.权利要求1的方法,其中造孔剂前体是至少一种选自α-萜品烯、柠檬油精、环己烷、1,2,4-三甲基环己烷、1,5-二甲基-1,5-环辛二烯、莰烯、金刚烷、1,3-丁二烯、取代的二烯,γ-萜品烯、α-蒎烯、β-蒎烯、十氢化萘和其组合的化合物。
6.权利要求1的方法,其中造孔剂前体和造骨架剂前体是同一化合物。
7.权利要求6的方法,其中所述化合物是至少一种选自1-新己基-1,3,5,7-四甲基-环四硅氧烷、二-新己基-二乙氧基硅烷、1,4-二(二乙氧基甲硅烷基)环己烷和其组合的化合物。
8.权利要求1的方法,其中在至少一部分曝光步骤期间加热基片。
9.权利要求1的方法,其中施加能量的步骤在250℃或更高的温度下进行。
10.一种制备介电常数为2.7或更小的多孔有机硅酸盐薄膜的方法,该方法包括:
在至少一部分基片上形成一种包含造骨架剂前体和造孔剂前体的复合薄膜,其中该有机硅酸盐薄膜具有第一介电常数,第一硬度和第一弹性模量;和
在非氧化性气体环境中,将该薄膜暴露于至少一种紫外光源中,以除去至少一部分包含在其中的造孔剂前体,并产生多孔有机硅酸盐薄膜,其中多孔有机硅酸盐薄膜具有第二介电常数,第二硬度和第二弹性模量,且其中第二介电常数至少比第一介电常数小5%,第二硬度至少比第一硬度大10%,以及第二弹性模量至少比第一弹性模量大10%;和
加热有机硅酸盐薄膜,其中加热步骤在曝光步骤之前进行。
11.权利要求10的方法,其中形成步骤在250℃或更高的温度下进行。
12.权利要求10的方法,其中有机硅酸盐薄膜表示为式SivOwCxHyFz,其中v+w+x+y+z=100%,v为10-35原子%,w为10-65原子%,x为5-30原子%,y为10-50原子%,和z为0-15原子%。
13.权利要求10的方法,其中有机硅酸盐薄膜具有一种或多种选自Si-C键、Si-O键、Si-H键和C-H键的键类型。
14.权利要求10的方法,其中有机硅酸盐薄膜的组成非均一性为10%或更小。
CN200910164131.2A 2003-03-04 2004-03-04 通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能 Expired - Lifetime CN101621001B (zh)

Applications Claiming Priority (6)

Application Number Priority Date Filing Date Title
US10/379466 2003-03-04
US10/379,466 US7098149B2 (en) 2003-03-04 2003-03-04 Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US10/379,466 2003-03-04
US10/624357 2003-07-21
US10/624,357 US7468290B2 (en) 2003-03-04 2003-07-21 Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US10/624,357 2003-07-21

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CNB2004100326587A Division CN100543947C (zh) 2003-03-04 2004-03-04 用来沉积低介电常数的有机硅酸盐薄膜的混合物

Publications (2)

Publication Number Publication Date
CN101621001A CN101621001A (zh) 2010-01-06
CN101621001B true CN101621001B (zh) 2013-09-25

Family

ID=32926681

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200910164131.2A Expired - Lifetime CN101621001B (zh) 2003-03-04 2004-03-04 通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能

Country Status (3)

Country Link
US (3) US7098149B2 (zh)
JP (1) JP4913782B2 (zh)
CN (1) CN101621001B (zh)

Families Citing this family (532)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6627532B1 (en) * 1998-02-11 2003-09-30 Applied Materials, Inc. Method of decreasing the K value in SiOC layer deposited by chemical vapor deposition
US6800571B2 (en) * 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US7405397B2 (en) * 2002-03-28 2008-07-29 Mds Sciex Inc. Laser desorption ion source with ion guide coupling for ion mass spectroscopy
US6815373B2 (en) * 2002-04-16 2004-11-09 Applied Materials Inc. Use of cyclic siloxanes for hardness improvement of low k dielectric films
US9061317B2 (en) 2002-04-17 2015-06-23 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US20080268177A1 (en) * 2002-05-17 2008-10-30 Air Products And Chemicals, Inc. Porogens, Porogenated Precursors and Methods for Using the Same to Provide Porous Organosilica Glass Films with Low Dielectric Constants
US8951342B2 (en) * 2002-04-17 2015-02-10 Air Products And Chemicals, Inc. Methods for using porogens for low k porous organosilica glass films
US6936551B2 (en) * 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7056560B2 (en) * 2002-05-08 2006-06-06 Applies Materials Inc. Ultra low dielectric materials based on hybrid system of linear silicon precursor and organic porogen by plasma-enhanced chemical vapor deposition (PECVD)
US7060330B2 (en) * 2002-05-08 2006-06-13 Applied Materials, Inc. Method for forming ultra low k films using electron beam
JP4217870B2 (ja) * 2002-07-15 2009-02-04 日本電気株式会社 有機シロキサン共重合体膜、その製造方法、成長装置、ならびに該共重合体膜を用いた半導体装置
JP3668222B2 (ja) * 2002-11-07 2005-07-06 株式会社東芝 半導体装置の製造方法
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US6913992B2 (en) 2003-03-07 2005-07-05 Applied Materials, Inc. Method of modifying interlayer adhesion
US7288292B2 (en) * 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US7241704B1 (en) * 2003-03-31 2007-07-10 Novellus Systems, Inc. Methods for producing low stress porous low-k dielectric materials using precursors with organic functional groups
US7208389B1 (en) 2003-03-31 2007-04-24 Novellus Systems, Inc. Method of porogen removal from porous low-k films using UV radiation
US20050260420A1 (en) * 2003-04-01 2005-11-24 Collins Martha J Low dielectric materials and methods for making same
TWI286814B (en) * 2003-04-28 2007-09-11 Fujitsu Ltd Fabrication process of a semiconductor device
US8137764B2 (en) * 2003-05-29 2012-03-20 Air Products And Chemicals, Inc. Mechanical enhancer additives for low dielectric films
US20040253378A1 (en) * 2003-06-12 2004-12-16 Applied Materials, Inc. Stress reduction of SIOC low k film by addition of alkylenes to OMCTS based processes
US20050037153A1 (en) * 2003-08-14 2005-02-17 Applied Materials, Inc. Stress reduction of sioc low k films
US7390537B1 (en) 2003-11-20 2008-06-24 Novellus Systems, Inc. Methods for producing low-k CDO films with low residual stress
US7341761B1 (en) 2004-03-11 2008-03-11 Novellus Systems, Inc. Methods for producing low-k CDO films
US7381662B1 (en) 2004-03-11 2008-06-03 Novellus Systems, Inc. Methods for improving the cracking resistance of low-k dielectric materials
US7060638B2 (en) * 2004-03-23 2006-06-13 Applied Materials Method of forming low dielectric constant porous films
US7611996B2 (en) * 2004-03-31 2009-11-03 Applied Materials, Inc. Multi-stage curing of low K nano-porous films
US7781351B1 (en) 2004-04-07 2010-08-24 Novellus Systems, Inc. Methods for producing low-k carbon doped oxide films with low residual stress
US20050227502A1 (en) * 2004-04-12 2005-10-13 Applied Materials, Inc. Method for forming an ultra low dielectric film by forming an organosilicon matrix and large porogens as a template for increased porosity
US7253125B1 (en) * 2004-04-16 2007-08-07 Novellus Systems, Inc. Method to improve mechanical strength of low-k dielectric film using modulated UV exposure
US7112541B2 (en) * 2004-05-06 2006-09-26 Applied Materials, Inc. In-situ oxide capping after CVD low k deposition
US20050250346A1 (en) 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US7622400B1 (en) 2004-05-18 2009-11-24 Novellus Systems, Inc. Method for improving mechanical properties of low dielectric constant materials
US7199047B2 (en) * 2004-05-28 2007-04-03 Texas Instruments Incorporated Bi-layer etch stop process for defect reduction and via stress migration improvement
US20050272220A1 (en) * 2004-06-07 2005-12-08 Carlo Waldfried Ultraviolet curing process for spin-on dielectric materials used in pre-metal and/or shallow trench isolation applications
US7282438B1 (en) 2004-06-15 2007-10-16 Novellus Systems, Inc. Low-k SiC copper diffusion barrier films
FR2872911B1 (fr) * 2004-07-07 2006-09-15 Commissariat Energie Atomique Procede de localisation d'une espece chimique ou biologique sur un substrat, microsysteme d'analyse et biopuce
KR20070033975A (ko) * 2004-07-22 2007-03-27 고쿠리츠 다이가쿠 호진 교토 다이가쿠 플루오로카본막 및 그 형성 방법
US7581549B2 (en) * 2004-07-23 2009-09-01 Air Products And Chemicals, Inc. Method for removing carbon-containing residues from a substrate
US7326444B1 (en) 2004-09-14 2008-02-05 Novellus Systems, Inc. Methods for improving integration performance of low stress CDO films
US7332445B2 (en) * 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
US9659769B1 (en) 2004-10-22 2017-05-23 Novellus Systems, Inc. Tensile dielectric films using UV curing
US7695765B1 (en) 2004-11-12 2010-04-13 Novellus Systems, Inc. Methods for producing low-stress carbon-doped oxide films with improved integration properties
JP4504822B2 (ja) * 2005-01-07 2010-07-14 新日石プラスト株式会社 遮熱性および光透過性を有するネット状資材
US7166531B1 (en) 2005-01-31 2007-01-23 Novellus Systems, Inc. VLSI fabrication processes for introducing pores into dielectric materials
US7977032B2 (en) * 2005-02-11 2011-07-12 International Business Machines Corporation Method to create region specific exposure in a layer
US20060183055A1 (en) * 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
US8454750B1 (en) 2005-04-26 2013-06-04 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US8889233B1 (en) 2005-04-26 2014-11-18 Novellus Systems, Inc. Method for reducing stress in porous dielectric films
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US7425350B2 (en) * 2005-04-29 2008-09-16 Asm Japan K.K. Apparatus, precursors and deposition methods for silicon-containing materials
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US20060249175A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. High efficiency UV curing system
US20070009673A1 (en) * 2005-07-06 2007-01-11 Asm Japan K.K. Insulation film and method for manufacturing same
US7314828B2 (en) * 2005-07-19 2008-01-01 Taiwan Semiconductor Manufacturing Company, Ltd. Repairing method for low-k dielectric materials
US7901743B2 (en) * 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
US7622378B2 (en) * 2005-11-09 2009-11-24 Tokyo Electron Limited Multi-step system and method for curing a dielectric film
US20070134435A1 (en) * 2005-12-13 2007-06-14 Ahn Sang H Method to improve the ashing/wet etch damage resistance and integration stability of low dielectric constant films
US8293340B2 (en) 2005-12-21 2012-10-23 3M Innovative Properties Company Plasma deposited microporous analyte detection layer
US20070173071A1 (en) * 2006-01-20 2007-07-26 International Business Machines Corporation SiCOH dielectric
US8083833B2 (en) * 2006-03-10 2011-12-27 Uop Llc Flexible template-directed microporous partially pyrolyzed polymeric membranes
US20070232046A1 (en) * 2006-03-31 2007-10-04 Koji Miyata Damascene interconnection having porous low K layer with improved mechanical properties
US7446058B2 (en) * 2006-05-25 2008-11-04 International Business Machines Corporation Adhesion enhancement for metal/dielectric interface
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US7790634B2 (en) 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7902080B2 (en) 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
DE102006028921A1 (de) * 2006-06-23 2007-12-27 Robert Bosch Gmbh Verfahren zur Herstellung eines Siliziumsubstrats mit veränderten Oberflächeneigenschaften sowie ein derartiges Siliziumsubstrat
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
US7501355B2 (en) * 2006-06-29 2009-03-10 Applied Materials, Inc. Decreasing the etch rate of silicon nitride by carbon addition
US7297376B1 (en) 2006-07-07 2007-11-20 Applied Materials, Inc. Method to reduce gas-phase reactions in a PECVD process with silicon and organic precursors to deposit defect-free initial layers
US8003537B2 (en) * 2006-07-18 2011-08-23 Imec Method for the production of planar structures
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7598183B2 (en) * 2006-09-20 2009-10-06 Applied Materials, Inc. Bi-layer capping of low-K dielectric films
US20080081130A1 (en) * 2006-09-29 2008-04-03 Applied Materials, Inc. Treatment of effluent in the deposition of carbon-doped silicon
US20080089642A1 (en) * 2006-10-12 2008-04-17 Annette Claire Grot Photonic crystal sensor for small volume sensing
NL1032674C2 (nl) * 2006-10-13 2008-04-15 Stichting Fund Ond Material Stralingsbron voor elektromagnetische straling met een golflengte in het extreem ultraviolet (XUV) golflengtegebied.
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8465991B2 (en) 2006-10-30 2013-06-18 Novellus Systems, Inc. Carbon containing low-k dielectric constant recovery using UV treatment
US20080113108A1 (en) * 2006-11-09 2008-05-15 Stowell Michael W System and method for control of electromagnetic radiation in pecvd discharge processes
US7906174B1 (en) 2006-12-07 2011-03-15 Novellus Systems, Inc. PECVD methods for producing ultra low-k dielectric films using UV treatment
KR20080063098A (ko) * 2006-12-28 2008-07-03 주식회사 삼양사 노보넨계 폴리실세스퀴옥산 공중합체 및 그의 제조에이용되는 노보넨계 실란 유도체와 그를 포함하는 반도체소자 절연막의 제조방법
JP2010515804A (ja) * 2007-01-12 2010-05-13 ウオーターズ・テクノロジーズ・コーポレイシヨン クロマトグラフ分離用多孔質炭素−ヘテロ原子−ケイ素ハイブリッド無機/有機材料およびその調製のための方法
CN101589459A (zh) * 2007-01-26 2009-11-25 应用材料股份有限公司 用于层间介电气隙的pevcd沉积牺牲聚合物薄膜的紫外光固化
WO2008094792A1 (en) * 2007-01-29 2008-08-07 Applied Materials, Inc. Novel air gap integration scheme
US20080220619A1 (en) * 2007-03-09 2008-09-11 Asm Japan K.K. Method for increasing mechanical strength of dielectric film by using sequential combination of two types of uv irradiation
US7763869B2 (en) * 2007-03-23 2010-07-27 Asm Japan K.K. UV light irradiating apparatus with liquid filter
US8173537B1 (en) 2007-03-29 2012-05-08 Novellus Systems, Inc. Methods for reducing UV and dielectric diffusion barrier interaction
US8242028B1 (en) * 2007-04-03 2012-08-14 Novellus Systems, Inc. UV treatment of etch stop and hard mask films for selectivity and hermeticity enhancement
US7989033B2 (en) * 2007-07-12 2011-08-02 Applied Materials, Inc. Silicon precursors to make ultra low-K films with high mechanical properties by plasma enhanced chemical vapor deposition
US7998536B2 (en) * 2007-07-12 2011-08-16 Applied Materials, Inc. Silicon precursors to make ultra low-K films of K<2.2 with high mechanical properties by plasma enhanced chemical vapor deposition
US7745352B2 (en) * 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090061237A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
WO2009032488A1 (en) * 2007-08-28 2009-03-12 International Business Machines Corporation Improved low k porous sicoh dielectric and integration with post film formation treatment
US20090061649A1 (en) * 2007-08-28 2009-03-05 International Business Machines Corporation LOW k POROUS SiCOH DIELECTRIC AND INTEGRATION WITH POST FILM FORMATION TREATMENT
US8211510B1 (en) 2007-08-31 2012-07-03 Novellus Systems, Inc. Cascaded cure approach to fabricate highly tensile silicon nitride films
US20090075491A1 (en) * 2007-09-13 2009-03-19 Tokyo Electron Limited Method for curing a dielectric film
US20090093135A1 (en) * 2007-10-04 2009-04-09 Asm Japan K.K. Semiconductor manufacturing apparatus and method for curing material with uv light
US7879683B2 (en) * 2007-10-09 2011-02-01 Applied Materials, Inc. Methods and apparatus of creating airgap in dielectric layers for the reduction of RC delay
US7943531B2 (en) * 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US7803722B2 (en) * 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7541297B2 (en) * 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7964040B2 (en) * 2007-11-08 2011-06-21 Applied Materials, Inc. Multi-port pumping system for substrate processing chambers
US20090120368A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Rotating temperature controlled substrate pedestal for film uniformity
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
CN101868760B (zh) 2007-11-21 2013-01-16 分子制模股份有限公司 用于纳米刻印光刻的多孔模板及方法、以及刻印层叠物
US8187948B2 (en) * 2008-02-18 2012-05-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid gap-fill approach for STI formation
US8153348B2 (en) * 2008-02-20 2012-04-10 Applied Materials, Inc. Process sequence for formation of patterned hard mask film (RFP) without need for photoresist or dry etch
US7977256B2 (en) * 2008-03-06 2011-07-12 Tokyo Electron Limited Method for removing a pore-generating material from an uncured low-k dielectric film
US7858533B2 (en) * 2008-03-06 2010-12-28 Tokyo Electron Limited Method for curing a porous low dielectric constant dielectric film
US20090226694A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited POROUS SiCOH-CONTAINING DIELECTRIC FILM AND A METHOD OF PREPARING
KR101538531B1 (ko) * 2008-03-06 2015-07-21 도쿄엘렉트론가부시키가이샤 다공성 저 유전 상수 유전체막의 경화 방법
US20090226695A1 (en) * 2008-03-06 2009-09-10 Tokyo Electron Limited Method for treating a dielectric film with infrared radiation
US8124522B1 (en) * 2008-04-11 2012-02-28 Novellus Systems, Inc. Reducing UV and dielectric diffusion barrier interaction through the modulation of optical properties
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) * 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
WO2010005715A2 (en) * 2008-06-16 2010-01-14 The Texas A & M University System Glass hardening methods and compositions
US20100015816A1 (en) * 2008-07-15 2010-01-21 Kelvin Chan Methods to promote adhesion between barrier layer and porous low-k film deposited from multiple liquid precursors
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US9050623B1 (en) 2008-09-12 2015-06-09 Novellus Systems, Inc. Progressive UV cure
US20100065758A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric material treatment system and method of operating
US8895942B2 (en) * 2008-09-16 2014-11-25 Tokyo Electron Limited Dielectric treatment module using scanning IR radiation source
US20100067886A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Ir laser optics system for dielectric treatment module
US20100068897A1 (en) * 2008-09-16 2010-03-18 Tokyo Electron Limited Dielectric treatment platform for dielectric film deposition and curing
US20100104852A1 (en) * 2008-10-23 2010-04-29 Molecular Imprints, Inc. Fabrication of High-Throughput Nano-Imprint Lithography Templates
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US8637396B2 (en) * 2008-12-01 2014-01-28 Air Products And Chemicals, Inc. Dielectric barrier deposition using oxygen containing precursor
JPWO2010082250A1 (ja) * 2009-01-13 2012-06-28 パナソニック株式会社 半導体装置及びその製造方法
US9212420B2 (en) * 2009-03-24 2015-12-15 Tokyo Electron Limited Chemical vapor deposition method
US20120025180A1 (en) * 2009-04-01 2012-02-02 Ason Technology Co., Ltd. Organic electroluminescent device
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
US7989365B2 (en) 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US8449942B2 (en) 2009-11-12 2013-05-28 Applied Materials, Inc. Methods of curing non-carbon flowable CVD films
US8247332B2 (en) 2009-12-04 2012-08-21 Novellus Systems, Inc. Hardmask materials
US8753986B2 (en) 2009-12-23 2014-06-17 Air Products And Chemicals, Inc. Low k precursors providing superior integration attributes
US20110159213A1 (en) * 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
CN102687252A (zh) 2009-12-30 2012-09-19 应用材料公司 以可变的氮/氢比所制造的自由基来生长介电薄膜的方法
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
WO2011084812A2 (en) 2010-01-06 2011-07-14 Applied Materials, Inc. Flowable dielectric using oxide liner
SG182333A1 (en) 2010-01-07 2012-08-30 Applied Materials Inc In-situ ozone cure for radical-component cvd
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8241991B2 (en) * 2010-03-05 2012-08-14 Asm Japan K.K. Method for forming interconnect structure having airgap
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US20110232677A1 (en) * 2010-03-29 2011-09-29 Tokyo Electron Limited Method for cleaning low-k dielectrics
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
KR101019065B1 (ko) * 2010-06-23 2011-03-07 (주)제이 앤 엘 테크 나노 박막을 코팅한 대전방지 기능을 갖는, 전자부품 포장용 포장재 및 그 제조방법
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US8481412B2 (en) * 2010-09-29 2013-07-09 Taiwan Semiconductor Manufacturing Company, Ltd. Method of and apparatus for active energy assist baking
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8889544B2 (en) * 2011-02-16 2014-11-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric protection layer as a chemical-mechanical polishing stop layer
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8492170B2 (en) 2011-04-25 2013-07-23 Applied Materials, Inc. UV assisted silylation for recovery and pore sealing of damaged low K films
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US9404178B2 (en) 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US20130217240A1 (en) * 2011-09-09 2013-08-22 Applied Materials, Inc. Flowable silicon-carbon-nitrogen layers for semiconductor processing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US8778703B2 (en) * 2011-11-23 2014-07-15 University Of Central Florida Research Foundation, Inc. Extremely non-degenerate two photon absorption optical sensing method, apparatus and applications
US20130175680A1 (en) * 2012-01-10 2013-07-11 International Business Machines Corporation Dielectric material with high mechanical strength
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
WO2013165529A2 (en) 2012-05-03 2013-11-07 Poniatowski Lauren H Systems and methods for analyzing surgical techniques
CN102683174B (zh) * 2012-05-04 2014-09-03 上海华力微电子有限公司 一种提高金属-绝缘体-金属电容器介电质质量的方法
US10832904B2 (en) 2012-06-12 2020-11-10 Lam Research Corporation Remote plasma based deposition of oxygen doped silicon carbide films
US10325773B2 (en) 2012-06-12 2019-06-18 Novellus Systems, Inc. Conformal deposition of silicon carbide films
US10211310B2 (en) 2012-06-12 2019-02-19 Novellus Systems, Inc. Remote plasma based deposition of SiOC class of films
US9234276B2 (en) 2013-05-31 2016-01-12 Novellus Systems, Inc. Method to obtain SiC class of films of desired composition and film properties
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US9330989B2 (en) 2012-09-28 2016-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. System and method for chemical-mechanical planarization of a metal layer
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US9337068B2 (en) 2012-12-18 2016-05-10 Lam Research Corporation Oxygen-containing ceramic hard masks and associated wet-cleans
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
TW201430952A (zh) * 2013-01-22 2014-08-01 Univ Nat Taiwan 製作中介層之方法
US9018108B2 (en) 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US9150458B2 (en) 2013-01-28 2015-10-06 King Abdulaziz University Method of increasing the hardness of wurtzite crystalline materials
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
WO2014158351A1 (en) * 2013-03-13 2014-10-02 Applied Materials, Inc. Post treatment for constant reduction with pore generation on low-k dielectric films
US10297442B2 (en) 2013-05-31 2019-05-21 Lam Research Corporation Remote plasma based deposition of graded or multi-layered silicon carbide film
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
KR102335891B1 (ko) 2013-12-26 2021-12-03 어플라이드 머티어리얼스, 인코포레이티드 유동성 막들의 광-보조 증착
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9954045B2 (en) 2014-05-07 2018-04-24 Sharp Kabushiki Kaisha Electroluminescence device and method for producing same
US9443723B2 (en) * 2014-07-08 2016-09-13 GlobalFoundries, Inc. Integrated circuits with an insultating layer and methods for producing such integrated circuits
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
KR102272553B1 (ko) 2015-01-19 2021-07-02 삼성전자주식회사 반도체 장치 및 이의 제조 방법
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US20180047898A1 (en) 2015-03-09 2018-02-15 Versum Materials Us, Llc Process for depositing porous organosilicate glass films for use as resistive random access memory
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US20160314964A1 (en) 2015-04-21 2016-10-27 Lam Research Corporation Gap fill using carbon-based films
KR102328108B1 (ko) 2015-05-08 2021-11-17 삼성전자주식회사 배선 구조물, 배선 구조물의 형성 방법 및 반도체 장치의 제조 방법
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US9947576B2 (en) 2015-07-13 2018-04-17 Applied Materials, Inc. UV-assisted material injection into porous films
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
EP3400188A4 (en) * 2016-01-06 2019-08-07 Oren Technologies, LLC CONVEYOR WITH INTEGRATED DUST COLLECTOR SYSTEM
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
CN109328132A (zh) * 2016-06-22 2019-02-12 波默先进制造解决方案有限公司 用于生成三维物体的方法和装置
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
US10381226B2 (en) 2016-07-27 2019-08-13 Asm Ip Holding B.V. Method of processing substrate
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
MX2019001853A (es) 2016-08-16 2019-07-01 Donaldson Co Inc Separacion de fluido hidrocarbonado-agua.
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10249489B2 (en) 2016-11-02 2019-04-02 Versum Materials Us, Llc Use of silyl bridged alkyl compounds for dense OSG films
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10002787B2 (en) 2016-11-23 2018-06-19 Lam Research Corporation Staircase encapsulation in 3D NAND fabrication
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9837270B1 (en) 2016-12-16 2017-12-05 Lam Research Corporation Densification of silicon carbide film using remote plasma treatment
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
TWI674629B (zh) * 2017-01-12 2019-10-11 國立中山大學 以超臨界流體處理電子元件之方法
US11101141B2 (en) 2017-01-12 2021-08-24 National Sun Yat-Sen University Kz Method for reducing defects of electronic components by a supercritical fluid
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US20190134663A1 (en) * 2017-10-27 2019-05-09 Versum Materials Us, Llc Silacyclic Compounds and Methods for Depositing Silicon-Containing Films Using Same
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
CN111316417B (zh) 2017-11-27 2023-12-22 阿斯莫Ip控股公司 与批式炉偕同使用的用于储存晶圆匣的储存装置
WO2019103610A1 (en) 2017-11-27 2019-05-31 Asm Ip Holding B.V. Apparatus including a clean mini environment
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11164739B2 (en) * 2018-02-08 2021-11-02 Versum Materials Us, Llc Use of silicon structure former with organic substituted hardening additive compounds for dense OSG films
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
EP3737779A1 (en) 2018-02-14 2020-11-18 ASM IP Holding B.V. A method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
BR112020016651A2 (pt) * 2018-02-15 2020-12-15 Donaldson Company, Inc. Tratamentos de substrato
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
US10668511B2 (en) * 2018-03-20 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of cleaning process chamber
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TWI811348B (zh) 2018-05-08 2023-08-11 荷蘭商Asm 智慧財產控股公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
US11499222B2 (en) 2018-06-27 2022-11-15 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
CN113166937A (zh) * 2018-11-27 2021-07-23 弗萨姆材料美国有限责任公司 1-甲基-1-异丙氧基-硅杂环烷烃和由其制备的致密有机硅膜
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
JP2021019198A (ja) 2019-07-19 2021-02-15 エーエスエム・アイピー・ホールディング・ベー・フェー トポロジー制御されたアモルファスカーボンポリマー膜の形成方法
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
TW202125596A (zh) 2019-12-17 2021-07-01 荷蘭商Asm Ip私人控股有限公司 形成氮化釩層之方法以及包括該氮化釩層之結構
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
TW202140831A (zh) 2020-04-24 2021-11-01 荷蘭商Asm Ip私人控股有限公司 形成含氮化釩層及包含該層的結構之方法
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1187903A (zh) * 1995-06-15 1998-07-15 联合讯号公司 用于微电子学结构的电子束处理的膜
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
CN1319883A (zh) * 2000-01-31 2001-10-31 摩托罗拉公司 用于低k膜形成的紫外矫正方法和装置
CN1458947A (zh) * 2000-09-18 2003-11-26 Lg化学株式会社 一种制备有机硅酸盐聚合物的方法

Family Cites Families (51)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US102413A (en) * 1870-04-26 Improvement in adjustable feet for clock-cases
US32300A (en) * 1861-05-14 Denis lenain
US106500A (en) * 1870-08-16 Improvement in seeders and tertiiiizers combined
US38919A (en) * 1863-06-16 Improved means of setting up ships rigging
US54115A (en) * 1866-04-24 Improved hair-curling fluid
US142585A (en) * 1873-09-09 Improvement in saw filing and setting machines
US2684050A (en) * 1950-06-03 1954-07-20 Western Electric Co Apparatus for coating cables
JPS59124729A (ja) * 1983-01-05 1984-07-18 Nippon Telegr & Teleph Corp <Ntt> 絶縁膜形成方法
JPS60177029A (ja) * 1984-02-21 1985-09-11 Toray Silicone Co Ltd オルガノポリシロキサン組成物の硬化方法
JPH0812847B2 (ja) 1991-04-22 1996-02-07 株式会社半導体プロセス研究所 半導体製造装置及び半導体装置の製造方法
US5387546A (en) 1992-06-22 1995-02-07 Canon Sales Co., Inc. Method for manufacturing a semiconductor device
CN100483651C (zh) * 1992-08-27 2009-04-29 株式会社半导体能源研究所 半导体器件的制造方法
US5970384A (en) * 1994-08-11 1999-10-19 Semiconductor Energy Laboratory Co., Ltd. Methods of heat treating silicon oxide films by irradiating ultra-violet light
US5609925A (en) * 1995-12-04 1997-03-11 Dow Corning Corporation Curing hydrogen silsesquioxane resin with an electron beam
JP2955986B2 (ja) * 1996-05-22 1999-10-04 日本電気株式会社 半導体光変調器及びその製造方法
US5935646A (en) * 1996-08-23 1999-08-10 Gas Research Institute Molecular sieving silica membrane fabrication process
US6017806A (en) * 1997-07-28 2000-01-25 Texas Instruments Incorporated Method to enhance deuterium anneal/implant to reduce channel-hot carrier degradation
US6042994A (en) * 1998-01-20 2000-03-28 Alliedsignal Inc. Nanoporous silica dielectric films modified by electron beam exposure and having low dielectric constant and low water content
US6784123B2 (en) * 1998-02-05 2004-08-31 Asm Japan K.K. Insulation film on semiconductor substrate and method for forming same
JP2003051283A (ja) 1998-02-20 2003-02-21 Matsushita Electric Ind Co Ltd 無水銀メタルハライドランプ
US6231989B1 (en) * 1998-11-20 2001-05-15 Dow Corning Corporation Method of forming coatings
US6472076B1 (en) * 1999-10-18 2002-10-29 Honeywell International Inc. Deposition of organosilsesquioxane films
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6582777B1 (en) * 2000-02-17 2003-06-24 Applied Materials Inc. Electron beam modification of CVD deposited low dielectric constant materials
US6759098B2 (en) 2000-03-20 2004-07-06 Axcelis Technologies, Inc. Plasma curing of MSQ-based porous low-k film materials
US20030157267A1 (en) * 2000-03-20 2003-08-21 Carlo Waldfried Fluorine-free plasma curing process for porous low-k materials
US6913796B2 (en) 2000-03-20 2005-07-05 Axcelis Technologies, Inc. Plasma curing process for porous low-k materials
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
EP1189267B1 (en) * 2000-04-03 2012-05-23 Ulvac, Inc. Method for preparing porous sio2 film
US6806161B2 (en) * 2000-04-28 2004-10-19 Lg Chem Investment, Ltd. Process for preparing insulating material having low dielectric constant
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6566278B1 (en) * 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
SG98468A1 (en) 2001-01-17 2003-09-19 Air Prod & Chem Organosilicon precursors for interlayer dielectric films with low dielectric constants
US20020132496A1 (en) 2001-02-12 2002-09-19 Ball Ian J. Ultra low-k dielectric materials
JP4545973B2 (ja) * 2001-03-23 2010-09-15 富士通株式会社 シリコン系組成物、低誘電率膜、半導体装置および低誘電率膜の製造方法
TW540118B (en) 2001-03-28 2003-07-01 United Microelectronics Corp Method for increasing the surface wetability of low dielectric constant material
US6630406B2 (en) 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US7074489B2 (en) * 2001-05-23 2006-07-11 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
US7141188B2 (en) * 2001-05-30 2006-11-28 Honeywell International Inc. Organic compositions
US20030054115A1 (en) 2001-09-14 2003-03-20 Ralph Albano Ultraviolet curing process for porous low-K materials
US7384471B2 (en) 2002-04-17 2008-06-10 Air Products And Chemicals, Inc. Porogens, porogenated precursors and methods for using the same to provide porous organosilica glass films with low dielectric constants
US6846515B2 (en) * 2002-04-17 2005-01-25 Air Products And Chemicals, Inc. Methods for using porogens and/or porogenated precursors to provide porous organosilica glass films with low dielectric constants
EP1420439B1 (en) 2002-11-14 2012-08-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7404990B2 (en) * 2002-11-14 2008-07-29 Air Products And Chemicals, Inc. Non-thermal process for forming porous low dielectric constant films
US7041748B2 (en) * 2003-01-08 2006-05-09 International Business Machines Corporation Patternable low dielectric constant materials and their use in ULSI interconnection
US7288292B2 (en) 2003-03-18 2007-10-30 International Business Machines Corporation Ultra low k (ULK) SiCOH film and method
US20040197474A1 (en) 2003-04-01 2004-10-07 Vrtis Raymond Nicholas Method for enhancing deposition rate of chemical vapor deposition films
JP4344841B2 (ja) 2003-05-30 2009-10-14 独立行政法人産業技術総合研究所 低誘電率絶縁膜の形成方法
KR100554157B1 (ko) 2003-08-21 2006-02-22 학교법인 포항공과대학교 저유전 특성의 유기 실리케이트 고분자 복합체
US20050048795A1 (en) * 2003-08-27 2005-03-03 Chung-Chi Ko Method for ultra low-K dielectric deposition

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1187903A (zh) * 1995-06-15 1998-07-15 联合讯号公司 用于微电子学结构的电子束处理的膜
US6284050B1 (en) * 1998-05-18 2001-09-04 Novellus Systems, Inc. UV exposure for improving properties and adhesion of dielectric polymer films formed by chemical vapor deposition
CN1319883A (zh) * 2000-01-31 2001-10-31 摩托罗拉公司 用于低k膜形成的紫外矫正方法和装置
CN1458947A (zh) * 2000-09-18 2003-11-26 Lg化学株式会社 一种制备有机硅酸盐聚合物的方法

Also Published As

Publication number Publication date
US7098149B2 (en) 2006-08-29
US7932188B2 (en) 2011-04-26
JP2009010400A (ja) 2009-01-15
US7468290B2 (en) 2008-12-23
JP4913782B2 (ja) 2012-04-11
US20040175957A1 (en) 2004-09-09
CN101621001A (zh) 2010-01-06
US20040175501A1 (en) 2004-09-09
US20090054674A1 (en) 2009-02-26

Similar Documents

Publication Publication Date Title
CN101621001B (zh) 通过紫外光辐射改善致密和多孔有机硅酸盐材料的机械性能
CN100543947C (zh) 用来沉积低介电常数的有机硅酸盐薄膜的混合物
US7470454B2 (en) Non-thermal process for forming porous low dielectric constant films
KR100907387B1 (ko) 환원 분위기 하에서 유전 필름을 경화시키는 방법
US6852647B2 (en) Removable amorphous carbon CMP stop
KR101006329B1 (ko) 원자외선 레이저 어닐링 및 SiCOH 박막의 안정화
JP2004320005A (ja) 有機シリカ多孔性膜製造のための化学気相成長方法
KR100609305B1 (ko) 다공성 저 유전율 필름을 형성하기 위한 비-열적 방법
US7105460B2 (en) Nitrogen-free dielectric anti-reflective coating and hardmask
CN101101876A (zh) 在还原气氛下固化介电膜
CN100481344C (zh) 无氮介电防反射涂层和硬掩模

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
TR01 Transfer of patent right
TR01 Transfer of patent right

Effective date of registration: 20170629

Address after: Arizona, USA

Patentee after: Versum Materials US, LLC

Address before: American Pennsylvania

Patentee before: Air Products and Chemicals, Inc.

CX01 Expiry of patent term
CX01 Expiry of patent term

Granted publication date: 20130925