CN101687219A - 低k介电材料 - Google Patents

低k介电材料 Download PDF

Info

Publication number
CN101687219A
CN101687219A CN200880021971A CN200880021971A CN101687219A CN 101687219 A CN101687219 A CN 101687219A CN 200880021971 A CN200880021971 A CN 200880021971A CN 200880021971 A CN200880021971 A CN 200880021971A CN 101687219 A CN101687219 A CN 101687219A
Authority
CN
China
Prior art keywords
film
colloidal solution
fluid colloidal
cosolvent
fluid
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN200880021971A
Other languages
English (en)
Inventor
马克·L.F.·菲利普斯
特拉维斯·P.S.·托马斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
SBA Materials Inc
Original Assignee
SBA Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by SBA Materials Inc filed Critical SBA Materials Inc
Publication of CN101687219A publication Critical patent/CN101687219A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/312Organic layers, e.g. photoresist
    • H01L21/3121Layers comprising organo-silicon compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02203Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being porous
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02282Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process liquid deposition, e.g. spin-coating, sol-gel techniques, spray coating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/24Structurally defined web or sheet [e.g., overall dimension, etc.]
    • Y10T428/24802Discontinuous or differential coating, impregnation or bond [e.g., artwork, printing, retouched photograph, etc.]
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T428/00Stock material or miscellaneous articles
    • Y10T428/249921Web or sheet containing structurally defined element or component
    • Y10T428/249953Composite having voids in a component [e.g., porous, cellular, etc.]

Abstract

本发明提供一种形成为溶胶的新型组成的旋涂介电材料,其含有诸如单独的原硅酸酯或与烷基化的原硅酸酯混合的硅源、极性溶剂、水、可以是强酸催化剂的酸催化剂和两性嵌段共聚物表面活性剂,任选地含有有机酸、共溶剂和/或反应性溶剂。本发明还提供制备溶胶的方法、从旋涂介电材料制作并具有所需的电气和机械性能的膜、处理膜以优化膜的电气和机械性能的方法以及在硅、钢或其他表面上沉积膜的方法。

Description

低k介电材料
相关申请的交互参照
[0001]本申请要求于2007年6月15日提交的美国临时专利申请No.60/934,725的权益,在此引入其全部内容作为参考。
技术领域
[0002]本发明涉及低介电常数的介电材料、其制备方法、从该介电材料例如通过旋涂、喷涂、浸涂、拉涂和喷墨印刷形成膜的方法以及沉积和处理该膜的方法。
背景技术
[0003]介电材料的一种属性是介电常数。为了某些目的,希望使用低介电常数的材料。通常,层间介电半导体薄膜的制造首先通过在诸如半导体基底等的表面上沉积所需的薄膜来进行。沉积薄膜的一种常见方法是旋涂沉积。在旋涂沉积期间,薄膜用的前体溶液涂布到半导体晶片上,在沉积期间或之后,晶片以足够高的速度旋转(快速旋转步骤),使前体溶液的层变薄。在快速旋转步骤期间和之后,允许溶剂蒸发,留下介电材料的干膜。然而,通常,常用的介电材料中的一些要求后续处理,包括在高温下固化薄膜。高温固化步骤可以将前体分子凝聚或交联在一起,形成更紧密、更结实的低介电常数膜。此外,固化步骤频繁用于使聚合物致孔剂“破裂”成在固化膜中挥发而产生孔隙或空隙的更挥发性的化学片段,从而降低介电常数。固化步骤可以在只使用热能的炉子中进行。或者,膜可以在加热和暴露于紫外线下的同时固化。膜也可以通过电子束照射而固化。
[0004]本发明描述了制备多孔介电膜的一系列技术,该膜具有异常范围的k值(1.3-3.0)、扩大的杨氏模量-k包封、与硅和铜的优异的CTE匹配、低膜应力和低裂纹扩展速率,并且与其他多孔介电材料相比,可以在低温下固化。这些特点源于用于制备膜的溶液组成的改善和用于处理膜的技术的改进的结合。最重要的是,这些膜可以在极短的烘烤和固化时间内处理,大大降低了总处理时间,并提高了设备生产速率。
[0005]制备多孔介电膜的目前技术的不足之处在于至少一种上述因素。值得注意的是,介电膜和铜之间的CTE不匹配在加工过程中造成了故障:使用双镶嵌工艺制作的ULSI(超大规模集成电路)器件可以含有11层或更多层,并且固化连续层所需的反复温度循环导致与破裂和分层相关的故障。更重要的是,ULSI器件需要逐步更细的导线尺寸和间距,以提高晶体管密度,并且32nm或更小节点尺寸的内置器件需要k值小于2.2的介电材料,以防止串扰和电容损失。此外,半导体制造商希望固化温度低于400℃,以保护热敏感的元件。此外,大量的旋涂多孔介电膜对于环境中的水分敏感,必须在干燥条件下处理,以防止伴随有k和敏感性增加的破裂。
[0006]沉积介电膜的另一种常用方法是化学气相沉积(CVD)。该工艺需要非常昂贵的设备。此外,它最适于生产介电常数(k)为2.5~3的膜。由于满足应用要求所需的粘合和包封层的原因,有效介电常数一般高于CVD介电材料。k值较低的CVD膜出现与机械稳定性相关的问题,如差的粘合或分层、高膜应力、不可接受的裂纹扩展速率和/或低杨氏模量。此外,似乎仍难制作k值小于2.3的CVD膜,而本发明中的膜具有1.3~3.0的k值。此外,与CVD和其他旋涂膜相比,本发明中的膜在1.3~2.6的特定介电常数下具有较好的机械性能(根据通过模量和裂纹扩展的测量),并且水解稳定性有所改善。
[0007]因此,需要能够快速、有效地固化旋涂薄膜,同时保持低介电常数、高介电强度、低损耗因数和高机械强度的组合物。
[0008]更具体而言,绝缘薄膜的介电常数仅是制作在半导体器件中正确起作用的膜所需的多种属性中的一种属性。膜在机械方面还必须坚固耐用,既要经受得住化学机械抛光(CMP)步骤又要抑制裂纹扩展。此外,膜必须能够抵抗CMP后的清洁期间的灰化损坏,并且必须能够抵抗大气中水分的攻击。传统的CVD材料具有针对ULK介电材料的这两种性质方面的限制。此外,膜的热膨胀系数(CTE)应该接近于铜和硅的CTE,介电材料中的孔隙(如有的话)必须很小(5nm或更小),以避免铜迁移,并且在随后的热处理期间,膜的除气应该最小。最佳固化温度取决于应用,因为一些器件需要比另一些器件更低的固化温度。也取决于使用的表面活性剂、膜厚度、固化期间的气氛、固化所用时间和所需的电气和机械性能。大部分膜在250~500℃的温度下固化,更优选350~425℃的温度。
[0009]一般认为,膜的杨氏模量是CMP抵抗性和裂纹扩展速率的指示,本发明受到这个假设的指导。很可能断裂韧度是比模量更可靠的机械强度指示。因此,本发明的目的是制作具有与介电常数或E-k包封相比扩大的模量的膜,即与现有技术相比在特定k值下具有增加的杨氏模量。本发明的进一步目的是制作在低于400℃的温度下固化后具有可接受的机械和电气性能的膜。
发明内容
[0010]本发明通过提供新型组成的旋涂介电材料克服了上述缺点。
[0011]在一个实施方案中,提供一种流体胶态溶液,其含有二氧化硅源、极性溶剂、水、酸催化剂和两性嵌段共聚物表面活性剂。
[0012]在更具体的实施方案中,提供一种溶胶(也称作水溶胶),其含有单独的原硅酸酯或与烷基化的原硅酸酯混合、极性溶剂、水、酸催化剂和两性嵌段共聚物表面活性剂。
[0013]在另一个实施方案中,上述流体胶态溶液还含有可以是极性或非极性的第二种共溶剂以及有机酸形式的额外酸。
[0014]还提供一种制备低介电膜的方法,其包括:将二氧化硅源、极性溶剂、水、酸催化剂、聚合物表面活性剂和共溶剂混合。
[0015]在另一个实施方案中,提供一种制备低介电膜的方法,其包括:将二氧化硅源与极性溶剂混合形成部分A;单独地将水、酸催化剂和聚合物表面活性剂与极性溶剂混合形成部分B;和将部分A和部分B混合形成流体胶态溶液。
[0016]在一个实施方案中,提供一种制备低介电膜的方法,其包括:将二氧化硅源与极性溶剂混合形成部分A;单独地将水、酸催化剂和聚合物表面活性剂与极性溶剂混合形成部分B;将部分A和部分B混合形成流体胶态溶液;和在形成低介电膜的条件下于表面上沉积所述流体胶态溶液。
[0017]在其他实施方案中,提供通过上述方法形成的介电膜。
[0018]在一个实施方案中,提供一种介电膜,其含有金属或非金属氧化物和两性嵌段共聚物模板,所述金属或非金属氧化物包括M-O键,其中用M-R键部分地代替M-O键,其中M是金属,O是氧,R是烷基或芳基,以及可以通过热作用去除两性嵌段共聚物模板。
[0019]还提供在退火之前热/或化学处理旋涂的溶胶的方法以及退火条件(例如,气氛、紫外线照射)。
附图说明
[0020]为更全面地理解本发明,下面结合附图进行说明,在附图中:
[0021]图1示出在本发明的膜的特定介电常数值下最大杨氏模量的轨迹。
[0022]图2a示出制备双组分溶胶和其在晶片上沉积成膜的流程图。
[0023]图2b示出制备单组分溶胶和其在晶片上沉积成膜的流程图。
[0024]图3a是未固化的膜的TEM图像。
[0025]图3b是在400℃的炉中固化后的膜的TEM图像。
[0026]图4是在空气中退火的膜组成中k和ETES浓度之间关系的示图。
[0027]图5是在空气中退火的膜组成中k和P104浓度之间关系的示图。
[0028]图6是在CO2中退火的膜组成中k和ETES浓度之间关系的示图。
[0029]图7是在CO2中退火的膜组成中k和P104浓度之间关系的示图。
[0030]图8是在合成气中退火的膜组成中k和ETES浓度之间关系的示图。
[0031]图9是在合成气中退火的膜组成中k和P104浓度之间关系的示图。
[0032]图10是在湿氮气中退火的膜组成中k和ETES浓度之间关系的示图。
[0033]图11是在湿氮气中退火的膜组成中k和P104浓度之间关系的示图。
[0034]图12是在真空中退火的膜组成中k和ETES浓度之间关系的示图。
[0035]图13是在真空中退火的膜组成中k和P104浓度之间关系的示图。
[0036]图14是在干氮气中退火的膜组成中k和ETES浓度之间关系的示图。
[0037]图15是在干氮气中退火的膜组成中k和P104浓度之间关系的示图。
具体实施方式
[0038]本发明提供一种从溶胶形成的新型旋涂介电组合物,所述溶胶含有随着溶胶干燥而产生溶致液晶溶液的成分。这种溶致溶液可能会随着溶胶干燥而向溶胶传达命令或者不会传达命令,但是具有在去除表面活性剂模板后产生均匀的孔径分布的作用。溶胶含有影响材料被均匀涂布在基底上的能力或影响去除模板后的膜介电常数的几种成分。这些成分是:(1)二氧化硅源、(2)极性溶剂、(3)水、(4)酸催化剂和(5)两性嵌段共聚物表面活性剂。任选地,可以加入共溶剂、有机酸和/或反应性溶剂。酸催化剂优选是强酸催化剂,并且可以是无机或有机的。
(1)二氧化硅源
[0039]二氧化硅源更尤其是硅酸酯的混合物。优选地,其包括四乙氧基硅烷(更常称为原硅酸四乙酯,简写为TEOS),或与烷基化的硅酸酯(如甲基三乙氧基硅烷(MTES)、乙基三乙氧基硅烷(ETES)或乙烯基三乙氧基硅烷(VTES))的组合。在某些情况下,可能有利的是使用硅酸或者乙基之外的诸如甲基、丙基或丁基等烷基-硅酸的酯。还可能有利的是包括诸如乙氧基-末端的聚(二甲基硅氧烷)等聚硅氧烷。其他组合可以包括:苯基化的硅酸酯、烷基化或苯基化的硅酸酯,其中烯烃、烷烃或苯基取代基含有巯基、氨基、卤素或羟基或者其他所需的部分。此外,还可以包括甲氧基-末端的酯,如甲基三甲氧基硅烷、四甲氧基硅烷和甲氧基-末端的聚(二甲基硅氧烷)。特别地,可能有用的是将TEOS和MTES与另一种单-或二-烷基取代的硅酸酯如乙基三乙氧基硅烷(ETES)或二甲基二甲氧基硅烷(DMDS)组合。
[0040]TEOS与其他成分的比例影响最终膜中的几种量,包括介电常数(k)、弹性模量、硬度和水接触角。特别地,对于TEOS与MTES的混合物的情况,具有0.83~2的TEOS/MTES摩尔比的溶胶产生具有低k值和可接受的杨氏模量的膜。
(2)极性溶剂
[0041]极性溶剂影响特定转速下的干燥速率,并因而影响膜厚。其还影响k和模量,可能是通过随着溶液干燥而改变了溶液的结构。特别地,据观察,使用非质子溶剂降低了经退火的厚度减少或收缩量。这种膜具有比使用诸如轻质醇类等质子溶剂制备的膜更低的k和更低的模量。此外,少量的反应性溶剂如环氧丙烷也减少了收缩、k和模量。减少收缩可提供减少膜应力的辅助益处。
[0042]乙醇产生具有可接受的k和模量值的高品质膜。乙腈降低k,而且也降低模量。环氧丙烷可以部分地取代乙醇,进一步降低k。可以使用的其他溶剂包括:正丙醇、异丙醇、乙酰乙酸乙酯、其他短链醇(如甲醇、异丁醇)、酯类(如乙酸乙酯或乙酸丙酯)以及单-和二-取代的二醇醚(如如2-乙氧基乙醇和乙二醇二甲醚)。此外,可以使用丙酮和四氢呋喃。
(3)水
[0043]水对于产生固体膜至关重要,并且通过水解硅酸酯产生二氧化硅-表面活性剂的纳米复合材料而起作用。H2O/Si的比例影响膜的模量和溶胶适用期。已经发现,H2O/Si摩尔比为3~8是有效的,尤其为6。
(4)酸催化剂
[0044]水需要催化剂以水解硅酸酯。优选的是经焙烧或退火后不会留下任何金属或卤化物残渣的强酸,并且存在浓度为0.01mol/L H2O~1.0mol/L,特别是0.1mol/L H2O。有效的酸催化剂的例子包括硝酸(HNO3)、草酸(H2C2O4)和方酸(H2C4O4)。后者同时提高了最终膜的k和模量。可以使用较弱的酸(如,乙酸、羟基乙酸、柠檬酸),但是膜中存在强酸通常具有更低的杨氏模量。
(5)有机酸
[0045]具有比用作催化剂的强酸更低的电离常数的有机酸可以包含在溶胶中。如果膜最初在中等温度(120-150℃,特别是130℃)下进行“软烘烤”,则这种酸具有提高最终膜中的硬度和弹性模量的效果。其也将增加介电常数。大多数的酸在退火中分解和挥发,尽管可能希望酸留下一些含碳残渣。有效的酸的例子包括柠檬酸、羟基乙酸、苯甲酸、抗坏血酸、水杨酸以及任何短链羧酸或芳基取代的酸,此外,还可以具有羟基(如乳酸)或羟基取代的苯(如连苯三酚)。
(6)两性嵌段共聚物表面活性剂
[0046]两性共聚物表面活性剂被加入以产生在干燥时形成氧化物-聚合物纳米复合材料的溶致溶液。所希望的是,这种表面活性剂是电中性的并且在低温(300-500℃)下分解。其例子包括以PluronicTM表面活性剂例示的聚(环氧乙烷)-嵌段-聚(环氧丙烷)-嵌段-聚(环氧乙烷)洗涤剂,PluronicTM是BASF的商品名。其含有浓度为2-10%w/v,通常浓度为4-9%。在一定浓度下,降低PluronicTM分子量可增大k。提高Pluronic的浓度可降低k和模量。两性共聚物表面活性剂的其他例子是Stucky等人的美国专利No.7,176,245中记载的两性共聚物,该专利的标题为:“Blockcopolymer processing for mesostructured inorganic oxide materials”,在此引入其说明书作为参考。
[0047]已经发现,使用商品名为Pluronic P104的PEO-PPO-PEO三嵌段共聚物与其他表面活性剂相比在模板化具有特定模量、k值和显微结构的能力方面具有一些优点。这并不限制其他聚合物表面活性剂在本发明中的应用,其中许多表现出相当令人满意的性能。在表面活性剂的Pluronic家族中,似乎亲水性(EO)与疏水性(PO)的链长度具有最佳比例,而且这种比例取决于表面活性剂的分子量。例如,在5.9千道尔顿(大约P104的分子量)时,对于给定k值的最高模量被发现在EO/PO比例为约4时。在1.85千道尔顿时,最佳EO/PO降低,Pluronic L43是最有效的。相反,在12.6kD时,最佳EO/PO比例增加,Pluronic F127是最有效的。
[0048]其他聚合物表面活性剂如逆向Pluronics(PO-EO-PO)、PEO-PBO-PEO(PBO=聚丁烯)、Brij(特别地,但不限于Brij 56)和Tergitol已被测试并且是有效的。
[0049]表面改性的树枝状聚合物如PAMAM可以有效地模板化多孔低k膜,虽然固化要求可能不同于PEO-PPO表面活性剂。
(7)共溶剂
[0050]共溶剂可被加到由上述成分构成的溶胶中,以提高旋涂膜的质量和控制厚度。许多溶剂是有效的。最有效的是乳酸乙酯、丙二醇甲醚乙酸酯以及单-和二-二醇醚和其酯类,特别是二甘醇乙醚(2,2-EEE)和二甘醇乙醚乙酸酯(2,2-EEE乙酸酯)。
[0051]在选择共溶剂时有几个因素要考虑,特别是蒸发速率和毒性。旋转涂布机的配置和目标膜厚的某些组合将使一种溶剂比另一种溶剂有利。对于300nm或更薄的膜,低蒸发速率溶剂如2,2-EEE、2,2-EEE乙酸酯和二丙二醇单甲醚是最优的。
[0052]无效溶剂的常见故障模式是过度条纹和/或厚度不均匀性(即,膜是过度凸或凹的),通过观察,可以确定哪些溶剂作为共溶剂是无效的。对于给定的溶剂选择的过度条纹测试可以按下述进行:混合溶胶与待测试的溶剂,将溶胶分配到晶片上,以及对于8″直径和更大的晶片以1000~3000rpm的速度旋转晶片。如果产生的干膜的光学和厚度均匀性被认为是可以接受的,则共溶剂通过条纹测试。可以使用具有允许用户可编程的分配速率的旋转涂布机进行厚度不均匀性的测试。在所需速度下旋转至少8″直径、优选12″直径的晶片,通常速度为1000-2400rpm。以不同速率或速率组合分配溶胶,直到产生具有所需厚度均匀性的膜。如果不能找到产生所需均匀性的分配方案,则共溶剂未通过测试。
[0053]重要的是,在接近实际使用的条件下测试共溶剂。特别地,测试晶片的直径和转速应该与生产时使用的晶片尺寸和转速类似或相同。此外,在一种型号的涂布机上通过均匀性测试的共溶剂可能未通过在另一种型号的涂布机上的测试。
[0054]已经被发现是有效的溶剂的部分列表如下:
2,2-EEE
2,2-EEE乙酸酯
二甘醇二甲醚
乙二醇二甲醚
四氢呋喃(THF)
乙酸乙酯
乳酸乙酯
丙二醇甲醚乙酸酯(PGMEA)
PGME,其他的二醇醚
乙腈
2-丁酮(MEK)
2-乙氧基乙醇
乙酸丙酯
乙酰乙酸乙酯
三氯乙烯
二丙二醇单甲醚(Dowanol DPMTM,Dow的商标)
丙二醇丙醚
碳酸二乙酯
已经被发现是无效的溶剂的部分列表如下:
N-甲基2-吡咯烷酮(NMP)
乙二醇二乙酸酯
N-甲基甲酰胺
二甲基甲酰胺
丙二酸二乙酯
2,4-戊二酮
戊醇
碳酸亚丙酯
碳酸亚乙酯
γ-丁内酯
制备
[0055]本发明还包括制备溶胶的方法。有两种主要方法。在第一种方法中,一次性或分阶段混合各组分,形成可以立即或在制备超过6个月后使用的溶胶。其也被称为单组分或“1-c”溶胶。在第二种方法中,以一直到使用时防止水解反应发生的方式混合各组分。这是通过将含有二氧化硅的组分混合到溶液中实现的,可以称为“部分A”,然后单独地将水和酸催化剂与其他组分混合并加到单独的溶液中,可以称为“部分B”。这个体系被称为双组分或“A/B”溶胶。
[0056]在第一种(1-c)的情况下,通常,通过混合硅酸酯与乙醇,然后加入含有乙醇、水和强酸催化剂的溶液,从而形成溶胶。在水解反应开始时或几天之后,单独地加入含有聚合物表面活性剂的另一种溶液,或与水和/或乙醇组合加入。理想地,聚合物表面活性剂溶液在混合之前用0.22μm或更小的过滤器进行预过滤。在一些应用中,可能需要对聚合物溶液进行离子交换,以去除不想要的金属离子,如Na+。然后加入所需浓度的共溶剂。任选地,可以在制造时加入额外的共溶剂,以在后来旋转时产生特定厚度的膜。作为替代方案,共溶剂可以在制造过程中加入部分量,额外的共溶剂可以在旋转之前的使用点加入以使产品“变薄”。理想地,溶剂的选择使得其在产品的额定保质期内未预期到在水和酸催化剂存在下的显著水解。
[0057]在第二种(A/B)的情况下,通常,通过混合硅酸酯与乙醇(或其他溶剂),然后单独地将水、强酸催化剂、有机酸和聚合物表面活性剂与乙醇(或其他溶剂)混合,从而形成溶胶。然后立即或在稍后日期中混合这些分别被称为部分A和部分B的溶液。可能有利的是将所产生的溶胶加热到40-60℃,以促进硅酸酯的水解。然后,水解的溶胶与共溶剂以所需的比例混合。该比例取决于预期的膜厚、晶片直径和共溶剂的蒸发速率等因素,但通常在按体积计3份溶胶:1份共溶剂与1份溶胶:1份共溶剂之间。在分配之前,通过0.10-0.22微米的过滤器过滤经稀释的溶胶。一种或两种初始溶液可以在混合之前过滤,从而提高最终过滤(在用共溶剂稀释溶胶之后)的速度和质量。
[0058]已知的是1-c溶胶的保质期超过6个月。已知的是A/B体系中的部分A和B的保质期至少6个月。A/B和1-c溶胶均可以在环境温度下保存。混合溶胶的适用期被定义为随着溶胶老化从溶胶旋涂得到的膜的介电常数的变化。通常,旋涂膜的介电常数在混合溶胶后的头8小时变化很小。老化超过16小时的溶胶通常能产生较高介电常数的膜。
[0059]更具体地,为制备1-c溶胶的例子,混合500g四乙氧基硅烷、500g甲基三乙氧基硅烷、450.6g水、1000g乙醇和5.62g 1.0N硝酸,并允许在室温下放置3天。制备第二种溶液,含有105.4g水、440g乙醇和207.6g BASF Pluronic P104嵌段共聚物表面活性剂。使用酸-交换的DowexTM Monosphere 650C UPW或Dowex HCR W2离子交换树脂或NH4-交换的沸石LTA使第二种溶液离子交换,然后经由压力槽通过0.22μm针头式过滤器过滤。3天时间后将第二种溶液加到第一种溶液中,并用1200g 2-(2-乙氧基乙氧基)乙醇稀释得到的混合物。
[0060]或者,可以通过透析而不是离子交换来纯化第二种溶液。
[0061]为制备A/B溶胶的例子,
[0062]部分A:
[0063]将3315.1g乙醇、2638.5g甲基三乙氧基硅烷、2638.5g四乙氧基硅烷放到10L培养基瓶中,混合,通过0.04μm囊式过滤器过滤,并保存。
[0064]部分B:
[0065]将1655.5g BASF P104 Pluronic表面活性剂或等效物溶解在2676.5g水、4293.6g乙醇、297.5g 1.0N硝酸和263.3g柠檬酸的溶液中。通过0.04μm囊式过滤器过滤混合物,并保存。
[0066]在使用时,混合等体积部分的“A”和“B”,并允许在使用之前在室温下老化2小时或在40℃下老化1小时。
[0067]更具体地,将6.25g的四乙氧基硅烷(TEOS)和甲基三乙氧基硅烷(MTES)与9.0g乙醇混合,制成部分A。单独地,将3.87g PluronicP104、0.62g柠檬酸和7.02g 0.1N HNO3溶解在9.0g乙醇中,制成部分B。混合这些溶液,密封,并放置在加热到40℃的水浴中1小时,形成溶胶。然后,将溶胶以所需的比例与二甘醇乙醚(2,2-EEE)混合。例如,如果所需的比例为3∶1,那么将30mL的溶胶与10mL的2,2-EEE混合。然后通过0.22μm针头式过滤器过滤稀释的溶胶,并分配在硅晶片上。稀释的溶胶优选在混合后的8小时内使用。
[0068]可以未经过在水浴上加热而形成溶胶。然而,这将增加反应时间,延迟溶液到达其水解的最终状态,直到在混合后约2-3小时。反过来,这会延迟晶片生产运行的开始。
[0069]还可以形成具有大大延长适用期的“剥离溶胶”。剥离溶胶的制备如下:混合部分A和B的成分(含有或不含柠檬酸[或其他有机酸]和Pluronic;通常不含),加热和搅拌,直到水解完成。这可能有益于在加热溶胶之前仅加入最终水量的一部分,通常约总量的1/3。加热在等于溶剂沸点或低于溶剂沸点的任何温度下进行,但经常使用60℃。加热和搅拌一直持续到水解完成;16小时一般就足够了。水解后,初始溶剂被蒸馏掉,直至剩下初始体积的1/3-1/2,之后用二氧化硅溶胶更不易受到再酯化攻击的溶剂代替失去的溶剂体积。这种溶剂可以是非质子的,如丙酮或乙腈,或可以受到阻碍,如2,2-EEE。可以使用诸如乙酰乙酸乙酯或2,2-EEE乙酸酯等酯类,但是溶剂不应该是过度酸不稳定性的。
[0070]在溶剂加入后,再次蒸馏溶胶,直至剩下初始体积的1/3-1/2,加入所需的溶剂。这样重复第三次,最终的溶剂加入补充了原始溶胶体积。加入嵌段共聚物表面活性剂,如果需要加入柠檬酸或其他有机酸,如果需要加入共溶剂,并且在分配之前过滤溶胶。
[0071]更具体地,通过将6.25g的四乙氧基硅烷(TEOS)和甲基三乙氧基硅烷(MTES)与18g乙腈和2.34g 0.3N HNO3混合可以制备乙腈基溶胶。将其在60℃下搅拌加热16小时。在诸如Büchi RotavaporTM或等效装置等真空蒸馏装置中蒸馏掉乙腈和残余乙醇(源于酯水解)和水,直到溶胶体积为初始体积的大约0.3-0.5,然后用新鲜乙腈代替。在需要时重复该过程,直到没有更多乙醇被蒸馏掉(通常约3次)。与醇基溶胶相比,这种溶胶具有大大减少的k和模量,但具有更长的适用期。或者,乙醇基溶胶可以受到上述处理,并产生同样的结果,但是溶剂去除/代替过程可能会更长。
[0072]可以使用手动或自动旋转涂布机涂布晶片,溶胶可以静态分配(即,不旋转晶片),但优选动态分配(即,旋转晶片)。典型的分配转速为400-500rpm。旋涂循环的转速取决于所需的膜厚和厚度均匀性、晶片直径、晶片卡盘的类型和旋涂碗的排气条件。这些膜可以在500~4000rpm的转速下有效地旋涂,但是通常有利地是使用稀释物,其将在1000~2000rpm的转速下产生所需的膜厚。
膜的性质和处理方法
[0073]通常,旋涂膜在130℃~150℃的温度下低温“软烘烤”5分钟至16小时,为提高晶片产量更希望更短的时间,为增大最终产品的模量更希望更长的时间。如果需要,旋涂膜在软烘烤之前在90-110℃下干燥5-20分钟;如果晶片必须在旋涂和软烘烤步骤之间保存或处理,那么进行干燥。在软烘烤后,涂布的晶片可以立即退火,但是晶片可以在软烘烤后无限期保存。
[0074]膜在200~500℃的温度下退火,更具体地在350~425℃的温度下。尽管膜可以在空气中退火,但这一般与铜镶嵌工艺不兼容,因为铜会氧化。膜可以在真空、氮气或氩气、或合成气(用N2或Ar稀释至大约5体积%以下的氢气)中退火。膜可以在几种气氛中退火,包括但不限于真空、N2、Ar、He、惰性气体的混合物、在惰性载气(如N2、CO2、H2)中夹带的水蒸汽以及合成气(在惰性气体中夹带的H2)。由于过度的膜氧化的原因,一般避免含有超过0.2bar分压力的氧气的气体混合物,但是如果铜不存在,则在较低固化温度下(375℃以下)过量O2可能有利。
[0075]膜可以在没有照射源的情况下退火。任选地,膜可以在存在波长170~365nm的紫外线(UV)照射的情况下退火。这可以具有减少k和提高杨氏模量的效果。在照射期间膜仍必须在250-450℃下加热。退火气氛必须符合所选择的波长;较短波长(尤其是小于190nm)需要真空、He或者He和H2的混合物。
[0076]在较低温度下(约250℃)下固化的膜可以具有与在较高温度下固化的膜相当的介电常数和杨氏模量。使用合成气、较长的固化时间以及原位UV照射可以帮助实现这一点。
[0077]从图4-图19中可以看出不同固化气氛的影响的部分列表。
[0078]更具体地,在旋涂后,晶片在烘箱中或热板上于140℃下软烘烤10分钟。这可以在空气或惰性气氛中进行。然后,在N2气氛中,在炉中或热板上或在快速热退火设备中于425℃下退火10分钟。与N2或Ar中退火相比,在空气中退火略微增加k和E。然而,在空气中退火与铜镶嵌工艺不兼容。此外,有时有利的是在超过一个步骤中固化膜,在较高温度固化步骤(350-500℃)之前进行低温固化(250-350℃)。
[0079]制得的膜能够抵抗大气中的水分和灰化造成的损坏,并且在已经灰化后也能够抵抗大气中的水分的攻击。
[0080]如前所述,本发明的目的是制备具有扩大的E-k包封(envelope)的介电膜。可以通过以几种方式改变上述方案来减小介电常数,但是每一种方式均将减小模量。相反,可以通过以增加k为代价来增加模量。通过正确地运用两种或更多种这些冲突因素,可以减小k,而基本上同时保持可接受的杨氏模量值。
[0081]减小k(和E)的因素,包括:使用非质子溶剂如乙腈代替乙醇,引入环氧丙烷作为共溶剂,改变TEOS/MTES比例,用烷基含有2个以上碳原子的烷基三烷氧基硅烷部分地代替TEOS或MTES,在诸如氨气、蒸汽或甲胺等反应性气氛中软烘烤,以及在除空气之外的气氛中退火。增大k(和E)的因素包括:使用方酸(3,4-二羟基-3-环丁烯-1,2-二酮)作为强酸催化剂或作为有机酸,使用大量的有机酸如柠檬酸(至少为0.01mol酸/摩尔Si),以及在某些情况下在固化期间使用UV照射。更长的软烘烤时间(达到16小时)增大了E,而没有增大k。
[0082]更具体地,通过将6.25g的四乙氧基硅烷(TEOS)和甲基三乙氧基硅烷(MTES)与9.0g乙腈混合而制成部分A可以制备乙腈基溶胶。单独地,将3.87g Pluronic P104、0.62g柠檬酸和7.02g 0.1N HNO3溶解在9.0g乙醇中,制成部分B。然后,在使用之前混合部分A和B。或者,可以立即混合部分A和B,并在40-60℃下加热16小时。在诸如BüchiRotavapor或等效装置等真空蒸馏装置中蒸馏掉乙腈和残余乙醇(源于酯水解)和水,直到溶胶体积为初始体积的大约0.3-0.5,然后用新鲜乙腈代替。在需要时重复该过程,直到没有更多乙醇被蒸馏掉(通常约3次)。与醇基溶胶相比,这种溶胶具有大大减少的k和模量,但具有更长的适用期(至少几个月)。或者,乙醇基溶胶可以受到上述处理,并产生同样的结果,但是溶剂去除/代替过程可能会更长。
[0083]环氧丙烷可以部分地代替乙醇,从而降低k(和E)并潜在地延长适用期。例子如下:
[0084]将6.25g的四乙氧基硅烷(TEOS)和甲基三乙氧基硅烷(MTES)与6.0g乙醇和3.0g环氧丙烷混合,制成部分A。单独地,将3.87g PluronicP104、0.62g柠檬酸和7.02g 0.1N HNO3溶解在9.0g乙醇中,制成部分B。按与之前实施方案所用的相似方式混合部分A和B并使用。
[0085]用乙基三乙氧基硅烷或二甲基二乙氧基硅烷部分地代替TEOS或MTES可以减小k。例子如下:
[0086]将6.25g TEOS、5.94g MTES和0.31g ETES(乙基三乙氧基硅烷)与18g乙醇混合。单独地,将0.62g柠檬酸溶解在7.02g 0.1N HNO3水溶液中。按与之前实施方案所用的相似方式混合这两种溶液并使用。
[0087]在反应性气氛中的软烘烤降低了k和E,但是k的减小可能非常大。这可以通过以下步骤实现:将湿的新旋涂的晶片放在管式炉或其他封闭设备中,然后使诸如空气或氮气等载气中夹带的氨蒸汽在晶片上通过。然后通过炉子或热板将晶片加热到140℃,保持达到10分钟。氨蒸汽可以由无水NH3供应,或者可以是经使载气鼓泡通过氨水溶液产生的湿的氨蒸汽。可以使用诸如甲胺(CH3NH2)等其他挥发性胺并产生类似效果。还可以使用水蒸汽。这通过将水加热到40-100℃,优选80℃,用载气喷射水,并使晶片暴露于水蒸汽来实现。必须小心,以确保晶片的温度保持高于局部露点。或者,晶片可以在已经加入水的密封系统中加热。
[0088]晶片在软烘烤或固化期间可能会暴露在紫外线下。紫外线对k和模量的影响取决于膜的化学组成。固化期间的紫外线照射对含有0.05mol柠檬酸/mol Si的膜的主要影响是提高已经在350℃下而不是在425℃下固化的膜的模量。如果没有有机酸存在,模量会较低,但k值会非常大幅度地下降。如果使用低k/低E方案,例如,含有乙腈或环氧丙烷,那么在紫外线下的固化将k增加到使用质子溶剂如乙醇制得的膜的水平,但是杨氏模量将增加一倍或两倍。如果用氨气软烘烤处理的膜在紫外线下固化,那么可以产生非常低的介电常数(约1.3)。
[0089]例如,使用相应于部分[0027]中记载的溶胶旋涂的晶片在140℃下软烘烤10分钟。然后放到紫外线退火设备(例如,Axcelis Corp.,Beverly,MA)中,同时用200-300nm波长的紫外线照射,并在氮气气氛中于热板上加热到350℃保持16分钟。如此制备的膜的杨氏模量为4.7GPa。用相同的溶胶处理相同的晶片,软烘烤,并在没有紫外线的情况下于350℃退火16分钟。由此产生的膜的杨氏模量为3.8GPa。
[0090]再比如,通过将6.25g的TEOS和MTES与18.0g乙醇、7.02g 0.1N HNO3和3.87g Pluronic P104顺次混合制备溶胶。将该溶胶加热到40℃保持1小时,用2,2-EEE以3∶1的比例稀释,并以800rpm的转速旋涂在8″硅晶片上。晶片于140℃下软烘烤10分钟,然后暴露在200-300nm波长的紫外灯下。同时在热板上加热到400℃保持16分钟。由此产生的膜的k和E分别为1.5和2.54GPa。
[0091]再比如,将与之前例子中相同的溶胶旋涂在硅晶片上。将晶片放置在铝金属12″正方形的1/8″厚的片上,然后用钟罩盖住,用鼓泡通过氨水溶液的氮气冲洗。将该组件放置在热板上,并加热到140℃保持10分钟。随后,晶片暴露在200-300nm波长的紫外灯下,同时在热板上加热到400℃保持16分钟。由此产生的膜的k和E分别为1.29和2.50GPa。
[0092]在另一个例子中,通过将6.25g的TEOS和MTES与15.0g乙腈、3.0g环氧丙烷、7.02g 0.1N HNO3和2.58g Pluronic P104顺次混合制备溶胶。将该溶胶加热到40℃保持1小时,用2,2-EEE以3∶1的比例稀释,并以800rpm的转速旋涂在8″硅晶片上。晶片于140℃下软烘烤10分钟,然后在氮气气氛中暴露在200-300nm波长的紫外灯下。同时在热板上加热到400℃保持16分钟。由此产生的膜的k和E分别为1.8和5.5GPa。同样制备但固化期间没有紫外线照射的晶片产生k值为1.7和模量为1.6GPa的膜。当在软烘烤期间晶片暴露在紫外线照射下并随后在400℃下固化时,结果E类似增加,最佳的是在紫外照射下而且在黑暗中。
[0093]如前所述,为在铜镶嵌工艺中固化介电膜必须使用惰性气体。合成气(用N2或Ar稀释的氢气)可以用于代替惰性气体。这将更加完全地去除致孔剂并降低k,虽然这也会降低E。膜也可以在真空中而不是在惰性气氛中退火。
[0094]另一种改进涉及到提高低-k膜与基底之间的粘合程度。基底通常在膜沉积之前用Si或Ta氮化物层盖住。如果氮化物层被部分地氧化而产生原生氧化物的一个或多个单层,那么低-k膜与氮化物层的粘合会改善。氧气等离子体灰化、O2 RIE、UV-臭氧和氧化性化学溶液如H2SO4/H2O2(“piranha”)可实现这一点。如果在原生氧化物生长后使用硅烷偶联剂,则可以进一步增强粘合。硅烷偶联剂在氧化物和膜之间引入化学键。这种试剂包括六甲基二硅氧烷(可以从溶液或汽相应用)和二齿配体(通常从溶液应用)。此外,诸如APTES(3-氨丙基三乙氧基硅烷)等硅烷偶联剂可以处理固化的低-k膜并改善其与铜的粘合。
[0095]虽然已经结合优选的实施方案描述了本发明,但是本领域技术人员容易理解的是,可以在未脱离本发明的原则和范围的情况下,利用各种修改和变化。因此,这些修改和变化将落入所附权利要求书的范围内。

Claims (73)

1.一种流体胶态溶液,含有:
二氧化硅源;
极性溶剂;
水;
酸催化剂;和
两性嵌段共聚物表面活性剂。
2.如权利要求1所述的流体胶态溶液,其含有有机酸。
3.如权利要求2所述的流体胶态溶液,其中所述有机酸是柠檬酸。
4.如权利要求2所述的流体胶态溶液,其中所述有机酸是方酸。
5.如权利要求1所述的流体胶态溶液,其含有反应性溶剂。
6.如权利要求5所述的流体胶态溶液,其中所述反应性溶剂是环氧丙烷。
7.如权利要求1所述的流体胶态溶液,其中所述极性溶剂是乙醇。
8.如权利要求1所述的流体胶态溶液,其中所述极性溶剂是乙腈。
9.如权利要求1所述的流体胶态溶液,其中所述两性嵌段共聚物是Pluronic P104。
10.如权利要求1所述的流体胶态溶液,其中所述二氧化硅源是单独的原硅酸酯或与烷基化的原硅酸酯混合。
11.如权利要求10所述的流体胶态溶液,其中所述原硅酸酯是TEOS。
12.如权利要求10所述的流体胶态溶液,其中所述烷基化的原硅酸酯选自MTES或VTES。
13.如权利要求1所述的流体胶态溶液,其中所述二氧化硅源是聚硅氧烷。
14.如权利要求13所述的流体胶态溶液,其中所述聚硅氧烷是乙氧基-末端的聚(二甲基硅氧烷)。
15.如权利要求1所述的流体胶态溶液,其含有有效的共溶剂。
16.如权利要求15所述的流体胶态溶液,其中所述共溶剂是乳酸乙酯。
17.如权利要求15所述的流体胶态溶液,其中所述共溶剂是2,2-乙氧基(乙氧基乙醇)。
18.如权利要求15所述的流体胶态溶液,其中所述共溶剂是2,2-乙氧基(乙氧基乙醇)乙酸酯。
19.如权利要求15所述的流体胶态溶液,其中所述共溶剂是二丙二醇单甲醚。
20.如权利要求1所述的流体胶态溶液,其中所述酸催化剂是强酸催化剂。
21.如权利要求1所述的流体胶态溶液,其含有改善固化的膜与基底粘合的粘合促进剂。
22.如权利要求21所述的流体胶态溶液,其中所述粘合促进剂是硅烷偶联剂。
23.如权利要求21所述的流体胶态溶液,其中所述基底是铜、硅、氮化硅或碳化硅。
24.如权利要求15所述的流体胶态溶液,其在环境保存温度下的保质期为至少6个月。
25.一种通过将权利要求15所述的胶态溶液通过旋涂、浸涂、拉涂、喷涂或喷墨印刷在基底上形成的膜。
26.如权利要求25所述的膜,其中所述基底是硅晶片。
27.一种如权利要求25所述并已在130-150℃下软烘烤的膜。
28.一种如权利要求27所述并已在140℃下软烘烤的膜。
29.一种如权利要求28所述并在退火之前可以保存4小时至无限期而不会使退火膜的最终性能劣化的膜。
30.如权利要求25所述的膜,其中所述膜与等离子体处理兼容。
31.如权利要求25所述的膜,其中所述膜对于环境中的水分不敏感。
32.如权利要求25所述的膜,其中所述膜能够抵抗灰化造成的损坏。
33.一种制备介电膜的方法,包括:
将二氧化硅源、极性溶剂、水、酸催化剂、聚合物表面活性剂和共溶剂混合形成单组分胶态溶液。
34.如权利要求33所述的制备介电膜的方法,其中所述溶液的保质期为至少6个月。
35.一种制备介电膜的方法,包括:
将二氧化硅源与极性溶剂混合形成部分A;
单独地将水、酸催化剂和聚合物表面活性剂与极性溶剂混合形成部分B;和
将部分A和部分B混合形成流体胶态溶液。
36.如权利要求35所述的方法,其中部分A和部分B在混合之前保持分离。
37.如权利要求35所述的方法,其中部分A和部分B在混合之前的保质期为至少6个月。
38.如权利要求35所述的方法,其中所述二氧化硅源在与其他成分一起配制之前彻底水解。
39.如权利要求35所述的方法,其中通过蒸馏除去残余的水和溶剂,并用新鲜溶剂代替。
40.如权利要求39所述的方法,其中所述新鲜溶剂是不同的溶剂。
41.如权利要求39所述的方法,其中所述新鲜溶剂是共溶剂。
42.一种制备低介电膜的方法,包括:
将二氧化硅源、极性溶剂、水、酸催化剂、聚合物表面活性剂和共溶剂混合形成单组分胶态溶液,和
在形成低介电膜的条件下于表面上沉积所述流体胶态溶液。
43.如权利要求42所述的方法,还包括加入共溶剂。
44.如权利要求42所述的方法,其中加入共溶剂的步骤是在制作膜的时间完成,或者在后续时间完成。
45.一种通过权利要求42所述的方法获得的介电膜。
46.一种制备低介电膜的方法,包括:
将二氧化硅源与极性溶剂混合形成部分A;
单独地将水、酸催化剂和聚合物表面活性剂与极性溶剂混合形成部分B;
将部分A和部分B混合形成流体胶态溶液;和
在形成低介电膜的条件下于表面上沉积所述流体胶态溶液。
47.如权利要求46所述的方法,其中所述流体胶态溶液在于表面上沉积之前被加热到至少40℃并保持至少1小时。
48.如权利要求46所述的方法,其中所述流体胶态溶液通过在硅晶片上旋涂而沉积在表面上。
49.如权利要求48所述的方法,其中通过电子束使所述膜退火。
50.如权利要求46所述的方法,其中所述膜在130-150℃下软烘烤。
51.如权利要求50所述的方法,其中所述膜在烘烤之前或期间暴露于反应性气体中。
52.如权利要求51所述的方法,其中所述反应性气体是水蒸汽。
53.如权利要求51所述的方法,其中所述反应性气体是氨蒸汽。
54.如权利要求48所述的方法,其中所述膜在软烘烤期间暴露于紫外线照射中。
55.如权利要求48所述的方法,其中所述膜在200-450℃下退火。
56.如权利要求55所述的方法,其中所述膜在含有氢气的还原性气氛存在下于250℃下退火。
57.如权利要求56所述的方法,其中所述膜在退火之前暴露于紫外线照射中。
58.如权利要求56所述的方法,其中所述膜在退火期间暴露于紫外线照射中。
59.如权利要求58所述的方法,其中所述膜在退火期间暴露于波长170nm~365nm的紫外线照射中。
60.如权利要求55所述的方法,其中退火在空气中进行。
61.如权利要求55所述的方法,其中退火在氮气或氩气中进行。
62.如权利要求55所述的方法,其中退火在氦气中进行。
63.如权利要求55所述的方法,其中退火在含有氢气的还原性气氛中进行。
64.如权利要求55所述的方法,其中退火在二氧化碳中进行。
65.如权利要求55所述的方法,其中退火在真空中进行。
66.如权利要求55所述的方法,其中退火在用水蒸汽饱和的氮气中进行。
67.一种通过权利要求46所述的方法获得的介电膜。
68.如权利要求66所述的方法,其中通过在所述基底上产生原生氧化物的至少一个单层来促进所述膜与所述基底的粘合。
69.如权利要求68所述的方法,其中所述方法是氧气等离子体灰化、用氧气反应性离子蚀刻、UV-臭氧处理、涂布SiO2层或在氧化性化学溶液中清洁。
70.如权利要求69所述的方法,其中所述氧化性化学溶液是硫酸和/或过氧化氢。
71.一种具有玻璃状壁结构和通常敞开的孔隙的纳米多孔的含有有机材料的氧化物,含有:
金属或非金属氧化物,其中用烷基或芳基部分地代替所述金属或非金属氧化物,和
可以通过热作用去除的两性嵌段共聚物模板。
72.如权利要求47所述的纳米多孔的含有有机材料的氧化物,其中所述非金属是硅。
73.如权利要求47所述的纳米多孔的含有有机材料的氧化物,其中所述材料旋涂成在退火后小于2μm厚的膜,并且所述材料具有由各种E=2.5GPa,k=1.29和E=8GPa,k=2.6限定的杨氏模量(E)与介电常数(k)之间的关系。
CN200880021971A 2007-06-15 2008-06-13 低k介电材料 Pending CN101687219A (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US93472507P 2007-06-15 2007-06-15
US60/934,725 2007-06-15
PCT/US2008/007415 WO2008156680A1 (en) 2007-06-15 2008-06-13 Low k dielectric

Publications (1)

Publication Number Publication Date
CN101687219A true CN101687219A (zh) 2010-03-31

Family

ID=40156526

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200880021971A Pending CN101687219A (zh) 2007-06-15 2008-06-13 低k介电材料

Country Status (6)

Country Link
US (2) US8932702B2 (zh)
EP (1) EP2164648B8 (zh)
JP (1) JP2010530137A (zh)
KR (1) KR101562681B1 (zh)
CN (1) CN101687219A (zh)
WO (1) WO2008156680A1 (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106046410A (zh) * 2016-06-14 2016-10-26 常州时创能源科技有限公司 与基底锚定的多孔膜的制备方法

Families Citing this family (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8980769B1 (en) 2005-04-26 2015-03-17 Novellus Systems, Inc. Multi-station sequential curing of dielectric films
US10037905B2 (en) * 2009-11-12 2018-07-31 Novellus Systems, Inc. UV and reducing treatment for K recovery and surface clean in semiconductor processing
US8236615B2 (en) * 2009-11-25 2012-08-07 International Business Machines Corporation Passivation layer surface topography modifications for improved integrity in packaged assemblies
JP5882583B2 (ja) * 2010-02-04 2016-03-09 東京応化工業株式会社 エアギャップ形成用シリカ系被膜形成材料及びエアギャップ形成方法
US9176259B2 (en) * 2011-03-04 2015-11-03 Intermolecular, Inc. Sol-gel based antireflective (AR) coatings with controllable pore size using organic nanocrystals and dendrimers
WO2012161185A1 (ja) * 2011-05-25 2012-11-29 三菱レイヨン株式会社 シロキサンオリゴマーの製造方法
CA3085086C (en) 2011-12-06 2023-08-08 Delta Faucet Company Ozone distribution in a faucet
JP5880211B2 (ja) * 2012-03-29 2016-03-08 三菱マテリアル株式会社 フェライト薄膜形成用組成物及びフェライト薄膜の形成方法
WO2014071090A1 (en) * 2012-10-31 2014-05-08 Sba Materials, Inc. Compositions of low-k dielectric sols containing nonmetallic catalysts
US10172189B2 (en) * 2013-04-26 2019-01-01 Applied Materials, Inc. Method and apparatus for microwave treatment of dielectric films
US20150104940A1 (en) * 2013-10-11 2015-04-16 Air Products And Chemicals Inc. Barrier chemical mechanical planarization composition and method thereof
EP3194502A4 (en) 2015-04-13 2018-05-16 Honeywell International Inc. Polysiloxane formulations and coatings for optoelectronic applications
WO2017112795A1 (en) 2015-12-21 2017-06-29 Delta Faucet Company Fluid delivery system including a disinfectant device
US9847221B1 (en) 2016-09-29 2017-12-19 Lam Research Corporation Low temperature formation of high quality silicon oxide films in semiconductor device manufacturing
KR102141666B1 (ko) * 2017-11-22 2020-08-05 한국과학기술원 압력센서용 유전체 및 그 제조방법과 정전용량형 압력센서
WO2019243623A1 (en) * 2018-06-21 2019-12-26 University College Cork - National University Of Ireland, Cork A coating composition comprising integrated functionality
KR102167968B1 (ko) * 2018-08-31 2020-10-20 한국자동차연구원 나노 실리케이트 입자를 이용한 저유전률 폴리이미드 필름 및 그 제조 방법
WO2024056569A1 (en) * 2022-09-14 2024-03-21 Merck Patent Gmbh Composition

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020045693A1 (en) * 2000-04-17 2002-04-18 Jsr Corporation Composition for film formation, method of film formation and silica-based film
CN1355858A (zh) * 1999-04-14 2002-06-26 联合讯号公司 由聚合物的分解获得的低介电纳米孔材料
CN1487567A (zh) * 2002-05-30 2004-04-07 �����Ʒ�뻯ѧ��˾ 制备低介材料的组合物

Family Cites Families (28)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4460478A (en) 1982-09-30 1984-07-17 Union Carbide Corporation Orthosilicate ester containing heat transfer fluids
EP0133670A3 (de) * 1983-08-09 1985-12-18 Bayer Ag Verfahren zur Herstellung von 7-Acylamino-3-hydrocy-cephem-4-carbonsäuren und 7-Acylamino-3-hydroxy-1-de-thia-1-oxacephem-4-carbonsäuren
JPH04233732A (ja) 1990-08-16 1992-08-21 Motorola Inc 半導体の製造工程で使用するスピン・オン誘電体
US5622684A (en) 1995-06-06 1997-04-22 Board Of Trustees Operating Michigan State University Porous inorganic oxide materials prepared by non-ionic surfactant templating route
DE19705497C2 (de) 1997-02-13 1999-01-28 Max Planck Gesellschaft Verfahren zur Herstellung mesoporöser Feststoffe, durch das Verfahren erhältliche Feststoffe und deren Verwendung
IL136479A0 (en) 1997-12-09 2001-06-14 Univ California Block polymer processing for mesostructured inorganic oxide materials
US6420278B1 (en) * 1998-06-12 2002-07-16 Advanced Micro Devices, Inc. Method for improving the dielectric constant of silicon-based semiconductor materials
JP3494081B2 (ja) * 1999-07-01 2004-02-03 Jsr株式会社 低密度膜の製造方法、低密度膜、絶縁膜および半導体装置
JP4408994B2 (ja) * 1999-07-13 2010-02-03 Azエレクトロニックマテリアルズ株式会社 低誘電率多孔質シリカ質膜、半導体装置およびコーティング組成物
EP1148105B1 (en) * 2000-04-17 2006-10-04 JSR Corporation Composition for film formation, method of film formation, and silica-based film
US6630406B2 (en) * 2001-05-14 2003-10-07 Axcelis Technologies Plasma ashing process
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US20040238901A1 (en) * 2001-09-17 2004-12-02 Balkenende Abraham Rudolf Electronic device and composition
US7307343B2 (en) * 2002-05-30 2007-12-11 Air Products And Chemicals, Inc. Low dielectric materials and methods for making same
JP3829188B2 (ja) * 2002-07-05 2006-10-04 独立行政法人産業技術総合研究所 メソポーラスシリケート及びその製造方法
US6919636B1 (en) * 2003-07-31 2005-07-19 Advanced Micro Devices, Inc. Interconnects with a dielectric sealant layer
US20050089642A1 (en) * 2003-10-28 2005-04-28 Rohm And Haas Electronic Materials, L.L.C. Dielectric materials preparation
JP2005191437A (ja) * 2003-12-26 2005-07-14 Ricoh Co Ltd 半導体装置、その製造方法、および表示装置
US20050196974A1 (en) * 2004-03-02 2005-09-08 Weigel Scott J. Compositions for preparing low dielectric materials containing solvents
US20050214674A1 (en) * 2004-03-25 2005-09-29 Yu Sui Positive-working photoimageable bottom antireflective coating
US20050227898A1 (en) * 2004-04-09 2005-10-13 Leskowicz James J Zero to low VOC glass and general purpose cleaner
EP1615260A3 (en) 2004-07-09 2009-09-16 JSR Corporation Organic silicon-oxide-based film, composition and method for forming the same, and semiconductor device
US7611731B2 (en) 2004-08-16 2009-11-03 Sba Materials, Inc. Mesostructured silica/block copolymer monoliths as a controlled release device and methods of manufacture
US7332445B2 (en) 2004-09-28 2008-02-19 Air Products And Chemicals, Inc. Porous low dielectric constant compositions and methods for making and using same
JP2006117763A (ja) * 2004-10-20 2006-05-11 Catalysts & Chem Ind Co Ltd 低誘電率非晶質シリカ系被膜形成用塗布液、その調製方法およびこれより得られる低誘電率非晶質シリカ系被膜
US7678712B2 (en) * 2005-03-22 2010-03-16 Honeywell International, Inc. Vapor phase treatment of dielectric materials
US20060276041A1 (en) * 2005-05-17 2006-12-07 Jsr Corporation Chemical mechanical polishing aqueous dispersion, chemical mechanical polishing method, and kit for preparing chemical mechanical polishing aqueous dispersion
US20070099005A1 (en) * 2005-10-31 2007-05-03 Honeywell International Inc. Thick crack-free silica film by colloidal silica incorporation

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1355858A (zh) * 1999-04-14 2002-06-26 联合讯号公司 由聚合物的分解获得的低介电纳米孔材料
US20020045693A1 (en) * 2000-04-17 2002-04-18 Jsr Corporation Composition for film formation, method of film formation and silica-based film
CN1487567A (zh) * 2002-05-30 2004-04-07 �����Ʒ�뻯ѧ��˾ 制备低介材料的组合物

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN106046410A (zh) * 2016-06-14 2016-10-26 常州时创能源科技有限公司 与基底锚定的多孔膜的制备方法
CN106046410B (zh) * 2016-06-14 2019-03-08 常州时创能源科技有限公司 与基底锚定的多孔膜的制备方法

Also Published As

Publication number Publication date
EP2164648B1 (en) 2016-09-21
WO2008156680A1 (en) 2008-12-24
JP2010530137A (ja) 2010-09-02
EP2164648A4 (en) 2011-09-14
EP2164648B8 (en) 2016-11-09
US20090017272A1 (en) 2009-01-15
KR20100038099A (ko) 2010-04-12
US20110135847A1 (en) 2011-06-09
EP2164648A1 (en) 2010-03-24
KR101562681B1 (ko) 2015-10-22
US8932702B2 (en) 2015-01-13

Similar Documents

Publication Publication Date Title
CN101687219A (zh) 低k介电材料
JP4777530B2 (ja) 極低誘電率化学調剤用のイオン系添加物
CN100539037C (zh) 制备低介材料的组合物
CN100361280C (zh) 多孔低介电常数材料的等离子固化方法
TWI555872B (zh) 塡充間隙用的二氧化矽前驅物
KR101623764B1 (ko) 실리카질 막의 제조에 사용하는 침지용 용액 및 이를 사용한 실리카질 막의 제조법
TWI412562B (zh) Precursor composition of porous film and method of preparation thereof, porous film and method for producing the same, and semiconductor device
CN101015050B (zh) 半导体器件的制备方法和用该方法制备的半导体器件
KR100600630B1 (ko) 저유전율 실리카계 피막 형성용 도포액 및 저유전율피막으로 도포된 기재
JP2000164589A (ja) 被膜形成方法
JP4021131B2 (ja) 低誘電率シリカ系被膜形成用塗布液および低誘電率シリカ系被膜付基板
US20040087184A1 (en) Ionic additives for extreme low dielectric constant chemical formulations
CN101651107B (zh) 基材连接方法和3-d半导体器件
JP2003100865A (ja) 半導体基板の製造方法および半導体基板
JP2004307694A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜及び半導体装置。
TWI433897B (zh) 形成氧化矽系被膜用塗佈液、其調製方法及自該塗佈液所得氧化矽系絕緣膜
TWI328600B (en) Composition for forming porous film, porous film and method for forming the same, interlevel insulator film and semiconductor device
JP4834972B2 (ja) コーティング用組成物およびそれを用いた表示装置
JPH10310872A (ja) シリカ系厚膜被膜形成方法
JP2004307693A (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JP4257141B2 (ja) 多孔質膜形成用組成物、多孔質膜の製造方法、多孔質膜、層間絶縁膜、及び半導体装置
JPH11323259A (ja) 低誘電率シリカ系被膜形成用塗布液および低誘電率被膜付基材
JP2005136297A (ja) シリカ系耐洗浄性加工被膜の形成方法、および該方法により得られるシリカ系耐洗浄性加工被膜
JP2003119423A (ja) 半導体用シリカ系被膜形成用塗布液、半導体用シリカ系被膜及び該被膜を用いた半導体装置
JP2011040634A (ja) 多孔質膜の前駆体組成物、多孔質膜及びその作製方法、並びに半導体装置

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20100331