CN102077330A - 具有喷洒头的快速热处理腔室 - Google Patents

具有喷洒头的快速热处理腔室 Download PDF

Info

Publication number
CN102077330A
CN102077330A CN2009801250798A CN200980125079A CN102077330A CN 102077330 A CN102077330 A CN 102077330A CN 2009801250798 A CN2009801250798 A CN 2009801250798A CN 200980125079 A CN200980125079 A CN 200980125079A CN 102077330 A CN102077330 A CN 102077330A
Authority
CN
China
Prior art keywords
substrate
gas
plate
chamber
baffle
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801250798A
Other languages
English (en)
Other versions
CN102077330B (zh
Inventor
库赫斯特·索瑞伯基
约瑟夫·M·拉内什
沃尔夫冈·阿德霍尔德
阿伦·M·亨特
亚历山大·N·勒纳
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102077330A publication Critical patent/CN102077330A/zh
Application granted granted Critical
Publication of CN102077330B publication Critical patent/CN102077330B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67109Apparatus for thermal treatment mainly by convection
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45512Premixing before introduction in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/4557Heated nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45572Cooled nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4584Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally the substrate being rotated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/48Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation
    • C23C16/481Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating by irradiation, e.g. photolysis, radiolysis, particle radiation by radiant heating of the substrate
    • FMECHANICAL ENGINEERING; LIGHTING; HEATING; WEAPONS; BLASTING
    • F27FURNACES; KILNS; OVENS; RETORTS
    • F27BFURNACES, KILNS, OVENS, OR RETORTS IN GENERAL; OPEN SINTERING OR LIKE APPARATUS
    • F27B17/00Furnaces of a kind not covered by any preceding group
    • F27B17/0016Chamber type furnaces
    • F27B17/0025Especially adapted for treating semiconductor wafers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67167Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers surrounding a central transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67207Apparatus for manufacturing or treating in a plurality of work-stations comprising a chamber adapted to a particular process

Abstract

本发明提供用于热处理基板的设备及方法。腔室包括浮置支撑组件,在基板的加热及冷却期间,该支撑组件经配置以将基板定位在离一板为不同距离之处。在一实施例中,板的表面上的复数个开口经配置以跨越基板的径向表面而均匀地分布气体。气体的分布可以将热处理期间未反射回基板的辐射能耦合至板的吸收区域,由此开始基板的冷却。在本发明中所提供的方法及设备允许用于快速热处理基板的可控制的及有效的手段。

Description

具有喷洒头的快速热处理腔室
技术领域
本发明的实施例一般涉及半导体晶片及其他基板的热处理。更特定的说,本发明的实施例涉及从辐射源(例如白炽灯的阵列)而对晶片进行快速热处理。
背景技术
来自硅或其他晶片的集成电路的制造包括下列多个步骤:沉积多层、对该些层进行光刻图案化,以及蚀刻该些图案化的层。离子注入用于对半导体硅的有源区(active region)进行掺杂。制造工序还包括针对多种用途而进行晶片的热退火,该些用途包括:消除注入伤害,以及活化掺杂剂、结晶、热氧化及氮化、硅化、化学汽相沉积、汽相掺杂、热清洗及其他原因。虽然硅技术的早期阶段一般包括在退火炉内加热多个晶片一段长时间,但是已渐增地使用快速热处理(RTP)来满足对于甚至更小的电路特征结构(feature)的甚至更严厉的需求。RTP一般在单晶片腔室中执行,其通过使来自高强度灯的阵列的光照射晶片,并使其导引至晶片前表面的待形成集成电路之处而达成。辐射至少部分地被晶片吸收,并将晶片加热至期望的高温,例如高于600℃,或在部分应用中为高于1000℃。辐射加热可以快速地开启及关闭,以在一相对短的时间内(例如一分钟或更短、或甚至是数秒)可控地且均匀地加热该晶片。RTP腔室能够以约50℃/秒及更高的速率(例如100~150℃/秒及200~400℃/秒)来均匀地加热晶片。RTP腔室中的典型降温(冷却)速率为介于80~150℃/秒。在RTP腔室中执行的部分工艺需要跨越基板的温度变化小于几摄氏度(℃)。
由于每一次的快速热处理作用在单一个半导体上,故最佳化的加热及冷却手段对于最佳化的RTP效能来说是必须的。期望在基板的热处理期间对基板温度的均匀性进行最佳化。温度的均匀性为温度活化步骤(例如薄膜沉积、氧化物生长及蚀刻)提供基板上的均匀的工艺变量(例如:层厚度、电阻率、蚀刻深度)。此外,基板温度的均匀性对于预防热应力诱导基板伤害(例如翘曲、缺陷产生及滑动)是必须的。举例来说,在1150℃下,于4英寸硅晶片上的约5℃的中央至边缘温度差异可能会导致位错形成及滑动。温度梯度也可能由其他来源而诱导出。举例来说,因为对于基板的表面积或体积的空间更改,基板可能具有非均匀的放射率(emissivity)。这些更改可包括由光刻技术而图案化的薄膜,或是局部掺杂区域(例如双极晶体管的埋层)。此外,与处理腔室设计相关的局部气体冷却或加热效应,以及在处理过程中可能在基板表面上发生的非均匀的吸热或放热反应,也可能诱导出基板温度梯度。期望提供一种RTP腔室,其能够提供改良的温度均匀性。
发明内容
本发明的一或多个实施例涉及用于加热基板的快速热处理(RTP)设备。RTP腔室可包括腔室以及用以将该基板支托在该腔室内的支撑件。该基板具有第一面以及相对于该第一面的第二面。辐射加热源将辐射能导引朝向该基板的该第一面,并且设置在腔室内部。该辐射加热源经配置以被快速地开启及关闭,由此可控制地加热该基板,而使该基板具有期望的空间温度分布,包括均匀分布。该设备更包括至少一高温计,用于量测在预定波长范围间的辐射的强度。该高温计经设置以接收该基板所发射出的辐射。该设备还包括面向该基板的该第二面的板。该板包括至少一气体通道,该至少一气体通道耦接至至少一气体来源与该板的表面上的复数个开口。该些开口经配置以将工艺气体均匀地分布在该基板上方。该板具有反射区域,该反射区域反射在该预定波长范围内的辐射。
在其他实施例中,该板被设置为紧邻该基板。这些实施例的板可吸收由该晶片所发射出的辐射的至少一部分。在进一步的实施例中,该板延伸越过的区域大于该基板所延伸越过的区域。
在一或多个实施例中,该些气体通道配置以传送第一气体与第二气体。该第一气体与该第二气体在传送之前,于该些气体通道中的至少一混合室内进行混合。额外的配置可允许同步传送超过两种的气体。该些气体还可以为反应性,并可以在传送至基板表面之前或之后进行混合。
在进一步的实施例中,该板具有高反射性的外部部分,以及内部部分,且该内部部分具有位于该外部部分下方的吸收层。该板的反射区域可经设置以将热反射朝向至少一高温计。
部分实施例的开口配置以跨越该基板的径向表面而均匀地分布气体,由此促进该板与该基板的热耦合。在其他实施例中,该些开口跨越该板而均匀地分布。
部分实施例具有用于固定该基板的该支撑件,且该支撑件为浮置(levitating)支撑组件。该浮置组件可配置以使该基板在该腔室中的上方位置与下方位置之间移动。该浮置支撑组件也可磁性地耦接至定子(stator)组件。该定子组件可进一步机械性地耦接至致动器组件。
在一或多个实施例中,于加热及/或冷却工艺过程中,基板可位于相距该板的不同距离处。此可允许随意调整板与基板之间的气流场(gas flow field)。可动态地改变该距离,由此调整活性物种的滞留时间,而对基板表面化学性质造成影响。
本发明的额外的实施例涉及快速热处理基板的方法。该方法包括快速加热基板,其通过以下实现:将辐射加热源导引至基板的第一表面;利用设置而接近基板的第二表面的反射主体而将辐射热反射朝向基板的第二表面;透过吸收板而吸收热,以冷却基板;以及将工艺气体导引通过吸收板而至基板的第二表面。
在部分实施例中,基板的加热包括约2分或更短的一段时间。在其他实施例中的基板的冷却可以在约10秒或更短的一段时间内完成。在一或多个实施例中,基板放置在用于冷却的板的下方并接近该板。在其他实施例中,基板位于该板上方。
进一步的实施例将工艺气体导引通过吸收板的表面上的复数个开口。开口经设置而跨越基板的径向表面来均匀地分布气体,由此增进在冷却期间基板与吸收板的吸收层之间的热传导。开口也可经设置以跨越基板的径向表面而采用受控的非均匀分布方式来分布气体。
各种实施例的快速热处理技术包括尖峰式退火(spike annealing)工艺,以在基板上形成薄膜。
附图说明
图1绘示快速热处理(RTP)腔室的实施例的简要等角视图;
图2绘示根据实施例的位于吸收性喷洒头附近的基板的剖面视图;
图3是吸收性喷洒头的底部平面视图;以及
图4是沿图2的剖面线3-3的剖面视图。
具体实施方式
下述的实施例大致涉及RTP系统,该RTP系统包括合并有气体分布出口的板,以将气体均匀地分布在基板上方,而允许基板的快速且受控制的加热及冷却。板可以为吸收性、反射性或两者的组合。如本文所用者,快速热处理或RTP指能够以约50℃/秒及更高的速率(例如为100~150℃/秒以及200~400℃/秒)均匀地加热晶片的设备或工艺。RTP腔室中的典型降温(冷却)速率介于80~150℃/秒。在RTP腔室中进行的部分工艺需要跨越基板的温度变化小于几摄氏度。因此,RTP腔室必须包括灯或其他适合的加热系统及加热控制系统,其能够以高达100~150℃/秒以及200~400℃/秒的速率加热,而将快速热处理腔室与不具有能够以该些速率快速加热的加热系统及加热控制系统的其他类型热处理腔室区分开。在所示的实施例中,RTP腔室可选地包括基板支撑件,该支撑件适于在腔室中浮置(levitate)及旋转而不与腔室的内壁有任何接触。与合并有气体分布出口的吸收板耦接的浮置的基板支撑系统,使得来自吸收板的气流能够适于增进待于腔室中进行处理的基板的加热及冷却。通过提供调整吸收板与气体分布出口之间的距离的能力,则可改变活性物种的滞留时间,且可更准确地改变基板表面的化学性质。
现参照图1,其示出快速热处理腔室100的示范实施例。处理腔室100包括基板支撑件104及腔室主体102,该腔室主体102具有壁108、底部110及顶部112以界定出内部容积120。壁108典型地包括至少一基板出入口148,以利于基板140(图1中示出一部分的基板140)的进出。出入口148可耦接至传输腔室(图中未示)或是加载锁定腔室(图中未示),并可选择性地由阀来密封,例如狭缝阀(图中未示)。在一实施例中,基板支撑件104为环形,且腔室100包括设置在基板支撑件104的内径中的辐射加热源106。辐射加热源106典型包括复数个灯。可加以改变的RTP腔室以及可使用的基板支撑件的实例揭露于美国专利公告6,800,833以及美国专利公开2005/0191044,在此将两者的整体并入以做为参考。在本发明的实施例中,腔室100包括板200,且该板200合并有气体分布出口(下方将详述之),以将气体均匀地分布在基板上方,而允许基板的快速且受控的加热及冷却。
板可以为吸收性、反射性或是具有吸收及反射区域的组合。在详细的实施例中,板可具有多个区域,且部分区域位于高温计的测量范围(view)中,而部分区域位于高温计的测量范围之外。位于高温计的测量范围中的区域的直径为约1英寸(若为圆形),或是视需要而为其他形状及尺寸。在探针的测量范围中的区域可以在高温计所观察的波长范围为非常高度反射性。在高温计波长范围以及测量范围之外,板可以介于使辐射热损耗最小化的反射性与使辐射热最大化的吸收性之间,以允许较短的热暴露。
RTP腔室100还包括冷却块180,该冷却块180与顶部112相邻、耦接,或是形成在顶部112中。一般来说,冷却块180与辐射加热源106间隔并相对设置。冷却块180包括耦接至入口181A及出口181B的一或多个冷却剂通道184。冷却块180由工艺耐受材料制成,例如不锈钢、铝、聚合物或陶瓷材料。冷却剂通道184可包括螺旋形图案、矩形图案、圆形图案、或其组合,冷却剂通道184可一体形成在冷却块180中,例如通过浇铸冷却块180,及/或从二或多个部件(piece)而制造冷却块180,并将二部件接合。另外或可选地,冷却剂通道184可钻设于冷却块180中。
入口181A及出口181B可以透过阀及适当配管而耦接至冷却剂来源182,冷却剂来源182与控制器124连通,以利于控制在冷却剂来源182内的流体的压力及/或流动。流体可以为水、乙二醇、氮气(N2)、氦气(He)或其他用作为热交换介质的流体。
在图所示的实施例中,可选地,基板支撑件104适于在内部容积120内磁性地浮置及旋转。在工艺过程中,所示的基板支撑件104能够旋转,并同时垂直地升高及下降,且在工艺之前、过程中或是之后,基板支撑件104可以升高或下降而无须旋转。此磁性浮置及/或磁性旋转可因为缺乏或减少使用移动部件(一般需要用于升高/下降及/或旋转基板支撑件)而能够预防微粒产生或使其最小化。
腔室100还包括窗114,该窗114由可使热及多个波长的光(可包括在红外线IR光谱中的光)穿透的材料制成,来自辐射加热源106的光子可以穿过窗114而加热基板140。在一实施例中,窗114由石英材料制成,但可使用其他可使光穿透的材料,例如蓝宝石。窗114还可包括复数个升举销144,且该些升举销144耦接至窗114的上表面而适于选择性地接触及支撑基板140,以利于将基板传输进出腔室100。复数个升举销144的各者配置为使来自辐射加热源106的能量吸收最小化,并且可以由与窗114相同的材料制成,例如石英材料。复数个升举销144可经定位且彼此为径向间隔设置,以利于耦接至传输机械手(robot)(图中未示)的末端执行器的通过。或者,末端执行器及/或机械手能够水平及垂直移动以利于基板140的传送。
在一实施例中,辐射加热源106包括由壳体(housing)所形成的灯组件,该壳体包括在冷却剂组件(图中未示)中的复数个蜂巢式管160,而该冷却剂组件耦接至第二冷却剂来源183。该第二冷却剂来源183可以为水、乙二醇、氮气(N2)及氦气(He)的一者或其组合。壳体壁108、110可以由铜材料或是其他适合材料制成,而壳体壁具有形成于其中的适合的冷却剂通道,以供来自第二冷却剂来源183的冷却剂的流动。冷却剂将腔室100的壳体冷却,由此壳体相较于基板140更为冷却。各个管160可包括反射器及高强度灯组件或是IR发射器,并由此而形成似蜂巢状的管配置。此紧密堆置的六角形管配置提供具有高功率密度及良好空间区分的辐射能量来源。在一实施例中,辐射加热源106提供足够的辐射能量以热处理该基板,例如对设置在基板140上的硅层进行退火。辐射加热源106可更包括环状区域,其中由控制器124而供应至复数个管160的电压可经过改变,以增进来自管160的能量的径向分布。基板140加热的动态控制可透过一或多个温度感测器117而实现,该些感测器117适于量测跨越基板140的温度。
在所示的实施例中,选用的定子(stator)组件118外接于腔室主体102的壁108,并耦接至一或多个致动器组件122,而致动器组件122控制定子组件118沿着腔室主体102外部的高度。在一实施例中(图中未示),腔室100包括设置在腔室主体的径向周围的三个致动器组件122,举例来说,在腔室主体102周围呈角度约120°。定子组件118磁性地耦接至设置于腔室主体102的内部容积120内的基板支撑件104。基板支撑件104可包括或内含有磁性部分以作为转子,因而产生磁性轴承组件而升举及/或旋转基板支撑件104。在一实施例中,至少一部分的基板支撑件104被槽(图中未示)部分围绕,而该槽耦接至流体来源186,且该流体来源186包括水、乙二醇、氮气(N2)、氦气(He)或其组合,而适于作为基板支撑件的热交换介质。定子组件118还可包括壳体190,以围住定子组件118的部分部件及零件。在一实施例中,定子组件118包括驱动线圈组件168,该驱动线圈组件168堆迭在悬吊线圈组件170上。驱动线圈组件168适于使基板支撑件104旋转及/或升高/下降,同时,悬吊线圈组件170可适于被动地将基板支撑件104置中设置在处理腔室100中。或者,可透过具有单一线圈组件的定子来执行旋转及置中的功能。
气体成份控制(atmosphere control)系统164也耦接至腔室主体102的内部容积120。气体成份控制系统164一般包括用于控制腔室压力的节流阀以及真空泵。气体成份控制系统164可额外包括气体来源,以提供工艺气体或其他气体至内部容积120。气体成份控制系统164也可适于传送用于热沉积工艺、热蚀刻工艺及腔室部件的原位(in-situ)清洗的工艺气体。气体成份控制系统164与喷洒头气体传送系统结合作用。
腔室100还包括控制器124,而该控制器124一般包括中央处理单元(CPU)130、辅助电路128及存储器126。CPU 130可以为计算机处理器的任何形式的一者,其可用于控制各种动作及子处理器的工业设置。存储器126(或计算机可读取媒介)可以为易于使用的存储器的一或多者,例如,本地或远端设置的随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘或其他形式的数字储存器,并典型地耦接至CPU 130。辅助电路128可耦接至CPU 130,并以常规方式辅助控制器124。这些电路包括高速缓冲存储器(cache)、电源、时钟电路、输入/输出电路、子系统及其类似者。
在一实施例中,致动器组件122的各者一般包括精准的导螺杆132,而导螺杆132耦接在由该腔室主体102的壁108延伸的二凸缘134之间。导螺杆132具有螺母158,当螺杆转动时,该螺母158沿着导螺杆132而轴向移动。耦接件136耦接在定子118以及螺母158之间,因而当导螺杆132转动时,耦接件136沿着导螺杆132移动,以控制与耦接件136接合的定子118的高度。因此,当致动器122的一者的导螺杆132转动,而产生其他致动器122的螺母158之间的相对移位,则定子118的水平面相对于腔室主体102的中心轴而改变。
在一实施例中,马达138(例如步进马达或伺服马达)耦接至导螺杆132,以相应于控制器124的信号而提供可控的转动。或者,可利用其他类型的致动器122以控制定子118的线性位置,例如气压缸、液压缸、滚珠螺杆、螺线管、线性致动器及凸轮从动件等。
腔室100还包括一或多个感测器116,且该些感测器116适于侦测基板支撑件104(或是基板140)在腔室主体102的内部容积120中的高度。感测器116可耦接至腔室主体102及/或处理腔室100的其他部分,并适于提供指示基板支撑件104与腔室主体102的顶部112及/或底部110之间的距离的输出,并且也可侦测基板支撑件104及/或基板140的错位。
一或多个感测器116耦接至控制器124,而控制器124接收来自感测器116的输出度量(metric),并提供信号(或多个信号)至一或多个致动器组件122,以使基板支撑件104的至少一部分升高或下降。控制器124可利用获自感测器116的位置度量,以调整定子118在各致动器组件122的高度,由此,基板支撑件104以及座落在其上的基板140的高度及平面性皆可相对于RTP腔室100及/或辐射加热源106的中心轴而调整。举例来说,控制器124可提供信号,以透过一致动器122的动作而使基板支撑件升高,由此校准基板支撑件104的轴向错位,或者是,控制器可以提供信号至所有的致动器122,以利于基板支撑件104的同步垂直移动。
一或多个感测器116可以为能够侦测基板支撑件104在腔室主体102内的接近性(proximity)的超音波、激光、电感式、电容式或其他类型的感测器。感测器116可耦接至腔室主体102而接近顶部112,或是耦接至壁108,然而在腔室主体102内及周围的其他位置也可为适合的,例如耦接至腔室100外侧的定子118。在一实施例中,一或多个感测器116可耦接至定子118,并适于透过壁108而感测基板支撑件104(或基板140)的高度及/或位置。在此实施例中,壁108可包括较薄的横截面,以利于透过壁108的位置感测。
腔室100还包括一或多个的温度感测器117,而该些温度感测器117适于感测工艺之前、过程中及之后的基板140温度。在图1所示的实施例中,温度感测器117穿设于顶部112,但仍可使用腔室主体102内及周围的其他位置。温度感测器117可以为光学高温计,例如具有光纤探针的高温计。感测器117可适于耦接至顶部112,其配置以感测基板的整个直径或是部分的基板。感测器117可包括界定出感测区域的图案,而该感测区域基本上等于基板的直径,或者该感测区域基本上等于基板的半径。举例来说,复数个感测器117以放射状或线性配置而耦接至顶部112,由此能够形成跨越基板半径或直径的感测区域。在一实施例中(图中未示),复数个感测器117呈直线设置,其约略由顶部112的中心而径向延伸至顶部112的外围部分。以此方式,可以由感测器117监控基板的半径范围,而其使得能够在转动过程中感测基板的直径。
如本文中所述,腔室100适于承接“面向上”定向的基板,其中,基板的沉积物承接侧或承接面经定向以朝向板200,而基板的“背侧”面向辐射加热源106。此“面向上”的定向允许来自辐射加热源106的能量可被基板140更快速地吸收,因为基板的背侧相较于基板面通常较不具反射性。
虽然所描述的板200及辐射加热源106分别设置在内部容积120的上方及下方部分,但冷却块180与辐射加热源106的位置可以为反置。举例来说,冷却块180被按一定尺寸制作且定位在基板支撑件104的内径中,而辐射加热源106可耦接至顶部112。在此配置中,石英窗114可设置在辐射加热源106与基板支撑件104之间,例如接近在腔室100的上方部分中的辐射加热源106。虽然当背侧面向辐射加热源106时,基板140可很快地吸收热,但基板可以在任何配置中而定向为“面向上”定向或是“面向下”定向。
吸收板200的进一步细节显示在图2及3中。参照图2,其显示出吸收板200合并有气体分布出口,以将气体均匀地分布在基板上方,而允许基板的快速且受控的加热及冷却。板200包括顶部部分201,且顶部部分201具有气体导引系统202,而气体导引系统202包括第一气体导引口204及可选的第二气体导引口206,而该第一及第二气体导引口204、206与用于混合两种气体的气体混合室208连通。若仅设置单一气体导引口,则可由此设计中排除掉混合室208。可了解的是,还可提供额外的气体导引口。气体导引口204、206当然可连接至适合的气体来源,例如气体槽或气体供应系统(图中未示)。混合室208与气体流动通路212连通,而该气体流动通路212与形成在阻挡板213中的气体通道214及气体导引开口216连通。阻挡板213可以为固定至顶部部分201的分离部件,或是其可以与顶部部分为一体成形。当然,也可能为其他设计,该些设计包括针对二或多个气体而提供有二或多组独立开口216,因而在离开喷洒头之后发生气体混合。吸收板包括面203,穿过该面203形成开口216。
图3显示吸收板200与复数个穿过面203的开口216的平面视图。可了解的是,可改变开口的数量及图案,而图3中所示的设计仅为示范性。为易于说明,穿过板200的钻孔允许温度感测器117量测基板的温度。在一或多个实施例中,在吸收板上的复数个开口包括不超过10%的板表面。在一实施例中,复数个开口设置为不接近于高温计的25mm之内,且并不接近于吸收板的高反射性表面的1英寸直径内。
在一或多个实施例中,于用于处理硅基板的系统中,侦测长辐射波长(例如大于约3.5~4微米的波长)的高温计用作为温度感测器117。然而,此方法较佳适于高于700℃的温度。在室温下,硅晶片可供波长大于1.0微米的光穿透。随着基板温度增加,基板变得对于较长波长而为不透光,直到700℃时,基板对于所有关注的波长皆变为不透光。因此,在低于700℃的温度,长波长感光高温计对于侦测直接来自加热源的光而更为恰当。总之,高温计所采样的波长典型地随着工艺温度而变化。若工艺温度实质低于700℃,则高温计将典型地对短于1.1微米的波长进行采样。若使用较高工艺温度,则可对较长波长进行采样。
在一设计中,特别是适用于工艺温度介于900℃~1350℃,使用固态高温计,而其可感受波长为0.9微米~1.0微米的辐射。在此温度范围中,产生波长0.9~1.0微米的大量辐射,而提供高信号强度及高信噪比。
图4显示可使用在吸收板200上的分层配置。如图4所示,在工艺过程中面向基板140的吸收板200的面203具有对于在目标波长范围内的辐射为高反射性,并对于在目标波长范围外的辐射为较低反射性的层。在部分实施例中,在吸收板表面上提供一或多个涂层或层,以达到此选择性的反射性。在一实施例中,该些涂层为在目标波长范围内的辐射提供高反射性,并且包括设置在吸收板表面上方的一或多个干扰层。
如图3所示,一或多个干扰层250包括在层结构中。干扰层包括多对的层,且各对包括具有低折射率的层,以及具有高折射率的层。同时,干扰层包括对于在目标波长范围内的辐射为高反射性,并对于在目标波长范围外的辐射为较低反射性的结构。基于处理系统的数种特性(包括期望的目标波长范围)而选择干扰层的特定材料、厚度及其他特性。适合的干扰层结构可在科罗拉多州柏德市的Research Electro-Optics公司购得。
在一实施例中,吸收板200的高反射性部分包括四分之一波长堆叠层。该四分之一波长堆叠层由交替的介电层构成,而该些介电层具有不同的折射率,并具有等于高温计对于进入高温计的各接收角(angles of acceptance)最具感受性的波长的1/4(例如,厚度等于950nm的1/4)的光学厚度。如上所提出者,干扰层250为在目标波长中的辐射提供高反射性。吸收板200的另一部分吸收目标波长之外的辐射。在一实施例中,吸收层252可设置在吸收板的面203的上方,并位于干扰层250的下方。吸收层252相较于吸收板200的高反射性部分而更具吸收性。当目标波长以外的辐射通过干扰层,则其会被吸收层所吸收。最终的热通过吸收板200,并透过如上所述的冷却构件而消散。
多种材料可用于吸收层252,例如包括金属氧化物及对于本领域技术人员显知的适合材料。再者,还可使用其他用于吸收辐射的构件。举例来说,并非使用图4所示的吸收层252,而是吸收板的面203可吸收通过吸收板的高反射性部分的辐射。同样的,图4中所示的干扰层250的结构仅为示范性,在此领域中已知的其他构件也可用于过滤、反射在目标波长范围内的辐射而使其远离吸收板200的吸收部分。
如图4所示,可以在干扰层250上方使用钝化层254。钝化层预防吸收板的面203上方的层材料,而使其不可能会污染腔室。钝化层254可以由二氧化硅、氧化铝、氮化硅或其他将会钝化反射层(reflector)而不会使反射层在关注的波长范围内无法接受地降低其反射特性的任何可接受的材料制成。
可在属于本发明范畴内的反射层表面上使用其他层256、258,以执行用于制造或操作元件的已知功用。举例来说,可使用此种层256、258以利于吸收层252、干扰层250及/或钝化层254的施加或是其间的过渡。
一般来说,目标波长范围相应于可用于高温计温度量测的光谱区域。在一实施例中,高温计温度量测是对于基板所发射在窄光谱区域中的辐射的光学量测。此光谱区域较佳约略介于700~1000nm。相似的,待吸收的辐射波长也可被确认。在热处理中来自基板的辐射能量的光谱为温度、放射率、普朗克黑体定律(Planck’s blackbody law)的复杂函数。简单的说,反射层的吸收部分的光谱范围由黑体定律及工艺的峰值温度的温度范围(即,最需要辐射冷却的工艺的温度)来判定。
在详述的实施例中,如图3所示,吸收板200具有在各探针上的直径为约1英寸的区域205,温度感测器(典型为高温计)可透过该些探针而量测在预定波长范围的辐射强度。区域205在高温计波长范围具有高反射性,而其形式可以为在区域205中的定向反射(specular)表面上的多层介电堆迭。该些区域的主要重点是,其提供了在高温计所检视的区域中晶片的表观(apparent)放射率显著增强的局部区域。
在图1所示的实施例中,基板与板200之间的间隔取决于既定基板的期望热暴露。在一实施例中,基板可设置为与板200具有较大距离,而较接近灯,由此使基板的热暴露量增加,而减少来自板的冷却。当基板放置在接近板200的位置处,而与灯距离较远,此配置则减少了基板的热暴露的量,并增加了接收自板的冷却。在基板加热过程中基板的确切位置,以及在特定位置中的滞留时间是以期望的热暴露量及冷却量为条件的。在大多实例中,滞留时间取决于基板的期望表面化学性质。图1显示的实施例允许基板支撑件能够简易地浮置在腔室内的不同垂直位置,以允许对于基板的热暴露的控制。
在一替代实施例中,吸收板及光源与图1所示的配置为反置。在反置的配置中,当基板接近吸收板,则由基板至吸收板200的热传导将会使冷处理增加并增进。此增加的冷却率会转而促进最佳化的RTP效能。因此,当基板靠近吸收板,则对于灯的暴露量降低,而同时来自板的冷却量增加。
在一实施例中,当基板移动至接近吸收板的位置,吸收板表面上的开口释出气体,以使基板的冷却最佳化。复数个开口经配置以跨越基板的径向表面而均匀地分布气体,由此增进基板与吸收板的吸收层之间的热传导及热对流。为了增进传导效果,利用较具传导性的气体来取代较不具传导性的气体,或是可增加气体通过开口216的速率,并在喷洒头与基板之间产生紊流,而增进其间的对流耦合(convective coupling)。将气体径向地分布朝向基板可使得基板的冷却最佳化,并亦使RTP腔室的尖峰效能最佳化。在部分实施例中,基板支撑件可以旋转基板,并促进处理期间气体在基板上的均匀分布,而具有较佳的均匀性。
在RTP腔室中热处理基板的方法包括将基板放置在与吸收板相距期望距离处。可如前方提出的详细说明,基板可以移动到用于加热及冷却基板的理想位置而进行热处理。可以利用如上所述的浮置支撑组件而将基板移动到相距吸收板不同距离的位置处。在一实施例中,支撑组件可以受到附接至RTP腔室的CPU所控制。
在另一实施例中,于热处理过程中可利用不同组的气体。在加热基板时可以使用一组气体,在冷却基板时可以使用第二组气体。气体的选择取决于期望的热传导性。举例来说,在热处理期间使用低传导性的气体将会降低升温所需的能量,而在处理结束时使用高热传导性的气体将会增加冷却速率。
因此,本发明的一或多个实施例涉及用于加热基板的快速热处理(RTP)设备。RTP腔室可包括腔室以及用于将基板支托在腔室中的支撑件,而基板具有第一面与相对于第一面的第二面。将辐射能导引朝向基板的第一面的辐射加热源可以位于腔室内部。辐射加热源可经配置以被快速地开启与关闭,由此可控地加热基板而使其具有期望的空间温度分布,包括均匀的分布。该设备更包括至少一高温计,而用以量测在预定波长范围内的辐射强度。高温计可经设置以接收基板所发射的辐射。该设备还可包括面向基板的第二面的板。板包括至少一气体通道,该气体通道耦接至至少一气体来源,且耦接至板表面上的复数个开口。开口经配置以将工艺气体均匀地分布至基板上方。板具有反射在预定波长范围内的辐射的反射区域。
在其他实施例中,板可设置而紧邻基板。该些实施例中的板可吸收由晶片所发射的至少一部分辐射。在进一步的实施例中,板所延伸的区域大于基板所延伸的区域。
各种实施例的气体通道经配置以传送第一气体及第二气体。第一及第二气体在传送之前于气体通道的至少一混合室内进行混合。额外的配置可允许同步传送两种以上的气体。气体还可以为反应性,并可以在传送至基板表面之前或之后进行混合。“反应性气体”是指可用于在基板上产生的反应中的气体,例如蚀刻气体,或是可用于在基板上形成材料的前驱物气体。
在进一步实施例中,板具有高反射性的外部部分,以及具有在外部部分下方的吸收层的内部部分。板的反射区域可经设置以将热反射朝向至少一高温计。
部分实施例的开口经配置以跨越基板的径向表面而均匀地分布气体,由此促进板与基板的热耦合。在其他实施例中,开口跨越基板而均匀地分布。
部分实施例具有用于固定基板的支撑件,该支撑件为浮置支撑组件。浮置组件可经配置以将基板移动于腔室中的上方位置与下方位置之间。浮置支撑组件还可磁性地耦接至定子组件。定子组件更可机械耦接至致动器组件。
在一或多个实施例中,于加热及/或冷却工艺过程中,基板可位于相距该板的不同距离处。此可允许随意调整板与基板之间的气流场(gas flow field)。可动态地改变该距离,由此调整活性物种的滞留时间,而对基板表面化学性质造成影响。
本发明的额外的实施例涉及快速热处理基板的方法。该方法包括快速加热基板,其通过以下实现:将辐射加热源导引至基板的第一表面;利用设置而接近基板的第二表面的反射主体而将辐射热反射朝向基板的第二表面;透过吸收板而吸收热,以冷却基板;以及将工艺气体导引通过吸收板而至基板的第二表面。
在部分实施例中,基板的加热包括约2分或更短的一段时间。在其他实施例中的基板的冷却可以在约10秒或更短的一段时间内完成。
各种实施例的基板放置在用于冷却的吸收板的下方并接近该吸收板。
进一步的实施例将工艺气体导引通过吸收板的表面上的复数个开口。开口经设置而跨越基板的径向表面来均匀地分布气体,由此增进在冷却期间基板与吸收板的吸收层之间的热传导。开口也可经设置以跨越基板的径向表面而以受控的非均匀分布方式来分布气体。
各种实施例的快速热处理技术包括尖峰式退火工艺,以在基板上形成薄膜。
本说明书中参照“一种实施例”、“特定实施例”、“一或多个实施例”或“一实施例”意指与该实施例有关而描述的特定特征、结构、材料或特性被包括在本发明的至少一实施例中。因此,在本说明书中多处出现的例如“在一或多个实施例中”、“在特定实施例中”、“在一种实施例中”、或“在一实施例中”的用词并不必然地要参照本发明的相同实施例。再者,可按照适当方式而在一或多个实施例中将特定特征、结构、材料或特性加以组合。
本发明虽参照特定实施例而说明如上,然应了解该些实施例仅用于说明本发明的原理与应用。任何本领域技术人员,在不脱离本发明的精神和范围内对于本发明的方法及设备所作的更动与润饰,仍应属本发明的技术范畴。因此,意指本发明所包括的更动与润饰属所附权利要求及其等效物的范畴内。

Claims (15)

1.一种用于加热基板的快速热处理设备,包括:
腔室;
支撑件,用以将该基板支托在该腔室内,该基板具有第一面以及相对于该第一面的第二面;
辐射加热源,将辐射能导引朝向该基板的该第一面,并且经配置以被快速地开启及关闭,由此可控制地加热该基板,而使该基板具有期望的空间温度分布,包括均匀分布;
至少一高温计,用于量测在预定波长范围间的辐射的强度,该高温计经设置以接收该基板所发射出的辐射;以及
板,面向该基板的该第二面,该板包括至少一气体通道,该至少一气体通道耦接至至少一气体来源与该板的表面上的复数个开口,该些开口经配置以将工艺气体均匀地分布在该基板上方,该板具有反射区域,该反射区域反射在该预定波长范围内的辐射。
2.如权利要求1所述的设备,其中该板被设置为紧邻该基板,且该板吸收由该晶片所发射出的辐射的至少一部分。
3.如权利要求1所述的设备,其中该板延伸越过的区域大于该基板所延伸越过的区域。
4.如权利要求1所述的设备,其中该些气体通道经配置以传送第一气体与第二气体,且该第一气体与该第二气体在该些气体通道中的至少一混合室内进行混合,该第一气体与该第二气体的至少一者为反应性。
5.如权利要求1所述的设备,其中该板具有高反射性的外部部分,以及内部部分,且该内部部分具有位于该外部部分下方的吸收层。
6.如权利要求5所述的设备,其中经配置以跨越该基板的径向表面而均匀地分布气体的该些开口促进该板与该基板的热耦合。
7.如权利要求1所述的设备,其中该些开口跨越该板均匀地分布。
8.如权利要求1所述的设备,其中用于固定该基板的该支撑件为浮置支撑组件,该浮置支撑组件经配置以使该基板在该腔室中的上方位置与下方位置之间移动,且该浮置支撑组件磁性地耦接至定子组件,并且该定子组件机械性地耦接至致动器组件。
9.如权利要求1所述的设备,其中该板的该反射区域经设置以将热反射朝向至少一高温计。
10.一种用于快速热处理基板的方法,包括:
通过将辐射加热源导引至该基板的第一表面,而快速地加热该基板;
利用设置为接近该基板的第二表面的反射主体而将辐射热反射朝向该基板的该第二表面;
透过吸收板而吸收热,以冷却该基板;以及
将工艺气体导引通过该吸收板而至该基板的该第二表面。
11.如权利要求10所述的方法,其中该基板被设置在腔室中的浮置及旋转基板支撑件支撑,且该基板被设置在辐射加热源上方,并接近该辐射加热源,而该基板及该吸收板在加热与冷却过程期间以不同距离而分隔。
12.如权利要求11所述的方法,其中该加热的步骤包括约2分或更短的一段时间,且该基板设置在用于冷却的该吸收板的下方,并接近该吸收板,而该冷却的步骤包括约10秒或更短的一段时间。
13.如权利要求10所述的方法,其中该工艺气体被导引通过该吸收板的表面上的复数个开口。
14.如权利要求13所述的方法,其中该些开口经设置以跨越该基板的径向表面而均匀地分布气体,由此在冷却过程期间增进该基板与该吸收板的吸收层之间的热传导。
15.如权利要求13所述的方法,其中该些开口经设置以跨越该基板的该径向表面而以受控的非均匀分布方式来分布气体。
CN2009801250798A 2008-07-11 2009-07-09 用于热处理基板的设备及方法 Active CN102077330B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/171,994 US8111978B2 (en) 2008-07-11 2008-07-11 Rapid thermal processing chamber with shower head
US12/171,994 2008-07-11
PCT/US2009/050085 WO2010006156A2 (en) 2008-07-11 2009-07-09 Rapid thermal processing chamber with shower head

Publications (2)

Publication Number Publication Date
CN102077330A true CN102077330A (zh) 2011-05-25
CN102077330B CN102077330B (zh) 2013-01-30

Family

ID=41505261

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2009801250798A Active CN102077330B (zh) 2008-07-11 2009-07-09 用于热处理基板的设备及方法

Country Status (7)

Country Link
US (1) US8111978B2 (zh)
EP (1) EP2311076B1 (zh)
JP (1) JP5615276B2 (zh)
KR (1) KR101633653B1 (zh)
CN (1) CN102077330B (zh)
TW (1) TWI375279B (zh)
WO (1) WO2010006156A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN102969260A (zh) * 2012-11-28 2013-03-13 上海华力微电子有限公司 一种干法化学预清洁工艺机台
CN104795469A (zh) * 2015-04-25 2015-07-22 北京金晟阳光科技有限公司 辊道式太阳电池辐照退火炉
CN105925958A (zh) * 2013-02-23 2016-09-07 汉民科技股份有限公司 沉积工艺系统及应用于半导体设备的喷射器与上盖板总成
CN106169422A (zh) * 2015-05-18 2016-11-30 系统科技公司 热处理装置及热处理方法
CN107058974A (zh) * 2011-10-28 2017-08-18 应用材料公司 气体分散设备
CN107112268A (zh) * 2015-01-22 2017-08-29 应用材料公司 用于间隙检测的智能止动器和控制机制
CN111725112A (zh) * 2020-06-29 2020-09-29 北京北方华创微电子装备有限公司 半导体装置
CN111937130A (zh) * 2018-04-06 2020-11-13 瓦里安半导体设备公司 处理腔室窗冷却用系统与装置

Families Citing this family (189)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP5109376B2 (ja) 2007-01-22 2012-12-26 東京エレクトロン株式会社 加熱装置、加熱方法及び記憶媒体
US8314371B2 (en) 2008-11-06 2012-11-20 Applied Materials, Inc. Rapid thermal processing chamber with micro-positioning system
KR101295794B1 (ko) * 2011-05-31 2013-08-09 세메스 주식회사 기판 처리 장치
US8582963B2 (en) 2011-06-03 2013-11-12 Applied Materials, Inc. Detection of substrate warping during rapid thermal processing
US20120312234A1 (en) * 2011-06-11 2012-12-13 Tokyo Electron Limited Process gas diffuser assembly for vapor deposition system
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
KR101829676B1 (ko) * 2011-12-29 2018-02-20 삼성전자주식회사 웨이퍼 열 처리 방법
US8939760B2 (en) * 2012-02-09 2015-01-27 Applied Materials, Inc. Spike anneal residence time reduction in rapid thermal processing chambers
SG11201500106QA (en) 2012-07-27 2015-03-30 Applied Materials Inc Methods and apparatus for delivering process gases to a substrate
KR101418555B1 (ko) * 2012-08-06 2014-07-15 인하대학교 산학협력단 가스부양장치용 챔버
US10174422B2 (en) 2012-10-25 2019-01-08 Applied Materials, Inc. Apparatus for selective gas injection and extraction
US9157730B2 (en) * 2012-10-26 2015-10-13 Applied Materials, Inc. PECVD process
JP5996409B2 (ja) 2012-12-12 2016-09-21 株式会社Screenホールディングス 熱処理装置および熱処理方法
TWI683382B (zh) * 2013-03-15 2020-01-21 應用材料股份有限公司 具有光學測量的旋轉氣體分配組件
WO2014144533A1 (en) * 2013-03-15 2014-09-18 Applied Materials, Inc. Position and temperature monitoring of ald platen susceptor
US9842753B2 (en) * 2013-04-26 2017-12-12 Applied Materials, Inc. Absorbing lamphead face
WO2014179010A1 (en) 2013-05-01 2014-11-06 Applied Materials, Inc. Apparatus and methods for low temperature measurement in a wafer processing system
KR102271250B1 (ko) * 2013-05-15 2021-06-30 어플라이드 머티어리얼스, 인코포레이티드 램프 가열 어셈블리를 위한 확산기
CN103243312A (zh) * 2013-05-30 2013-08-14 光垒光电科技(上海)有限公司 喷淋头以及气相沉积设备
KR101562663B1 (ko) * 2013-12-17 2015-10-23 에이피시스템 주식회사 기판 처리 장치
SG10201810178TA (en) * 2014-05-16 2018-12-28 Applied Materials Inc Showerhead design
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
JP6575184B2 (ja) * 2015-07-09 2019-09-18 日産自動車株式会社 熱処理装置および熱処理方法
US10233543B2 (en) 2015-10-09 2019-03-19 Applied Materials, Inc. Showerhead assembly with multiple fluid delivery zones
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
KR102306567B1 (ko) * 2017-05-18 2021-09-30 어플라이드 머티어리얼스, 인코포레이티드 개선된 열 균일성을 갖는 열 챔버
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
WO2019105944A1 (en) 2017-11-28 2019-06-06 Evatec Ag Substrate processing apparatus and method of processing a substrate and a manufacturing a processed workpiece
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TWI799494B (zh) 2018-01-19 2023-04-21 荷蘭商Asm 智慧財產控股公司 沈積方法
WO2019142055A2 (en) 2018-01-19 2019-07-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
US20190226089A1 (en) * 2018-01-24 2019-07-25 Applied Materials, Inc. High temperature faceplate with hybrid material design
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11393703B2 (en) 2018-06-18 2022-07-19 Applied Materials, Inc. Apparatus and method for controlling a flow process material to a deposition chamber
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
JP2021529254A (ja) 2018-06-27 2021-10-28 エーエスエム・アイピー・ホールディング・ベー・フェー 金属含有材料ならびに金属含有材料を含む膜および構造体を形成するための周期的堆積方法
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
JP2020136678A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
US11447864B2 (en) 2019-04-19 2022-09-20 Asm Ip Holding B.V. Layer forming method and apparatus
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) * 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20220147112A (ko) 2020-02-28 2022-11-02 매슨 테크놀로지 인크 열 처리 시스템 내의 워크피스의 투과 기반 온도 측정
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
US11898243B2 (en) 2020-04-24 2024-02-13 Asm Ip Holding B.V. Method of forming vanadium nitride-containing layer
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
WO2022040165A1 (en) * 2020-08-18 2022-02-24 Mattson Technology, Inc. Rapid thermal processing system with cooling system
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN113488367A (zh) * 2020-12-14 2021-10-08 北京屹唐半导体科技股份有限公司 具有等离子体处理系统和热处理系统的工件处理装置
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980813S1 (en) * 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD980814S1 (en) * 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate
US20230132307A1 (en) * 2021-10-26 2023-04-27 Applied Materials, Inc. Chuck For Processing Semiconductor Workpieces At High Temperatures
US11649855B1 (en) 2022-04-28 2023-05-16 Skf Canada Limited Contaminant-free work piece processing system

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2697250B2 (ja) * 1990-05-28 1998-01-14 富士電機株式会社 熱cvd装置
DE4109956A1 (de) 1991-03-26 1992-10-01 Siemens Ag Verfahren zum kurzzeittempern einer halbleiterscheibe durch bestrahlung
JP3173926B2 (ja) 1993-08-12 2001-06-04 株式会社半導体エネルギー研究所 薄膜状絶縁ゲイト型半導体装置の作製方法及びその半導体装置
US5719065A (en) 1993-10-01 1998-02-17 Semiconductor Energy Laboratory Co., Ltd. Method for manufacturing semiconductor device with removable spacers
TW299897U (en) 1993-11-05 1997-03-01 Semiconductor Energy Lab A semiconductor integrated circuit
JP3312083B2 (ja) 1994-06-13 2002-08-05 株式会社半導体エネルギー研究所 表示装置
US5508532A (en) 1994-06-16 1996-04-16 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device with braded silicon nitride
US5660472A (en) 1994-12-19 1997-08-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
US5755511A (en) 1994-12-19 1998-05-26 Applied Materials, Inc. Method and apparatus for measuring substrate temperatures
JP3360098B2 (ja) 1995-04-20 2002-12-24 東京エレクトロン株式会社 処理装置のシャワーヘッド構造
DE69704638T2 (de) 1996-02-29 2001-08-30 Bridgestone Corp Verfahren zur Herstellung eines Sinterkörpers aus Siliciumcarbid
US6133550A (en) 1996-03-22 2000-10-17 Sandia Corporation Method and apparatus for thermal processing of semiconductor substrates
US5937142A (en) 1996-07-11 1999-08-10 Cvc Products, Inc. Multi-zone illuminator for rapid thermal processing
US5781693A (en) 1996-07-24 1998-07-14 Applied Materials, Inc. Gas introduction showerhead for an RTP chamber with upper and lower transparent plates and gas flow therebetween
JP4086936B2 (ja) 1996-10-03 2008-05-14 株式会社ブリヂストン ダミーウェハ
JP3973723B2 (ja) 1997-02-12 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6280790B1 (en) 1997-06-30 2001-08-28 Applied Materials, Inc. Reducing the deposition rate of volatile contaminants onto an optical component of a substrate processing system
US5892236A (en) 1997-07-09 1999-04-06 Bridgestone Corporation Part for ion implantation device
JP3974229B2 (ja) 1997-07-22 2007-09-12 株式会社半導体エネルギー研究所 半導体装置の作製方法
US6075922A (en) 1997-08-07 2000-06-13 Steag Rtp Systems, Inc. Process for preventing gas leaks in an atmospheric thermal processing chamber
JP4012287B2 (ja) 1997-08-27 2007-11-21 株式会社ブリヂストン スパッタリングターゲット盤
US6090733A (en) 1997-08-27 2000-07-18 Bridgestone Corporation Sintered silicon carbide and method for producing the same
JPH1167427A (ja) 1997-08-27 1999-03-09 Bridgestone Corp ヒーター部品
US6207591B1 (en) 1997-11-14 2001-03-27 Kabushiki Kaisha Toshiba Method and equipment for manufacturing semiconductor device
FR2774510B1 (fr) 1998-02-02 2001-10-26 Soitec Silicon On Insulator Procede de traitement de substrats, notamment semi-conducteurs
US6200388B1 (en) 1998-02-11 2001-03-13 Applied Materials, Inc. Substrate support for a thermal processing chamber
US6183130B1 (en) 1998-02-20 2001-02-06 Applied Materials, Inc. Apparatus for substrate temperature measurement using a reflecting cavity and detector
FR2775675B1 (fr) 1998-03-09 2000-06-09 Soitec Silicon On Insulator Support de plaquettes pour la micro-electronique et procede d'utilisation de ce support
US6188044B1 (en) 1998-04-27 2001-02-13 Cvc Products, Inc. High-performance energy transfer system and method for thermal processing applications
FR2785217B1 (fr) 1998-10-30 2001-01-19 Soitec Silicon On Insulator Procede et dispositif pour separer en deux tranches une plaque de materiau notamment semi-conducteur
DE69937255T2 (de) 1998-11-20 2008-07-03 Steag RTP Systems, Inc., San Jose Schnell-aufheiz- und -kühlvorrichtung für halbleiterwafer
US6183127B1 (en) 1999-03-29 2001-02-06 Eaton Corporation System and method for the real time determination of the in situ emissivity of a workpiece during processing
US6303411B1 (en) 1999-05-03 2001-10-16 Vortek Industries Ltd. Spatially resolved temperature measurement and irradiance control
JP2000332096A (ja) 1999-05-21 2000-11-30 Bridgestone Corp 製品ホルダー
JP2001009394A (ja) 1999-06-29 2001-01-16 Bridgestone Corp 炭化ケイ素焼結体の湿式洗浄方法
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
TW425635B (en) 1999-08-23 2001-03-11 Promos Technologies Inc Rapid thermal processing method and its device
US6500266B1 (en) 2000-01-18 2002-12-31 Applied Materials, Inc. Heater temperature uniformity qualification tool
US6471913B1 (en) 2000-02-09 2002-10-29 Semitool, Inc. Method and apparatus for processing a microelectronic workpiece including an apparatus and method for executing a processing step at an elevated temperature
JP4592916B2 (ja) 2000-04-25 2010-12-08 東京エレクトロン株式会社 被処理体の載置装置
JP2001313329A (ja) 2000-04-28 2001-11-09 Applied Materials Inc 半導体製造装置におけるウェハ支持装置
US6929744B2 (en) 2000-05-12 2005-08-16 United Utilites Plc Sludge treatment at a mesophilic temperature
US6376804B1 (en) 2000-06-16 2002-04-23 Applied Materials, Inc. Semiconductor processing system with lamp cooling
US6476362B1 (en) 2000-09-12 2002-11-05 Applied Materials, Inc. Lamp array for thermal processing chamber
US6599818B2 (en) 2000-10-10 2003-07-29 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device manufacturing method, heat treatment apparatus, and heat treatment method
JP2002118071A (ja) 2000-10-10 2002-04-19 Ushio Inc 光照射式加熱処理装置及び方法
JP2002115068A (ja) 2000-10-11 2002-04-19 Applied Materials Inc シャワーヘッド、基板処理装置および基板製造方法
US6350964B1 (en) 2000-11-09 2002-02-26 Applied Materials, Inc. Power distribution printed circuit board for a semiconductor processing system
US6478937B2 (en) 2001-01-19 2002-11-12 Applied Material, Inc. Substrate holder system with substrate extension apparatus and associated method
JP4765169B2 (ja) * 2001-01-22 2011-09-07 東京エレクトロン株式会社 熱処理装置と熱処理方法
JP4867074B2 (ja) * 2001-03-15 2012-02-01 東京エレクトロン株式会社 枚葉式の処理装置
JP4806856B2 (ja) * 2001-03-30 2011-11-02 東京エレクトロン株式会社 熱処理方法及び熱処理装置
JP2003007629A (ja) 2001-04-03 2003-01-10 Canon Inc シリコン系膜の形成方法、シリコン系膜および半導体素子
US20030000647A1 (en) 2001-06-29 2003-01-02 Applied Materials, Inc. Substrate processing chamber
US6908540B2 (en) 2001-07-13 2005-06-21 Applied Materials, Inc. Method and apparatus for encapsulation of an edge of a substrate during an electro-chemical deposition process
US6962732B2 (en) 2001-08-23 2005-11-08 Applied Materials, Inc. Process for controlling thin film uniformity and products produced thereby
KR100431658B1 (ko) 2001-10-05 2004-05-17 삼성전자주식회사 기판 가열 장치 및 이를 갖는 장치
US6570137B1 (en) 2002-03-04 2003-05-27 Applied Materials, Inc. System and method for lamp split zone control
JP2003282558A (ja) * 2002-03-25 2003-10-03 Dainippon Screen Mfg Co Ltd 熱処理装置
US6868302B2 (en) 2002-03-25 2005-03-15 Dainippon Screen Mfg. Co., Ltd. Thermal processing apparatus
US6800833B2 (en) 2002-03-29 2004-10-05 Mariusch Gregor Electromagnetically levitated substrate support
JP4324663B2 (ja) * 2002-09-05 2009-09-02 独立行政法人産業技術総合研究所 シャワーヘッド及びシャワーヘッドを用いた半導体熱処理装置
US6897131B2 (en) 2002-09-20 2005-05-24 Applied Materials, Inc. Advances in spike anneal processes for ultra shallow junctions
US6839507B2 (en) 2002-10-07 2005-01-04 Applied Materials, Inc. Black reflector plate
FR2846786B1 (fr) 2002-11-05 2005-06-17 Procede de recuit thermique rapide de tranches a couronne
US6927169B2 (en) 2002-12-19 2005-08-09 Applied Materials Inc. Method and apparatus to improve thickness uniformity of surfaces for integrated device manufacturing
US7024105B2 (en) 2003-10-10 2006-04-04 Applied Materials Inc. Substrate heater assembly
US7127367B2 (en) 2003-10-27 2006-10-24 Applied Materials, Inc. Tailored temperature uniformity
US8658945B2 (en) 2004-02-27 2014-02-25 Applied Materials, Inc. Backside rapid thermal processing of patterned wafers
US7509035B2 (en) 2004-09-27 2009-03-24 Applied Materials, Inc. Lamp array for thermal processing exhibiting improved radial uniformity
JP2008546203A (ja) 2005-06-01 2008-12-18 マットソン テクノロジー インコーポレイテッド パルス化された加熱処理の間に熱収支を最適化する方法
US7691204B2 (en) 2005-09-30 2010-04-06 Applied Materials, Inc. Film formation apparatus and methods including temperature and emissivity/pattern compensation
US7378618B1 (en) 2006-12-14 2008-05-27 Applied Materials, Inc. Rapid conductive cooling using a secondary process plane

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107058974A (zh) * 2011-10-28 2017-08-18 应用材料公司 气体分散设备
CN102969260A (zh) * 2012-11-28 2013-03-13 上海华力微电子有限公司 一种干法化学预清洁工艺机台
CN105925958A (zh) * 2013-02-23 2016-09-07 汉民科技股份有限公司 沉积工艺系统及应用于半导体设备的喷射器与上盖板总成
CN105925958B (zh) * 2013-02-23 2018-11-02 汉民科技股份有限公司 沉积工艺系统及应用于半导体设备的喷射器与上盖板总成
CN107112268B (zh) * 2015-01-22 2020-07-31 应用材料公司 用于间隙检测的智能止动器和控制机制
CN107112268A (zh) * 2015-01-22 2017-08-29 应用材料公司 用于间隙检测的智能止动器和控制机制
CN104795469A (zh) * 2015-04-25 2015-07-22 北京金晟阳光科技有限公司 辊道式太阳电池辐照退火炉
CN106169422A (zh) * 2015-05-18 2016-11-30 系统科技公司 热处理装置及热处理方法
CN106169422B (zh) * 2015-05-18 2019-04-19 系统科技公司 热处理装置及热处理方法
CN111937130A (zh) * 2018-04-06 2020-11-13 瓦里安半导体设备公司 处理腔室窗冷却用系统与装置
CN111937130B (zh) * 2018-04-06 2023-07-07 瓦里安半导体设备公司 腔室窗冷却用系统及冷却装置
CN111725112A (zh) * 2020-06-29 2020-09-29 北京北方华创微电子装备有限公司 半导体装置
CN111725112B (zh) * 2020-06-29 2023-09-08 北京北方华创微电子装备有限公司 半导体装置

Also Published As

Publication number Publication date
WO2010006156A2 (en) 2010-01-14
WO2010006156A3 (en) 2010-03-11
EP2311076A4 (en) 2011-11-02
JP5615276B2 (ja) 2014-10-29
EP2311076B1 (en) 2019-03-13
EP2311076A2 (en) 2011-04-20
US8111978B2 (en) 2012-02-07
US20100008656A1 (en) 2010-01-14
TW201009949A (en) 2010-03-01
CN102077330B (zh) 2013-01-30
TWI375279B (en) 2012-10-21
KR20110039459A (ko) 2011-04-18
JP2011527837A (ja) 2011-11-04
KR101633653B1 (ko) 2016-06-27

Similar Documents

Publication Publication Date Title
CN102077330B (zh) 用于热处理基板的设备及方法
US9390950B2 (en) Rapid thermal processing chamber with micro-positioning system
US11495479B2 (en) Light pipe window structure for thermal chamber applications and processes
US20100193154A1 (en) Rapid cooling of a substrate by motion
CN106463399B (zh) 用于低压热处理的光导管结构窗
US8461022B2 (en) Methods and apparatus for aligning a substrate in a process chamber
TW201501207A (zh) 用於熱腔室應用及製程的光管窗口結構
US10327284B2 (en) Diffuser for lamp heating assembly

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant