CN102132383A - 于阻障表面上的钴沉积 - Google Patents

于阻障表面上的钴沉积 Download PDF

Info

Publication number
CN102132383A
CN102132383A CN2009801341725A CN200980134172A CN102132383A CN 102132383 A CN102132383 A CN 102132383A CN 2009801341725 A CN2009801341725 A CN 2009801341725A CN 200980134172 A CN200980134172 A CN 200980134172A CN 102132383 A CN102132383 A CN 102132383A
Authority
CN
China
Prior art keywords
cobalt
layer
base material
plasma
hydrogen
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2009801341725A
Other languages
English (en)
Inventor
J·陆
H-C·哈
P·马
S·甘古利
J·F·奥布充
S·H·于
M·纳拉辛汉
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Priority to CN201610339081.7A priority Critical patent/CN106024598B/zh
Publication of CN102132383A publication Critical patent/CN102132383A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/16Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal carbonyl compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/42Silicides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • H01L21/205Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy using reduction or decomposition of a gaseous compound yielding a solid condensate, i.e. chemical deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76862Bombardment with particles, e.g. treatment in noble gas plasmas; UV irradiation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • H01L21/76864Thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating

Abstract

本发明的实施例提供一种在一阻障层上沉积一钴层并接着沉积一导电材料,如铜或一铜合金于其上的工艺。在一实施例中,提供一沉积材料于一基材表面的方法,其包括以下步骤:在一基材上形成一阻障层,于气相沉积工艺期间曝露此基材至二钴六羰基丁基乙炔(CCTBA)及氢(H2)以在该阻障层上形成一钴层,及沉积一导电材料于此钴层之上。在某些范例中,此阻障层及/或此钴层于如热工艺、原位等离子体工艺或远距等离子体工艺的处理工艺期间可曝露至一气体或一试剂。

Description

于阻障表面上的钴沉积
技术领域
本发明的实施例大致有关用于制造电子及半导体组件的金属化工艺,更详言之,本发明的实施例为有关在沉积一导电层或接触材料于阻障层前沉积一钴层于阻障层的方法。
背景技术
铜为目前选用于多层金属化工艺的金属,其对组件的制造为重要的。多层互连迫使制造工艺要求高深宽比的孔的平坦化,该孔包括触点、通孔、线及其它特征。当特征具有较高深宽比时,填充此特征而不产生空隙或形变此特征的几何为更困难的。因制造者力求电路密度及质量,可靠地形成互连亦较困难。
因为铜相对低成本及工艺性质,铜的使用已扩展至市场,故半导体制造商持续关注经由减少铜扩散与抗湿润性以改良在铜与介电材料间的边界区的方法。因特征尺寸已减小,已开发数种工艺方法以制造铜互连。每一工艺方法可增加误差的可能性,如铜扩散越过边界区、铜结晶结构变形及抗湿润。物理气相沉积(PVD)、化学气相沉积(CVD)、原子层沉积(ALD)、电化学电镀(ECP)、无电沉积、化学机械研磨(CMP)、电化学机械研磨(ECMP)及其它沉积与移除铜层的方法利用机械、电或化学方法以操作形成互连的铜。可沉积阻障及覆盖层以含有铜。
过去,使用一具有锡、铝或镁的钽、氮化钽或铜合金层提供在铜与其它材料间的阻障层或一黏合促进剂。此些选择通常成本高且仅部份有效。因沿此边界区的铜原子在多重步骤半导体处理期间遭受一般在温度、压力、大气条件或其它工艺变量的改变,铜可能沿此边界区移动并成为黏聚的铜。铜亦可能沿此边界区较不均匀分散并成为湿润的铜。在边界区的此些改变包括铜原子的应力迁移及电迁移。铜穿越介电层或其它结构的应力迁移及电迁移的增加此生成结构的电阻且减少此生成组件的可靠度。
因此,存在一提升在阻障层上一导电层或接触材料的安定性与黏合性的需求。亦然,存在改良含铜层的电迁移可靠度的需求,尤其是对于铜线的形成,同时防止铜扩散入邻近的材料,如介电材料。
发明内容
本发明的实施例为提供在沉积一导电层于阻障层前沉积一钴层于阻障层的工艺。在一实施例中,提供一沉积材料于基材表面的方法,其包括在基材上形成一阻障层,曝露此基材至二钴六羰基丁基乙炔(CCTBA)及氢(H2)以于气相沉积工艺期间在该阻障层上形成一钴层,及沉积一导电材料于此钴层上。
在一范例中,基材可于热CVD工艺期间曝露于一含有CCTBA及氢的沉积气体。在另一范例中,基材可接着于ALD工艺期间曝露于CCTBA与氢。此基材在CVD或ALD工艺期间亦可加热至一自约100℃至约250℃范围间的温度。此钴层沉积少于约
Figure BPA00001327617700021
的厚度。
在某些范例中,阻障层及/或钴层在处理工艺期间可曝露至一气体或一试剂。此处理可为一热工艺、一原位等离子体工艺或一远程等离子体工艺。此气体或试剂可含有或为氮(N2)、氨(NH3)、氢(H2)、氨/氢混合物、硅烷、二硅烷、氦、氩、其之等离子体、其之衍生物或其等之组合。此阻障层或此钴层可曝露于此气体、试剂或等离子体约1秒至约30秒范围内的一段时间。此基材在处理工艺期间可加热至约50℃至约400℃的温度范围。
在某些范例中,此导电材料可含有铜或铜合金。此导电材料可含有一种晶层及块体层(bulk layer)。或者,此导电材料可直接沉积于此钴层上,如经由电化学电镀(ECP)工艺。在一范例中,一含有铜的种晶层可经由PVD工艺或CVD工艺沉积。在另一范例中,此块体层含有铜且可经由一ECP工艺沉积。此阻障层可含有钽、氮化钽、钛、氮化钛、钨、氮化钨、其之合金、其之衍生物或其等的组合。在一范例中,此阻障层可为一配置在钽层上的氮化钽层。
在另一实施例中,提供一种用于在一基材表面上沉积材料的方法,其包括以下步骤:在一基材上形成一阻障层,在预处理工艺期间曝露该阻障层至第一等离子体,曝露该基材至CCTBA及氢以于气相沉积工艺期间在该阻障层上形成一钴层,于后处理工艺期间曝露该钴层至一第二等离子体,及经由一气相沉积工艺沉积一铜层于该钴层上,如经由一PVD工艺或CVD工艺。
在另一实施例中,提供一种用于在一基材表面上沉积材料的方法,其包括以下步骤:在一基材上形成一阻障层,在预处理工艺期间曝露此阻障层至一等离子体,曝露该基材至CCTBA及一还原气体以于气相沉积工艺期间在该阻障层上形成一钴层,于后处理工艺期间曝露该钴层至一氢等离子体,及沉积一铜材料于该钴层之上。在一范例中,此用于沉积该钴层的气相沉积工艺及该后-处理工艺为依序重复以形成一钴材料。此钴材料含有多钴层,其中每一者在另一钴层沉积前已曝露至一氢等离子体。
附图说明
在前文概述的本发明的更详细描述可参考实施例,其之部份为说明于附图中,以此方式,本发明于前文述及的特征可更详尽的了解。然而,需了解附图仅为用以说明本发明的典型实施例,故因此不能被视为限制本发明的范畴,因为本发明容许其它等效的实施方面。
图1图示根据本文描述的一实施例说明一工艺的流程图;及
图2A-2F图示根据本文描述的一实施例在不同工艺步骤中基材的示意图。
具体实施方式
本发明的实施例为提供在沉积一导电层于阻障层前沉积一钴层于阻障层或层的方法。此钴层及阻障层可各自可选择地曝露至一处理工艺,如等离子体工艺或一热工艺。此导电层可含有铜或一铜合金且经由物理气相沉积(PVD)工艺、原子层沉积(ALD)工艺、电化学电(ECP)工艺或一无电沉积工艺沉积。此钴层改良铜边界区性质以促进黏合性、改良沟槽填充及电移动性能、减少扩散及黏聚并助长在工艺期间基材表面的均匀的粗糙度与湿润性。
图1图示一说明本发明实施例的工艺100的流程图。工艺100可用于在一基材上形成一互连或其它组件。在一实施例中,工艺100的步骤110-150可在基材200上进行,如在图2A-2F中图示。工艺100包括在一基材上沉积或形成一阻障层(步骤110),可选择地曝露此阻障层至一预处理工艺(步骤120),沉积一钴层于此阻障层上(步骤130),可选择地曝露此钴层至一后处理工艺(步骤140),及沉积至少一个导电层于此钴层上(步骤150)。
图2A图标含有配置于下层202之上的介电层204的基材200。孔206形成于介电层204内且可为一通孔、镶嵌、穿孔或其它形成于其内的通道。下层202可为一基材、基材表面、接触层或视组件结构而定的另一层。介电层204可含有一介电材料,如一低k介电材料。在一范例中,介电层204含有一低k介电材料,如一碳氧化硅材料,或一碳掺杂的氧化硅材料,例如BLACK
Figure BPA00001327617700041
II低k介电材料,其可得自位于美国加州圣克拉拉市的Applied Materials公司。用于介电层204的合宜材料的另一范例为碳化硅基膜,其使用如述于共同让渡的美国专利第6,537,733、6,790,788及6,890,850号的化学气相沉积(CVD)或等离子体辅助CVD(PE-CVD)工艺形成,该些专利并入本案做为参考。
在一实施例中,在工艺100的步骤110期间至少一个阻障层或材料可沉积或形成于一基材上。在一范例中,图2B图标阻障层210配置于基材200上、在介电层204之上,并共形地位于孔206内。阻障层210可为一层或多层。阻障层210可含有钛、氮化钛、钽、氮化钽、钨、氮化钨、其等之硅化物、其等之衍生物或其等之组合。在某些实施例中,阻障层210可含有钽/氮化钽,钛/氮化钛或钨/氮化钨的双层。阻障层210可具有一在自约
Figure BPA00001327617700042
至约
Figure BPA00001327617700043
范围间的厚度,较佳为自约
Figure BPA00001327617700044
至约
Figure BPA00001327617700045
且可经由PVD、ALD、等离子体辅助ALD(PE-ALD)、CVD、PE-CVD、脉冲-CVD或其等的组合形成或沉积。
在一范例中,阻障层210含有经由PVD工艺沉积的金属钽的一下层及一经由另一PVD工艺沉积一配置在此氮化钽下层的上的上层。在另一范例中,阻障层210含有经由ALD工艺沉积的金属钽的一下层及一经由CVD工艺沉积一配置于此氮化钽下层之上的上层。在另一范例中,阻障层210含有经由PVD工艺沉积的金属钽的一下层及一经由CVD工艺沉积一配置此氮化钽下层的上的上层。
例如,阻障层210可含有使用CVD工艺或一ALD工艺沉积的氮化钽,其中含钽化合物或钽先驱物(例如,PDMAT)与氮先驱物(例如氨)反应。在一实施例中,钽及/或氮化钽经由述于共同让渡之于2002年10月25日申请的美国专利申请案第10/281,079号,且以US 2003-0121608公开的专利申请案中的ALD工艺沉积为一阻障层210,该专利并入本案做为参考。在一范例中,Ta/TaN双层可沉积为一阻障层210,如各自以ALD、CVD及/或PVD工艺以任何顺序沉积一层于另一层上的一金属钽层及一氮化钽层。
在另一范例中,一Ti/TiN双层可沉积为一阻障层210,如各自以ALD、CVD及/或PVD工艺以任何顺序沉积一层于另一层上的一金属钛层及一氮化钛层。在另一范例中,一W/WN双层可沉积为一阻障层210,如各自以ALD、CVD及/或PVD工艺以任何顺序沉积一层于另一层上的一金属钨层及一氮化钨层。
在步骤120,阻障层210可选择地曝露至一预处理工艺,如一等离子体工艺或一热工艺。在等离子体或热预处理工艺期间可曝露至基材200的工艺气体及/或试剂包括氢(例如,H2或原子-H)、氮(例如,N2或原子-N)、氨(NH3)、氢与氨混合物(H2/NH3)、联胺(N2H4)、硅烷(SiH4)、二硅烷(Si2H6)、氦、氩、其之衍生物、其的等离子体或其等之组合。工艺气体可以在自约500sccm至约10slm范围间的流速流入至此工艺腔室或曝露至此基材,较佳为自约1slm至约6slm,例如约3slm。
在一实施例中,在步骤120于此预处理工艺期间,基材200及阻障层210可曝露至一等离子体以除去阻障层210的污染物。基材200可置于一工艺腔室内且曝于一工艺气体,该气体可点燃以形成等离子体。工艺气体可含有一种气体化合物或多种气体化合物。基材200可在室温(例如23℃),但通常预热至后续沉积工艺的需求温度。基材200可加热至自约100℃至约400℃范围间的温度,较佳为自约125℃至约350℃,且更佳为约150℃至约300℃,如约200℃或约250℃。
此工艺腔室可产生一原位等离子体或装设有一远距等离子体源(RPS)。在一实施例中,基材200可曝露至此等离子体(例如,原位或远距)自约0.5秒至约90秒的范围间的一段时间,较佳为自约10秒至约60秒,且更佳为自约20秒至约40秒。此等离子体在功率为自约100瓦特至约1,000瓦特范围间产生,较佳为约200瓦特至约600瓦特,且更佳为约300瓦特至约500瓦特。此工艺腔室通常具有一为约100托耳或更少的内部压力,如在自约0.1托耳至约100托耳范围间,较佳为自约0.5托耳至约50托耳,且更佳为自约1托耳至约10托耳。
在一范例中,基材200及阻障层210可曝露至由氢、氨、氮或其等的混合物产生的等离子体。在另一范例中,基材200及阻障层210可曝露至由氢及氨产生的等离子体。在另一范例中,基材200及阻障层210可曝露至由氢、氮、硅烷、二硅烷或其等之混合物产生的等离子体。在另一范例中,基材200及阻障层210可曝露至由氢、氮、氩、氦或其等的混合物产生的等离子体。
在另一实施例中,在步骤120,基材200及阻障层210于热预处理工艺期间可曝露至一工艺气体以除去阻障层210的污染物。此热预处理工艺可为一快速热工艺(RTP)或一快速热退火(RTA)工艺。基材200可置于一工艺腔室内且曝于至少一工艺气体及/或试剂。此工艺腔室可为一用于后续沉积工艺的沉积腔室,如一PVD腔室、一CVD腔室或一ALD腔室。或者,此工艺腔室可为一热退火腔室,如
Figure BPA00001327617700061
RTA腔室,其可购自位于美国加州圣克拉拉市的Applied Materials公司。基材200可加热至自约25℃至约800℃范围间的温度,较佳为自约50℃至约400℃,且更佳为自约100℃至约300℃。基材200可加热自约2分钟至约20分钟范围间的一段时间,较佳为自约5分钟至约15分钟。例如,基材200在此工艺腔室中可加热至约400℃约12分钟。
在一范例中,基材200及阻障层210当在此工艺腔室中加热时可曝于氢、氨、氮或其等之混合物。在另一范例中,基材200及阻障层210当在此工艺腔室中加热时可曝于氨/氢混合物。在另一范例中,基材200及阻障层210当在此工艺腔室中加热时可曝于氢、氮、硅烷、二硅烷或其等之混合物。在另一范例中,基材200及阻障层210当在此工艺腔室中加热时可曝于氢、氮、氩、氦或其等之混合物。
在另一实施例中,在工艺100的步骤130期间,至少钴材料或层可沉积或形成于此基材上。在一范例中,图2C说明钴层220配置于基材200上,在阻障层210之上,且在孔206内共形。钴层220通常为单一层,但可含有多层。钴层220在遍及阻障层210上可为一连续或一不连续层。钴层220可具有一约
Figure BPA00001327617700062
或更少的厚度,如在自约
Figure BPA00001327617700063
至约
Figure BPA00001327617700064
的范围间,较佳为约至约
Figure BPA00001327617700066
钴层220可经由一气相沉积工艺,如CVD、PE-CVD、脉冲CVD、ALD、PE-ALD或PVD形成或沉积。此等离子体辅助气相沉积工艺,亦即PE-CVD及PE-ALD,在此工艺腔室内可为一原位等离子体工艺,或可为一远距等离子体工艺以致等离子体可经由一RPS点燃并直接进入此工艺腔室。在许多范例中,钴层220含有金属钴。或者,在其它范例中,钴层220可含有一种或更多种钴材料,如金属钴、硅化钴、硼化钴、磷化钴、其之合金、其之衍生物或其等之组合。
在某些实施例中,钴层220可在热CVD工艺、脉冲-CVD工艺、PE-CVD工艺或脉冲PE-CVD工艺期间经由同时导入一钴先驱物与一试剂至工艺腔室而形成或沉积。在其它实施例中,此钴先驱物可在热CVD工艺、脉冲CVD工艺、PE-CVD工艺或脉冲PE-CVD工艺期间于无一试剂下导入至此工艺腔室。或者,在其它实施例中,钴层220可在热ALD工艺或PE-ALD工艺期间经由依序导入一钴先驱物与一试剂至工艺腔室而形成或沉积。
在某些范例中,钴层220可含有金属钴,但在其它范例中,可含有其它钴材料。可经由本文描述的CVD或ALD工艺形成钴材料(例如,金属钴或钴合金)的合宜钴驱物包括钴羰基错合物、钴脒化合物、双环戊二烯钴化合物、钴二烯基错合物、钴亚硝基错合物、其之衍生物、其之错合物、其之等离子体或其等之组合。在某些实施例中,钴材料可经由更进一步描述于共同让渡的美国专利第7,264,846及7,404,985号中的CVD与ALD工艺沉积,该些专利并入本案做为参考。
在某些实施例中,可使用钴羰基化合物或错合物做为钴先驱物。钴羰基化合物或错合物具有化学通式(CO)xCoyLz,其中X可为1、2、3、4、5、6、7、8、9、10、11、或12,Y可为1、2、3、4或5,及Z可为1、2、3、4、5、6、7或8。此基团L为不存在、一配位体或多个配位体,其可为相同配位体或不同配位体,且包括环戊二烯基、烷基环戊二烯基(例如甲基环戊二烯基或五甲基环戊二烯基)、戊二烯基、烷基戊二烯基、环丁二烯基、丁二烯基、乙烯基、烯丙基(或丙烯基)、烯、二烯、炔、乙炔、丁基乙炔、亚硝基、氨、其之衍生物、其之错合物、其之等离子体或其等之组合。
在一实施例中,在沉积工艺期间可使用二钴六羰基乙酰基化合物以形成钴材料(例如,钴层220)。二钴六羰基乙酰基化合物可具有化学通式(CO)6Co2(RC≡CR′),其中R及R′为独立选自氢、甲基、乙基、丙基、异丙基、丁基、第三丁基、戊基、苯甲基、芳香族羟基、其之异构物、其之衍生物或其等之组合。在一范例中,二钴六羰基丁基乙炔(CCTBA,(CO)6Co2(HC≡CtBu))为此钴先驱物。二钴六羰基乙酰基化合物的其它范例包括二钴六羰基甲基丁基乙炔((CO)6Co2(MeC≡CtBu))、二钴六羰基苯基乙炔((CO)6Co2(HC≡CPh))、二钴六羰基甲基苯基乙炔((CO)6Co2(MeC≡CPh))、二钴六羰基甲基乙炔((CO)6Co2(HC ≡CMe))、二钴羰基二甲基乙炔((CO)6Co2(MeC≡CMe))、其之衍生物、其之错合物、其之等离子体或其等之组合。其它范例的钴羰基错合物包括环戊二烯基钴双(羰基)(CpCo(CO)2)、三羰基烯丙基钴((CO)3Co(CH2CH=CH2))、其之衍生物、其之错合物、其之等离子体或其等之组合。
在另一实施例中,可使用钴脒酸盐或钴酰胺错合物做为钴先驱物。钴酰胺错合物具有化学通式(RR’N)xCo,其中X可为1、2或3,且R与R’独立为氢、甲基、乙基、丙基、丁基、烷基、硅烷基、烷基硅烷基、其之衍生物或其等之组合。一些范例的钴酰胺错合物包括双(二(丁基二甲基硅烷基)酰胺)钴(((BuMe2Si)2N)2Co)、双(二(乙基二甲基硅烷基)酰胺)钴(((EtMe2Si)2N)2Co)、双(二(丙基三甲基硅烷基)酰胺)钴(((PrMe2Si)2N)2Co)、双(二(三甲基硅烷基)酰胺)钴(((Me3Si)2N)2Co),三(二(三甲基硅烷基)酰胺)钴(((Me3Si)2N)3Co)、其之衍生物、其之错合物、其之等离子体或其等之组合。
一些范例的钴先驱物包括甲基环戊二烯基钴双(羰基)(MeCpCo(CO)2)、乙基环戊二烯基钴双(羰基)(EtCpCo(CO)2)、五甲基环戊二烯基钴双(羰基)(Me5CpCo(CO)2)、二钴八(羰基)(Co2(CO)8)、亚硝基钴三(羰基)((ON)Co(CO)3)、双(环戊二烯基)钴、(环戊二烯基)钴(环己二烯基)、环戊二烯基钴(1,3-己二烯基)、(环丁二烯基)钴(环戊二烯基)、双(甲基环戊二烯基)钴、(环戊二烯基)钴(5-甲基环戊二烯基),双(乙烯)钴(五甲基环戊二烯基)、四羰基碘化钴、钴四羰基三氯硅烷、羰基氯三(三甲基膦)钴、钴三羰基-氢三丁基膦、乙炔二钴六羰基、乙炔二钴五羰基三乙基膦、其之衍生物、其之错合物、其之等离子体或其等之组合。
在某些范例中,当经由本文描述的工艺形成钴材料(例如,金属钴或钴合金)时,可使用交替试剂与钴先驱物反应,该试剂包括还原剂,其包括氢(例如,H2或原子-H)、氮(例如,N2或原子-N)、氨(NH3)、联胺(N2H4)、一氢与氨的混合物(H2/NH3)、硼烷(BH3)、二硼烷(B2H6)、三乙基硼烷(Et3B)、硅烷(SiH4)、二硅烷(Si2H6)、三硅烷(Si3H8)、四硅烷(Si4H10)、甲基硅烷(SiCH6)、二甲基硅烷(SiC2H8)、膦(PH3)、其的衍生物、其的等离子体或其等之组合。
在一实施例中,含金属钴的钴层220在热CVD工艺期间经由同时将基材200曝露至一钴先驱物气体与一还原剂而沉积。在一可替代的实施例中,含金属钴的钴层220在等离子体辅助CVD工艺期间经由同时将基材200曝露至一钴先驱物气体与一还原剂而沉积。此等离子体源可为在CVD腔室内的原位等离子体源或装设在CVD腔室外的RPS。此钴先驱物气体可经由将一载体气体(例如,氮或氩)通过一钴先驱物(例如,CCTBA)安瓿而形成。此还原剂气体可为单一化合物(例如,H2),且因此不具有载体气体。或者,此还原剂气体可经由将载体气体通过一还原剂安瓿而形成。
此安瓿的加热可依工艺期间使用的钴先驱物或还原剂而定。在一范例中,一含有钴先驱物的安瓿,如二钴六羰基乙酰基化合物或其它钴羰基化合物(例如,(CO)xCoyLz)可加热至一自约30℃至约500℃范围间的温度。此钴先驱物气体通常具有一自约100sccm(每分钟标准立方公分(standard cubic centimeters per minute))至约2,000sccm范围间的流速,较佳为自约200sccm至约1,000sccm,且更佳为自约300sccm至约700sccm,例如约500sccm。此还原剂气体通常具有一自约0.5slm(每分钟标准升(standard liters per minute))至约10slm范围间的流速,较佳为自约1slm至约8slm,且更佳为自约2slm至约6slm。在一范例中,还原剂气体为氢且具有一自约2slm至约6slm范围间的流速,如约4slm。
此钴先驱物气体及此还原剂气体于沉积工艺期间可在进入工艺腔室前、当时或之后组合以形成一沉积气体以沉积钴层220。基材200可置于一工艺腔室内且可加热至自约25℃至约800℃范围间的温度,较佳为自约50℃至约400℃,且更佳为自约100℃至约250℃,如约150℃。一旦在预定温度,基材200可曝露至含有钴先驱物气体与还原剂气体的沉积气体自约0,1秒至约120秒范围间的一段时间,较佳为自约1秒至约60秒,且更佳为自约5秒至约30秒。例如,基材200当于CVD工艺期间形成钴层220时,其在此工艺腔室中可加热至约150℃约10分钟。
在步骤140,钴层220可选择地曝于一后处理工艺,如一等离子体工艺或一热工艺。在等离子体或热后处理工艺期间可曝于基材200及钴层220的工艺气体及/或试剂包括氢(例如,H2或原子-H)、氮(例如,N2或原子-N)、氨(NH3)、一氢与氨的混合物(H2/NH3)、联胺(N2H4)、硅烷(SiH4)、二硅烷(Si2H6)、氦、氩、其之衍生物、其之等离子体或其等之组合。此工艺气体可以自约500sccm至约10slm范围间的流速流入此工艺腔室或曝至基材,较佳为自约1slm至约6slm,例如约3slm。
在一实施例中,在步骤140于此后处理工艺期间,基材200及钴层220可曝露至一等离子体以除去钴层220的污染物。基材200可置于一工艺腔室内且曝于一工艺气体,该气体可点燃以形成等离子体。此工艺气体可含有一气体化合物或多个气体化合物。基材200可在室温(例如23℃),但通常预热至后续沉积工艺的需求温度。基材200可加热至自约100℃至约400℃范围间的温度,较佳为自约125℃至约350℃,且更佳为自约150℃至约300℃,如约200℃或约250℃。
此工艺腔室可产生一原位等离子体或装设有一RPS。在一实施例中,基材200可曝露至此等离子体(例如,原位或远距)自约0.5秒至约90秒的范围间的一段时间,较佳为自约10秒至约60秒,且更佳为自约20秒至约40秒。此等离子体在功率为自约100瓦特至约1,000瓦特范围间产生,较佳为自约200瓦特至约600瓦特,且更佳为自约300瓦特至约500瓦特。此工艺腔室通常具有一内部压力为约100托耳或更少,如在自约0.1托耳至约100托耳范围间,较佳为自约0.5托耳至约50托耳,且更佳为自约1托耳至约10托耳。
在一范例中,基材200及钴层220可曝露至由氢、氨、氮或其等的混合物产生的等离子体。在另一范例中,基材200及钴层220可曝露至由氢及氨产生的等离子体。在另一范例中,基材200及钴层220可曝露至由氢、氮、硅烷、二硅烷或其等之混合物产生的等离子体。在另一范例中,基材200及钴层220可曝露至由氢、氮、氩、氦或其等之混合物产生的等离子体。
在某些范例中,基材200及钴层220可曝至由RPS点燃的氢气产生的氢等离子体。钴层220可曝至具自约2slm至约4slm范围间的流速的氢气体。此工艺腔室可具有一内部压力自约1托耳至约10托耳范围间,且此等离子体经由具自约300瓦特至约500瓦特范围间的功率的RPS点燃。在一实施例中,此等离子体对于每一具有自约
Figure BPA00001327617700101
至约
Figure BPA00001327617700102
范围间厚度的钴材料沉积层曝至钴层220自约20秒至约40秒范围间的一段时间。当形成钴层220时,可在沉积钴材料的多层后进行多重处理。
在另一实施例中,在步骤140于此后处理工艺期间,基材200及钴层220可曝露至一工艺气体以除去钴层220的污染物。此热后处理工艺可为一RTP或RTA工艺。基材200可置于一工艺腔室内且曝于至少一种工艺气体及/或试剂。此工艺腔室可为一在先前沉积工艺使用或将在后续沉积工艺使用的沉积腔室,如一PVD腔室、一CVD腔室或一ALD腔室。或者,此工艺腔室可为一热退火腔室,如RTA腔室,其可购自位于美国加州圣克拉拉市的Applied Materials公司。基材200可加热至自约25℃至约800℃范围间的温度,较佳为自约50℃至约400℃,且更佳为自约100℃至约300℃。基材200可加热自约2分钟至约20分钟范围间的一段时间,较佳为自约5分钟至约15钟。例如,基材200在此工艺腔室中可加热至约400℃约12分钟。
在一范例中,基材200及钴层220当在此工艺腔室中加热时可曝于氢、氨、氮或其等之混合物。在另一范例中,基材200及钴层220当在此工艺腔室中加热时可曝于氨/氢混合物。在另一范例中,基材200及钴层220当在此工艺腔室中加热时可曝于氢、氮、硅烷、二硅烷或其等之混合物。在另一范例中,基材200及钴层220当在此工艺腔室中加热时可曝于氢、氮、氩、氦或其等之混合物。
图2C图示在基材200上于介电层204内形成孔206。孔206含有共形配置于其内的阻障层210及钴层220。在另一实施例中,在工艺100的步骤150期间,一导电层可沉积或形成于钴层220上。在一实施例中,此导电层为块体层240,其可直接沉积于钴层220上,如在图2D中所图示。或者,在另一实施例中,此导电层为种晶层230及块体层240。种晶层230可沉积遍及钴层220且接着块体层240可沉积遍及种晶层230,如在第2E-2F图中说明。
种晶层230及块体层240可在单一沉积工艺或多重沉积工艺期间沉积或形成。种晶层230可含有铜、钨、铝、钌、钴、银、铂、钯、其之合金、其之衍生物或其等之组合。块体层240可含有铜、钨、铝、其之合金、其之衍生物或其等之组合。通常,种晶层230及块体层240可独立含有铜、钨、铝、其之合金、其之衍生物或其等之组合。种晶层230及块体层240可独立地经由使用一或一以上的沉积工艺沉积,如一CVD工艺、ALD工艺、PVD工艺、无电沉积工艺、ECP工艺、其之衍生物或其等之组合。
在一范例中,种晶层230及块体层240的每一者含有铜或一铜合金。例如,含有铜的种晶层230可经由PVD工艺形成于钴层220上,且接着含有铜的块体层240可经由ECP工艺或无电沉积工艺沉积以填充孔206。在另一范例中,含有铜的种晶层230可经由ALD工艺形成于钴层220上,且接着含有铜的块体层240可经由ECP工艺或无电沉积工艺沉积以填充孔206。在另一范例中,含有铜的种晶层230可经由CVD工艺形成于钴层220上,且接着含有铜的块体层240可经由ECP工艺或无电沉积工艺沉积以填充孔206。在另一范例中,含有铜的种晶层230可经由无电工艺形成于钴层220上,且接着含有铜的块体层可经由ECP工艺或无电沉积工艺沉积以填充孔206。在另一范例中,钴层220做为一种晶层,则含有铜的块体层240可经由ECP工艺或无电沉积工艺直接沉积于其上以填充孔206。
在一范例中,种晶层230及块体层240的每一者含有钨或一钨合金。例如,含有钨的种晶层230可经由PVD工艺形成于钴层220上,且接着含有钨的块体层240可经由CVD工艺或脉冲CVD工艺沉积以填充孔206。在另一范例中,含有钨的种晶层230可经由ALD工艺形成于钴层220上,且接着含有钨的块体层240可经由CVD工艺或脉冲CVD工艺沉积以填充孔206。在另一范例中,含有钨的种晶层230可经由脉冲CVD工艺形成于钴层220上,且接着含有钨的块体层240可经由CVD工艺或脉冲CVD工艺沉积以填充孔206。在另一范例中,含有钨的种晶层230可经由无电工艺形成于钴层220上,且接着含有钨的块体层240可经由CVD工艺或脉冲CVD工艺沉积以填充孔206。在另一范例中,钴层220做为一种晶层,则含有钨的块体层240可经由CVD工艺或脉冲CVD工艺直接沉积于其上以填充孔206。
在本文实施例中使用的ALD工艺腔室可得自位于美国加州圣克拉拉市的Applied Materials公司。ALD工艺腔室的详细说明可见于共同让渡的美国专利第6,916,398及6,878,206号,共同让渡之于2002年10月25日申请的美国专利申请案第10/281,079号,且以US 2003-0121608早期公开的专利申请案,及共同让渡之各别于2006年11月6日申请的美国专利申请号第11/556,745、11/556,752、11/556,756、11/556,758、11/556,763号且以美国专利公开号第2007-0119379、2007-0119371、2007-0128862、2007-0128863及2007-0128864号早期公开的申请案,该些专利并入本案做为参考。在另一实施例中,建构可用于ALD模式以及传统CVD模式操作以沉积含钴材料的腔室描述于共同让渡的美国专利第7,204,886号,该专利并入本案做为参考。一用于形成含钴材料的ALD工艺的详细描述更进一步揭露于共同让渡美国专利第7,264,846及7,404,985号,该些专利并入本案做为参考。在其它实施例中,建构可用于ALD模式以及传统CVD模式操作以沉积含钴材料的腔室
Figure BPA00001327617700131
喷洒头及CVD腔室,其可得自位于美国加州圣克拉拉市的AppliedMaterials公司。合宜的气相沉积腔室的范例包括WXZTM CVD腔室,其可购自位于美国加州圣克拉拉市的Applied Materials公司。此气相沉积腔室可适于经由传统CVD、脉冲CVD或PE-CVD技术以及经由ALD与PE-ALD技术沉积材料。亦然,此气相沉积腔室可用于处理工艺,如一原位等离子体工艺、一远距等离子体工艺或热退火工艺。
本文使用的“基材表面”或“基材”指任何在一基材上形成的基材或材料表面,在其上可于一制造工艺期间进行膜处理。例如,一可进行工艺的基材表面包括材料如单晶、多晶或非晶硅、应变硅、在绝缘体上硅(SOI)、掺杂硅、硅化锗、锗、砷化镓、玻璃、蓝宝石、氧化硅、氮化硅、氮氧化硅及/或碳掺杂氧化硅,如SiOxCy,例如BLACK
Figure BPA00001327617700132
低k介电材料,其可得自位于美国加州圣克拉拉市的Applied Materials公司。基材可具有不同尺寸,如100mm、200mm、300mm或450mm直径晶圆,以及矩形或方形片。除非特别指明,本发明描述的实施例及范例通常在具有200mm直径或300mm直径的基材上进行,更佳为300mm直径。本发明描述的工艺可用于在许多基材及表面上沉积钴材料(例如,金属钴),尤其是阻障层及层。在本发明的实施例可使用的基材包括但未限制为半导体晶圆,如结晶硅(例如,Si<100>或Si<111>)、氧化硅、应变硅、硅化锗、掺杂或未掺杂的多晶硅、掺杂或未掺杂的硅晶圆、及图案化或未图案化的晶圆。基材可曝露至预处理工艺以研磨、蚀刻、还原、氧化、羟化、加热、及/或退火此基材或基材表面。
虽然前述为有关本发明的实施例,但本发明的其它及进一步的实施例可未偏离本发明的技术的基本视野下完成,且本发明的范畴由权利要求书界定。

Claims (15)

1.一种用于沉积材料在一基材表面的方法,其包含以下步骤:
在一基材上形成一阻障层;
曝露该基材至二钴六羰基丁基乙炔(CCTBA)及氢(H2)以于一气相沉积工艺期间在该阻障层上形成一钴层;及
沉积一导电材料于该钴层之上。
2.如权利要求1所述的方法,其更包含以下步骤:于一处理工艺期间曝露该阻障层或该钴层至一等离子体,其中该等离子体包含一选自由氮(N2)、氨(NH3)、氢(H2)、一氨/氢混合物、其之衍生物及其等之组合所组成的群组的一试剂。
3.如权利要求2所述的方法,其中该阻障层或该钴层曝露至一氢等离子体自约20秒至约40秒范围间的一段时间且该氢等离子体经由一远距等离子体源形成。
4.如权利要求1所述的方法,其更包含以下步骤:于一热处理工艺期间曝露该阻障层或该钴层至一气体,其中该气体选自由氮(N2)、氨(NH3)、氢(H2)、一氨/氢混合物、其之衍生物及其等之组合所组成的群组,且该基材在该热处理工艺期间加热至约50℃至约400℃范围的一温度。
5.如权利要求1所述的方法,其中该基材于一热化学气相沉积工艺期间曝露至一包含该CCTBA与该氢的沉积气体,且该基材在于该热化学气相沉积工艺期间加热至约100℃至约250℃范围的一温度。
6.如权利要求1所述的方法,其中该气相沉积工艺包含:于一原子层沉积工艺期间依序将该基材曝露至该CCTBA与该氢。
7.如权利要求1所述的方法,其中该阻障层包含:钽、氮化钽、钛、氮化钛、钨、氮化钨、其之合金、其之衍生物及其等之组合。
8.如权利要求1所述的方法,其中该导电材料包含铜或一铜合金,且该导电材料包含一种晶层及一块体层。
9.如权利要求8所述的方法,其中该种晶层包含铜且经由一物理气相沉积工艺或一化学气相沉积工艺沉积,且该块体层包含铜且经由一电化学电镀工艺沉积。
10.一种用于在一基材表面上沉积材料的方法,其包含以下步骤:
在一基材上形成一阻障层;
在一预处理工艺期间曝露该阻障层至一第一等离子体;
曝露该基材至二钴六羰基丁基乙炔(CCTBA)及氢以于一气相沉积工艺期间在该阻障层上形成一钴层;
于一后处理工艺期间曝露该钴层至一第二等离子体;及
经由一气相沉积工艺沉积一铜层于该钴层上。
11.如权利要求10所述的方法,其中该第一等离子体或该第二等离子体独自包含:一选自由氮(N2)、氨(NH3)、氢(H2)、氩、氦、一氨/氢混合物、其之衍生物及其等之组合所组成的群组中的气体。
12.如权利要求11所述的方法,该阻障层曝露至该第一等离子体或该钴层曝露至该第二等离子体自约20秒至约40秒范围间的一段时间,且该第一及第二等离子体由一远距等离子体源形成。
13.如权利要求10所述的方法,其中该沉积工艺包含于一热化学气相沉积工艺期间将该基材曝露至包含该CCTBA与该氢的一沉积气体,且该基材在该热化学气相沉积工艺期间可加热至约100℃至约250℃范围的一温度。
14.如权利要求10所述的方法,其中该气相沉积工艺包含在原子层沉积工艺期间依序将该基材曝露至该CCTBA与该氢中。
15.一种用于在一基材表面上沉积材料的方法,其包含以下步骤:
在一基材上形成一阻障层;
在一预处理工艺期间曝露该阻障层至一等离子体;
于一气相沉积工艺期间曝露该基材至二钴六羰基丁基乙炔(CCTBA)及一还原气体以在该阻障层上形成一钴层;
于一后处理工艺期间曝露该钴层至一氢等离子体;及
沉积一铜材料于该钴层之上。
CN2009801341725A 2008-08-29 2009-08-19 于阻障表面上的钴沉积 Pending CN102132383A (zh)

Priority Applications (1)

Application Number Priority Date Filing Date Title
CN201610339081.7A CN106024598B (zh) 2008-08-29 2009-08-19 于阻障表面上的钴沉积

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/201,976 US9051641B2 (en) 2001-07-25 2008-08-29 Cobalt deposition on barrier surfaces
US12/201,976 2008-08-29
PCT/US2009/054307 WO2010025068A2 (en) 2008-08-29 2009-08-19 Cobalt deposition on barrier surfaces

Related Child Applications (1)

Application Number Title Priority Date Filing Date
CN201610339081.7A Division CN106024598B (zh) 2008-08-29 2009-08-19 于阻障表面上的钴沉积

Publications (1)

Publication Number Publication Date
CN102132383A true CN102132383A (zh) 2011-07-20

Family

ID=41722231

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201610339081.7A Active CN106024598B (zh) 2008-08-29 2009-08-19 于阻障表面上的钴沉积
CN2009801341725A Pending CN102132383A (zh) 2008-08-29 2009-08-19 于阻障表面上的钴沉积

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201610339081.7A Active CN106024598B (zh) 2008-08-29 2009-08-19 于阻障表面上的钴沉积

Country Status (6)

Country Link
US (2) US9051641B2 (zh)
JP (2) JP2012501543A (zh)
KR (1) KR101599488B1 (zh)
CN (2) CN106024598B (zh)
TW (2) TWI528456B (zh)
WO (1) WO2010025068A2 (zh)

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
CN110233099A (zh) * 2014-03-19 2019-09-13 应用材料公司 电化学电镀方法
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN106148914B (zh) * 2015-05-13 2020-11-20 弗萨姆材料美国有限责任公司 沉积工艺中用于化学前体的容器
CN112201618A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 一种优化衬垫层质量的方法

Families Citing this family (91)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
US8791018B2 (en) 2006-12-19 2014-07-29 Spansion Llc Method of depositing copper using physical vapor deposition
DE102009023381A1 (de) * 2009-05-29 2010-12-02 Grega, Samuel Verfahren zur Herstellung von W-, Cr-, Mo-Schichten, deren Carbiden, Nitriden, Siliciden, mehrschichtigen Strukturen und Verbindungsstrukturen auf festen Substraten und Vorrichtung für deren Herstellung
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9653353B2 (en) 2009-08-04 2017-05-16 Novellus Systems, Inc. Tungsten feature fill
US8809193B2 (en) 2009-09-02 2014-08-19 Ulvac, Inc. Method for the formation of Co film and method for the formation of Cu interconnection film
US10128261B2 (en) 2010-06-30 2018-11-13 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
JP5680892B2 (ja) * 2010-07-13 2015-03-04 株式会社アルバック Co膜形成方法
US20120141667A1 (en) * 2010-07-16 2012-06-07 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
US9926639B2 (en) 2010-07-16 2018-03-27 Applied Materials, Inc. Methods for forming barrier/seed layers for copper interconnect structures
KR101223724B1 (ko) * 2010-10-25 2013-01-17 삼성디스플레이 주식회사 전자소자용 보호막 및 그 제조 방법
JP2012174845A (ja) * 2011-02-21 2012-09-10 Tokyo Electron Ltd 成膜方法及び半導体装置の製造方法
JP5659041B2 (ja) * 2011-02-24 2015-01-28 東京エレクトロン株式会社 成膜方法および記憶媒体
JP2012175073A (ja) * 2011-02-24 2012-09-10 Tokyo Electron Ltd 成膜方法および記憶媒体
US8524600B2 (en) 2011-03-31 2013-09-03 Applied Materials, Inc. Post deposition treatments for CVD cobalt films
US11437269B2 (en) 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US10381266B2 (en) 2012-03-27 2019-08-13 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US9330939B2 (en) * 2012-03-28 2016-05-03 Applied Materials, Inc. Method of enabling seamless cobalt gap-fill
US8736056B2 (en) * 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
KR102193925B1 (ko) 2012-09-25 2020-12-22 엔테그리스, 아이엔씨. 코발트계 박막의 저온 ald 또는 cvd를 위한 코발트 전구체
JP2014101564A (ja) * 2012-11-21 2014-06-05 Ulvac Japan Ltd コバルト膜の形成方法
US9865501B2 (en) * 2013-03-06 2018-01-09 Lam Research Corporation Method and apparatus for remote plasma treatment for reducing metal oxides on a metal seed layer
JP6310653B2 (ja) * 2013-07-08 2018-04-11 株式会社アルバック Cu配線構造の形成方法
US9218980B2 (en) * 2013-09-13 2015-12-22 Applied Materials, Inc. Surface treatment to improve CCTBA based CVD co nucleation on dielectric substrate
KR102263554B1 (ko) * 2013-09-27 2021-06-09 어플라이드 머티어리얼스, 인코포레이티드 심리스 코발트 갭-충전을 가능하게 하는 방법
US9090964B2 (en) * 2013-12-19 2015-07-28 Intel Corporation Additives to improve the performance of a precursor source for cobalt deposition
US9425155B2 (en) 2014-02-25 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer bonding process and structure
JP6268008B2 (ja) * 2014-03-17 2018-01-24 東京エレクトロン株式会社 Cu配線の製造方法
US9997362B2 (en) * 2014-04-07 2018-06-12 Entegris, Inc. Cobalt CVD
JP2015224227A (ja) * 2014-05-28 2015-12-14 宇部興産株式会社 (アセチレン)ジコバルトヘキサカルボニル化合物の製造方法
US9847289B2 (en) * 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9899234B2 (en) 2014-06-30 2018-02-20 Lam Research Corporation Liner and barrier applications for subtractive metal integration
US9349637B2 (en) 2014-08-21 2016-05-24 Lam Research Corporation Method for void-free cobalt gap fill
US9748137B2 (en) 2014-08-21 2017-08-29 Lam Research Corporation Method for void-free cobalt gap fill
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
US9487860B2 (en) 2014-11-10 2016-11-08 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Method for forming cobalt containing films
US9984963B2 (en) * 2015-02-04 2018-05-29 Sandisk Technologies Llc Cobalt-containing conductive layers for control gate electrodes in a memory structure
US10741572B2 (en) 2015-02-04 2020-08-11 Sandisk Technologies Llc Three-dimensional memory device having multilayer word lines containing selectively grown cobalt or ruthenium and method of making the same
US9758896B2 (en) 2015-02-12 2017-09-12 Applied Materials, Inc. Forming cobalt interconnections on a substrate
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9589897B1 (en) * 2015-08-18 2017-03-07 Taiwan Semiconductor Manufacturing Company, Ltd. Trench liner for removing impurities in a non-copper trench
US9741577B2 (en) * 2015-12-02 2017-08-22 International Business Machines Corporation Metal reflow for middle of line contacts
US20170186944A1 (en) * 2015-12-29 2017-06-29 International Business Machines Corporation Enhancement of spin transfer torque magnetoresistive random access memory device using hydrogen plasma
US9738971B2 (en) 2015-12-31 2017-08-22 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Vapor deposition methods to form group 8-containing films
US9719167B2 (en) 2015-12-31 2017-08-01 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Cobalt-containing film forming compositions, their synthesis, and use in film deposition
US10011903B2 (en) 2015-12-31 2018-07-03 L'Air Liquide, Société Anonyme pour l'Etude et l'Exploitation des Procédés Georges Claude Manganese-containing film forming compositions, their synthesis, and use in film deposition
US10438847B2 (en) 2016-05-13 2019-10-08 Lam Research Corporation Manganese barrier and adhesion layers for cobalt
WO2018034936A1 (en) * 2016-08-14 2018-02-22 Entegris, Inc. ALLOYS OF Co TO REDUCE STRESS
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
JP2018073949A (ja) * 2016-10-27 2018-05-10 東京エレクトロン株式会社 金属配線層形成方法、金属配線層形成装置および記憶媒体
US20180135174A1 (en) * 2016-11-01 2018-05-17 Versum Materials Us, Llc Cobalt compounds, method of making and method of use thereof
US10600685B2 (en) * 2016-11-27 2020-03-24 Applied Materials, Inc. Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
US10211099B2 (en) 2016-12-19 2019-02-19 Lam Research Corporation Chamber conditioning for remote plasma process
US11739418B2 (en) 2019-03-22 2023-08-29 Applied Materials, Inc. Method and apparatus for deposition of metal nitrides
US10443146B2 (en) 2017-03-30 2019-10-15 Lam Research Corporation Monitoring surface oxide on seed layers during electroplating
US10242879B2 (en) 2017-04-20 2019-03-26 Lam Research Corporation Methods and apparatus for forming smooth and conformal cobalt film by atomic layer deposition
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2019017285A1 (ja) 2017-07-18 2019-01-24 株式会社高純度化学研究所 金属薄膜の原子層堆積方法
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US10079177B1 (en) 2017-09-01 2018-09-18 United Microelectronics Corp. Method for forming copper material over substrate
US10304732B2 (en) * 2017-09-21 2019-05-28 Applied Materials, Inc. Methods and apparatus for filling substrate features with cobalt
EP4321649A2 (en) 2017-11-11 2024-02-14 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US11028480B2 (en) 2018-03-19 2021-06-08 Applied Materials, Inc. Methods of protecting metallic components against corrosion using chromium-containing thin films
US20190309422A1 (en) * 2018-04-06 2019-10-10 Versum Materials Us, Llc Spin-On Metallization
US11015252B2 (en) 2018-04-27 2021-05-25 Applied Materials, Inc. Protection of components from corrosion
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11024801B2 (en) * 2018-06-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd. Diffusion layer for magnetic tunnel junctions
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US20200048760A1 (en) * 2018-08-13 2020-02-13 Applied Materials, Inc. High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
US11009339B2 (en) 2018-08-23 2021-05-18 Applied Materials, Inc. Measurement of thickness of thermal barrier coatings using 3D imaging and surface subtraction methods for objects with complex geometries
US11124874B2 (en) 2018-10-25 2021-09-21 Applied Materials, Inc. Methods for depositing metallic iridium and iridium silicide
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
CN113614274A (zh) 2019-03-22 2021-11-05 应用材料公司 用于沉积具有超导膜的多层器件的方法及装置
KR20200124351A (ko) 2019-04-23 2020-11-03 삼성전자주식회사 코발트 전구체, 이를 이용한 코발트 함유막의 제조 방법 및 이를 이용한 반도체 소자의 제조 방법
EP3959356A4 (en) 2019-04-26 2023-01-18 Applied Materials, Inc. METHODS FOR PROTECTING AEROSPACE ELEMENTS AGAINST CORROSION AND OXIDATION
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
TWI753759B (zh) 2020-02-03 2022-01-21 美商應用材料股份有限公司 具有整合化氮化鋁種晶或波導層的超導奈米線單光子偵測器
TWI780579B (zh) 2020-02-03 2022-10-11 美商應用材料股份有限公司 具有整合化氮化鋁晶種或波導層的超導奈米線單光子偵測器
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
US20210407852A1 (en) * 2020-06-25 2021-12-30 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device including liner structure
CN115734826A (zh) 2020-07-03 2023-03-03 应用材料公司 用于翻新航空部件的方法
US11742282B2 (en) * 2020-08-07 2023-08-29 Micron Technology, Inc. Conductive interconnects
US20220228257A1 (en) 2021-01-21 2022-07-21 Taiwan Semiconductor Manufacturing Company Limited Tungsten deposition on a cobalt surface
US20230134230A1 (en) * 2021-11-01 2023-05-04 Applied Materials, Inc. Methods and apparatus for tungsten gap fill

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1314225A (zh) * 2000-02-18 2001-09-26 德克萨斯仪器股份有限公司 铜镀层集成电路焊点的结构和方法
US20040203233A1 (en) * 2003-04-08 2004-10-14 Sang-Bom Kang Compositions for depositing a metal layer and methods of forming a metal layer using the same
US20050064706A1 (en) * 2003-09-22 2005-03-24 Hyun-Su Kim Methods for forming cobalt layers including introducing vaporized cobalt precursors and methods for manufacturing semiconductor devices using the same
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
CN101159253A (zh) * 2007-10-31 2008-04-09 日月光半导体制造股份有限公司 凸块下金属层结构、晶圆结构与该晶圆结构的形成方法

Family Cites Families (430)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
FI118158B (sv) 1999-10-15 2007-07-31 Asm Int Förfarande för modifiering av utgångsämneskemikalierna i en ALD-prosess
US6482262B1 (en) 1959-10-10 2002-11-19 Asm Microchemistry Oy Deposition of transition metal carbides
FI117944B (fi) 1999-10-15 2007-04-30 Asm Int Menetelmä siirtymämetallinitridiohutkalvojen kasvattamiseksi
SE393967B (sv) 1974-11-29 1977-05-31 Sateko Oy Forfarande och for utforande av stroleggning mellan lagren i ett virkespaket
FI57975C (fi) 1979-02-28 1980-11-10 Lohja Ab Oy Foerfarande och anordning vid uppbyggande av tunna foereningshinnor
US4389973A (en) 1980-03-18 1983-06-28 Oy Lohja Ab Apparatus for performing growth of compound thin films
US4415275A (en) 1981-12-21 1983-11-15 Dietrich David E Swirl mixing device
JPS5898917A (ja) 1981-12-09 1983-06-13 Seiko Epson Corp 原子層エビタキシヤル装置
JPS5898917U (ja) 1981-12-26 1983-07-05 株式会社フジ医療器 椅子式マツサ−ジ機に付設した腕引伸ばし装置
FI64878C (fi) 1982-05-10 1984-01-10 Lohja Ab Oy Kombinationsfilm foer isynnerhet tunnfilmelektroluminensstrukturer
US4500409A (en) 1983-07-19 1985-02-19 Varian Associates, Inc. Magnetron sputter coating source for both magnetic and non magnetic target materials
JPS6119883A (ja) 1984-07-06 1986-01-28 Asahi Chem Ind Co Ltd 複合構造物
GB2162207B (en) 1984-07-26 1989-05-10 Japan Res Dev Corp Semiconductor crystal growth apparatus
US5294286A (en) 1984-07-26 1994-03-15 Research Development Corporation Of Japan Process for forming a thin film of silicon
JPS61174725A (ja) 1985-01-30 1986-08-06 Toshiba Corp 薄膜形成装置
JPH0547666Y2 (zh) 1985-03-15 1993-12-15
JPS61174725U (zh) 1985-04-22 1986-10-30
US5096364A (en) 1986-04-28 1992-03-17 Varian Associates, Inc. Wafer arm handler mechanism
US4761269A (en) 1986-06-12 1988-08-02 Crystal Specialties, Inc. Apparatus for depositing material on a substrate
JPH0639357B2 (ja) 1986-09-08 1994-05-25 新技術開発事業団 元素半導体単結晶薄膜の成長方法
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
DE3721637A1 (de) 1987-06-30 1989-01-12 Aixtron Gmbh Gaseinlass fuer eine mehrzahl verschiedener reaktionsgase in reaktionsgefaesse
JPS6428921A (en) 1987-07-24 1989-01-31 Tokuda Seisakusho Plasma treatment device
US4814294A (en) 1987-07-30 1989-03-21 Allied-Signal Inc. Method of growing cobalt silicide films by chemical vapor deposition
JPS6428921U (zh) 1987-08-12 1989-02-21
US4824544A (en) 1987-10-29 1989-04-25 International Business Machines Corporation Large area cathode lift-off sputter deposition device
DE3743938C2 (de) 1987-12-23 1995-08-31 Cs Halbleiter Solartech Verfahren zum Atomschicht-Epitaxie-Aufwachsen einer III/V-Verbindungshalbleiter-Dünnschicht
FR2628985B1 (fr) 1988-03-22 1990-12-28 Labo Electronique Physique Reacteur d'epitaxie a paroi protegee contre les depots
US5261959A (en) 1988-05-26 1993-11-16 General Electric Company Diamond crystal growth apparatus
JPH0824191B2 (ja) 1989-03-17 1996-03-06 富士通株式会社 薄膜トランジスタ
JP2895506B2 (ja) 1989-05-12 1999-05-24 東京エレクトロン株式会社 スパッタ装置
US5186718A (en) 1989-05-19 1993-02-16 Applied Materials, Inc. Staged-vacuum wafer processing system and method
DE69014027T2 (de) 1989-08-30 1995-06-01 Nec Corp Dünnfilmkondensatoren und deren Herstellungsverfahren.
JPH03140487A (ja) 1989-10-25 1991-06-14 Mitsubishi Electric Corp 電気化学反応器
EP0440377B1 (en) 1990-01-29 1998-03-18 Varian Associates, Inc. Collimated deposition apparatus and method
JPH03240944A (ja) 1990-02-17 1991-10-28 Masahiko Naoe アルミニウム薄膜形成用対向ターゲット式スパッタ法及び装置
US5320728A (en) 1990-03-30 1994-06-14 Applied Materials, Inc. Planar magnetron sputtering source producing improved coating thickness uniformity, step coverage and step coverage uniformity
US5242566A (en) 1990-04-23 1993-09-07 Applied Materials, Inc. Planar magnetron sputtering source enabling a controlled sputtering profile out to the target perimeter
US5225366A (en) 1990-06-22 1993-07-06 The United States Of America As Represented By The Secretary Of The Navy Apparatus for and a method of growing thin films of elemental semiconductors
US5252807A (en) 1990-07-02 1993-10-12 George Chizinsky Heated plate rapid thermal processor
US5483919A (en) 1990-08-31 1996-01-16 Nippon Telegraph And Telephone Corporation Atomic layer epitaxy method and apparatus
US5286296A (en) 1991-01-10 1994-02-15 Sony Corporation Multi-chamber wafer process equipment having plural, physically communicating transfer means
US5178681A (en) 1991-01-29 1993-01-12 Applied Materials, Inc. Suspension system for semiconductor reactors
JP2680202B2 (ja) 1991-03-20 1997-11-19 国際電気株式会社 気相成長方法及び装置
US5316793A (en) 1992-07-27 1994-05-31 Texas Instruments Incorporated Directed effusive beam atomic layer epitaxy system and method
US5173327A (en) 1991-06-18 1992-12-22 Micron Technology, Inc. LPCVD process for depositing titanium films for semiconductor devices
JPH05234899A (ja) 1991-09-17 1993-09-10 Hitachi Ltd 原子層エピタキシー装置
JPH05195213A (ja) 1992-01-22 1993-08-03 Hitachi Ltd スパッタリング装置
JP3126787B2 (ja) 1992-01-30 2001-01-22 理化学研究所 成膜方法および成膜装置
US5480818A (en) 1992-02-10 1996-01-02 Fujitsu Limited Method for forming a film and method for manufacturing a thin film transistor
JP3103186B2 (ja) 1992-03-19 2000-10-23 富士通株式会社 原子層エピタキシー装置および原子層エピタキシー法
US5660744A (en) 1992-03-26 1997-08-26 Kabushiki Kaisha Toshiba Plasma generating apparatus and surface processing apparatus
JPH05311419A (ja) 1992-04-01 1993-11-22 Nec Corp マグネトロン型スパッタ装置
US5306666A (en) 1992-07-24 1994-04-26 Nippon Steel Corporation Process for forming a thin metal film by chemical vapor deposition
US5338362A (en) 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5607009A (en) 1993-01-28 1997-03-04 Applied Materials, Inc. Method of heating and cooling large area substrates and apparatus therefor
US5335138A (en) 1993-02-12 1994-08-02 Micron Semiconductor, Inc. High dielectric constant capacitor and method of manufacture
JP3265042B2 (ja) 1993-03-18 2002-03-11 東京エレクトロン株式会社 成膜方法
US5443647A (en) 1993-04-28 1995-08-22 The United States Of America As Represented By The Secretary Of The Army Method and apparatus for depositing a refractory thin film by chemical vapor deposition
TW271490B (zh) 1993-05-05 1996-03-01 Varian Associates
US5526244A (en) 1993-05-24 1996-06-11 Bishop; Vernon R. Overhead luminaire
KR960005377Y1 (ko) 1993-06-24 1996-06-28 현대전자산업 주식회사 반도체 소자 제조용 스퍼터링 장치
US6171922B1 (en) 1993-09-01 2001-01-09 National Semiconductor Corporation SiCr thin film resistors having improved temperature coefficients of resistance and sheet resistance
JPH07126844A (ja) 1993-11-01 1995-05-16 Tatsuo Asamaki スパッタ装置
DE69403768T2 (de) 1993-12-28 1997-11-13 Tokyo Electron Ltd Dipolringmagnet für Magnetronzerstäubung oder Magnetronätzung
US5666247A (en) 1994-02-04 1997-09-09 Seagate Technology, Inc. No-field, low power FeMn deposition giving high exchange films
KR970009828B1 (en) 1994-02-23 1997-06-18 Sansung Electronics Co Ltd Fabrication method of collimator
JPH07300649A (ja) 1994-04-27 1995-11-14 Kobe Steel Ltd 耐摩耗性および耐酸化性に優れた硬質皮膜及び高硬度部材
JP3181171B2 (ja) 1994-05-20 2001-07-03 シャープ株式会社 気相成長装置および気相成長方法
US5796116A (en) 1994-07-27 1998-08-18 Sharp Kabushiki Kaisha Thin-film semiconductor device including a semiconductor film with high field-effect mobility
US5504041A (en) 1994-08-01 1996-04-02 Texas Instruments Incorporated Conductive exotic-nitride barrier layer for high-dielectric-constant materials
JPH0860355A (ja) 1994-08-23 1996-03-05 Tel Varian Ltd 処理装置
JP2655094B2 (ja) 1994-08-30 1997-09-17 日本電気株式会社 電子銃蒸着装置
US5616218A (en) 1994-09-12 1997-04-01 Matereials Research Corporation Modification and selection of the magnetic properties of magnetic recording media through selective control of the crystal texture of the recording layer
EP0703598A1 (en) 1994-09-26 1996-03-27 Applied Materials, Inc. Electrode between sputtering target and workpiece
US5945008A (en) 1994-09-29 1999-08-31 Sony Corporation Method and apparatus for plasma control
JP2671835B2 (ja) 1994-10-20 1997-11-05 日本電気株式会社 スパッタ装置とその装置を用いた半導体装置の製造方法
FI100409B (fi) 1994-11-28 1997-11-28 Asm Int Menetelmä ja laitteisto ohutkalvojen valmistamiseksi
FI97731C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Menetelmä ja laite ohutkalvojen valmistamiseksi
FI97730C (fi) 1994-11-28 1997-02-10 Mikrokemia Oy Laitteisto ohutkalvojen valmistamiseksi
US5527438A (en) 1994-12-16 1996-06-18 Applied Materials, Inc. Cylindrical sputtering shield
JPH08186085A (ja) 1994-12-28 1996-07-16 Nec Corp 半導体装置の製造方法
US5663088A (en) 1995-05-19 1997-09-02 Micron Technology, Inc. Method of forming a Ta2 O5 dielectric layer with amorphous diffusion barrier layer and method of forming a capacitor having a Ta2 O5 dielectric layer and amorphous diffusion barrier layer
US5632873A (en) 1995-05-22 1997-05-27 Stevens; Joseph J. Two piece anti-stick clamp ring
US5780361A (en) 1995-06-23 1998-07-14 Nec Corporation Salicide process for selectively forming a monocobalt disilicide film on a silicon region
CA2225681C (en) 1995-06-28 2001-09-11 Bell Communications Research, Inc. Barrier layer for ferroelectric capacitor integrated on silicon
KR0167248B1 (ko) 1995-07-24 1999-02-01 문정환 반도체 기판의 전처리방법
US5589039A (en) 1995-07-28 1996-12-31 Sony Corporation In-plane parallel bias magnetic field generator for sputter coating magnetic materials onto substrates
US6238533B1 (en) 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US5804488A (en) 1995-08-24 1998-09-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a tungsten silicide capacitor having a high breakdown voltage
US5650052A (en) 1995-10-04 1997-07-22 Edelstein; Sergio Variable cell size collimator
US6084302A (en) 1995-12-26 2000-07-04 Micron Technologies, Inc. Barrier layer cladding around copper interconnect lines
JPH09316643A (ja) 1996-02-15 1997-12-09 Mitsubishi Materials Corp 物理蒸着装置の防着部品
EP0793271A3 (en) 1996-02-22 1998-12-02 Matsushita Electric Industrial Co., Ltd. Semiconductor device having a metal silicide film and method of fabricating the same
EP0799903A3 (en) 1996-04-05 1999-11-17 Applied Materials, Inc. Methods of sputtering a metal onto a substrate and semiconductor processing apparatus
US6313035B1 (en) 1996-05-31 2001-11-06 Micron Technology, Inc. Chemical vapor deposition using organometallic precursors
US6342277B1 (en) 1996-08-16 2002-01-29 Licensee For Microelectronics: Asm America, Inc. Sequential chemical vapor deposition
US5736021A (en) 1996-07-10 1998-04-07 Applied Materials, Inc. Electrically floating shield in a plasma reactor
US5916365A (en) 1996-08-16 1999-06-29 Sherman; Arthur Sequential chemical vapor deposition
TW351825B (en) 1996-09-12 1999-02-01 Tokyo Electron Ltd Plasma process device
US5835677A (en) 1996-10-03 1998-11-10 Emcore Corporation Liquid vaporizer system and method
US5923056A (en) 1996-10-10 1999-07-13 Lucent Technologies Inc. Electronic components with doped metal oxide dielectric materials and a process for making electronic components with doped metal oxide dielectric materials
US6071572A (en) 1996-10-15 2000-06-06 Applied Materials, Inc. Forming tin thin films using remote activated specie generation
US6224312B1 (en) 1996-11-18 2001-05-01 Applied Materials, Inc. Optimal trajectory robot motion
US5886864A (en) 1996-12-02 1999-03-23 Applied Materials, Inc. Substrate support member for uniform heating of a substrate
US5807792A (en) 1996-12-18 1998-09-15 Siemens Aktiengesellschaft Uniform distribution of reactants in a device layer
JP4142753B2 (ja) 1996-12-26 2008-09-03 株式会社東芝 スパッタターゲット、スパッタ装置、半導体装置およびその製造方法
US6335280B1 (en) 1997-01-13 2002-01-01 Asm America, Inc. Tungsten silicide deposition process
US6221766B1 (en) 1997-01-24 2001-04-24 Steag Rtp Systems, Inc. Method and apparatus for processing refractory metals on semiconductor substrates
US20020019127A1 (en) * 1997-02-14 2002-02-14 Micron Technology, Inc. Interconnect structure and method of making
US5879459A (en) 1997-08-29 1999-03-09 Genus, Inc. Vertically-stacked process reactor and cluster tool system for atomic layer deposition
US6174377B1 (en) 1997-03-03 2001-01-16 Genus, Inc. Processing chamber for atomic layer deposition processes
JPH10308283A (ja) 1997-03-04 1998-11-17 Denso Corp El素子およびその製造方法
US5936831A (en) 1997-03-06 1999-08-10 Lucent Technologies Inc. Thin film tantalum oxide capacitors and resulting product
US5902129A (en) 1997-04-07 1999-05-11 Lsi Logic Corporation Process for forming improved cobalt silicide layer on integrated circuit structure using two capping layers
US6692617B1 (en) 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
TW417249B (en) 1997-05-14 2001-01-01 Applied Materials Inc Reliability barrier integration for cu application
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
FI972874A0 (fi) 1997-07-04 1997-07-04 Mikrokemia Oy Foerfarande och anordning foer framstaellning av tunnfilmer
US6073366A (en) 1997-07-11 2000-06-13 Asm America, Inc. Substrate cooling system and method
US6287965B1 (en) 1997-07-28 2001-09-11 Samsung Electronics Co, Ltd. Method of forming metal layer using atomic layer deposition and semiconductor device having the metal layer as barrier metal layer or upper or lower electrode of capacitor
KR100385946B1 (ko) 1999-12-08 2003-06-02 삼성전자주식회사 원자층 증착법을 이용한 금속층 형성방법 및 그 금속층을장벽금속층, 커패시터의 상부전극, 또는 하부전극으로구비한 반도체 소자
KR100269306B1 (ko) 1997-07-31 2000-10-16 윤종용 저온처리로안정화되는금속산화막으로구성된완충막을구비하는집적회로장치및그제조방법
KR100261017B1 (ko) 1997-08-19 2000-08-01 윤종용 반도체 장치의 금속 배선층을 형성하는 방법
US5913145A (en) * 1997-08-28 1999-06-15 Texas Instruments Incorporated Method for fabricating thermally stable contacts with a diffusion barrier formed at high temperatures
US5879523A (en) 1997-09-29 1999-03-09 Applied Materials, Inc. Ceramic coated metallic insulator particularly useful in a plasma sputter reactor
US6348376B2 (en) 1997-09-29 2002-02-19 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact and capacitor of semiconductor device using the same
US6197683B1 (en) 1997-09-29 2001-03-06 Samsung Electronics Co., Ltd. Method of forming metal nitride film by chemical vapor deposition and method of forming metal contact of semiconductor device using the same
US6071055A (en) 1997-09-30 2000-06-06 Applied Materials, Inc. Front end vacuum processing environment
KR100274603B1 (ko) 1997-10-01 2001-01-15 윤종용 반도체장치의제조방법및그의제조장치
US6235634B1 (en) 1997-10-08 2001-05-22 Applied Komatsu Technology, Inc. Modular substrate processing system
JP3569133B2 (ja) 1997-10-29 2004-09-22 Necエレクトロニクス株式会社 半導体装置の製造方法
US6861356B2 (en) 1997-11-05 2005-03-01 Tokyo Electron Limited Method of forming a barrier film and method of forming wiring structure and electrodes of semiconductor device having a barrier film
US6179983B1 (en) 1997-11-13 2001-01-30 Novellus Systems, Inc. Method and apparatus for treating surface including virtual anode
US6007403A (en) 1997-11-17 1999-12-28 Urspringer; Steven E. Flexible constrictor for inflatable bodies
US5972430A (en) 1997-11-26 1999-10-26 Advanced Technology Materials, Inc. Digital chemical vapor deposition (CVD) method for forming a multi-component oxide layer
US6099904A (en) 1997-12-02 2000-08-08 Applied Materials, Inc. Low resistivity W using B2 H6 nucleation step
FI104383B (fi) 1997-12-09 2000-01-14 Fortum Oil & Gas Oy Menetelmä laitteistojen sisäpintojen päällystämiseksi
KR100269328B1 (ko) 1997-12-31 2000-10-16 윤종용 원자층 증착 공정을 이용하는 도전층 형성방법
US6015917A (en) 1998-01-23 2000-01-18 Advanced Technology Materials, Inc. Tantalum amide precursors for deposition of tantalum nitride on a substrate
US6074922A (en) 1998-03-13 2000-06-13 Taiwan Semiconductor Manufacturing Company Enhanced structure for salicide MOSFET
US6214731B1 (en) * 1998-03-25 2001-04-10 Advanced Micro Devices, Inc. Copper metalization with improved electromigration resistance
KR100267885B1 (ko) 1998-05-18 2000-11-01 서성기 반도체 박막증착장치
KR100282853B1 (ko) 1998-05-18 2001-04-02 서성기 연속기체분사에의한반도체박막증착장치
NL1009327C2 (nl) 1998-06-05 1999-12-10 Asm Int Werkwijze en inrichting voor het overbrengen van wafers.
KR100319888B1 (ko) 1998-06-16 2002-01-10 윤종용 선택적 금속층 형성방법, 이를 이용한 커패시터 형성 및 콘택홀 매립방법
KR100278657B1 (ko) 1998-06-24 2001-02-01 윤종용 반도체장치의금속배선구조및그제조방법
JP2000031387A (ja) 1998-07-14 2000-01-28 Fuji Electric Co Ltd 誘電体薄膜コンデンサの製造方法
JP3375302B2 (ja) 1998-07-29 2003-02-10 東京エレクトロン株式会社 マグネトロンプラズマ処理装置および処理方法
US6592728B1 (en) 1998-08-04 2003-07-15 Veeco-Cvc, Inc. Dual collimated deposition apparatus and method of use
KR100275738B1 (ko) 1998-08-07 2000-12-15 윤종용 원자층 증착법을 이용한 박막 제조방법
KR20000013654A (ko) 1998-08-12 2000-03-06 윤종용 원자층 증착 방법으로 형성한 알루미나/알루미늄나이트라이드복합 유전체막을 갖는 캐패시터와 그제조 방법
GB2340845B (en) 1998-08-19 2001-01-31 Kobe Steel Ltd Magnetron sputtering apparatus
KR20000022003A (ko) 1998-09-10 2000-04-25 이경수 금속과규소를포함한3성분질화물막의형성방법
FI108375B (fi) 1998-09-11 2002-01-15 Asm Microchemistry Oy Menetelmõ eristõvien oksidiohutkalvojen valmistamiseksi
KR100287180B1 (ko) 1998-09-17 2001-04-16 윤종용 계면 조절층을 이용하여 금속 배선층을 형성하는 반도체 소자의 제조 방법
US6132575A (en) 1998-09-28 2000-10-17 Alcatel Magnetron reactor for providing a high density, inductively coupled plasma source for sputtering metal and dielectric films
US6251759B1 (en) 1998-10-03 2001-06-26 Applied Materials, Inc. Method and apparatus for depositing material upon a semiconductor wafer using a transition chamber of a multiple chamber semiconductor wafer processing system
KR100327328B1 (ko) 1998-10-13 2002-05-09 윤종용 부분적으로다른두께를갖는커패시터의유전막형성방버뵤
KR100297719B1 (ko) 1998-10-16 2001-08-07 윤종용 박막제조방법
US20030101938A1 (en) 1998-10-27 2003-06-05 Applied Materials, Inc. Apparatus for the deposition of high dielectric constant films
US6454860B2 (en) 1998-10-27 2002-09-24 Applied Materials, Inc. Deposition reactor having vaporizing, mixing and cleaning capabilities
TW442891B (en) 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
JP3580159B2 (ja) 1998-12-18 2004-10-20 東京エレクトロン株式会社 タングステン膜の成膜方法
US6294836B1 (en) 1998-12-22 2001-09-25 Cvc Products Inc. Semiconductor chip interconnect barrier material and fabrication method
KR100331544B1 (ko) 1999-01-18 2002-04-06 윤종용 반응챔버에 가스를 유입하는 방법 및 이에 사용되는 샤워헤드
US6165807A (en) 1999-01-25 2000-12-26 Taiwan Smiconductor Manufacturing Company Method for forming junction leakage monitor for mosfets with silicide contacts
US6225176B1 (en) 1999-02-22 2001-05-01 Advanced Micro Devices, Inc. Step drain and source junction formation
US6540838B2 (en) 2000-11-29 2003-04-01 Genus, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6305314B1 (en) 1999-03-11 2001-10-23 Genvs, Inc. Apparatus and concept for minimizing parasitic chemical vapor deposition during atomic layer deposition
US6200893B1 (en) 1999-03-11 2001-03-13 Genus, Inc Radical-assisted sequential CVD
US6958174B1 (en) 1999-03-15 2005-10-25 Regents Of The University Of Colorado Solid material comprising a thin metal film on its surface and methods for producing the same
KR100273473B1 (ko) 1999-04-06 2000-11-15 이경수 박막 형성 방법
US6194315B1 (en) * 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
KR100347379B1 (ko) 1999-05-01 2002-08-07 주식회사 피케이엘 복수매 기판의 박막 증착 공정이 가능한 원자층 증착장치
FI118342B (fi) 1999-05-10 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
US6218298B1 (en) 1999-05-19 2001-04-17 Infineon Technologies North America Corp. Tungsten-filled deep trenches
US6365511B1 (en) * 1999-06-03 2002-04-02 Agere Systems Guardian Corp. Tungsten silicide nitride as a barrier for high temperature anneals to improve hot carrier reliability
US6124158A (en) 1999-06-08 2000-09-26 Lucent Technologies Inc. Method of reducing carbon contamination of a thin dielectric film by using gaseous organic precursors, inert gas, and ozone to react with carbon contaminants
US6539891B1 (en) 1999-06-19 2003-04-01 Genitech, Inc. Chemical deposition reactor and method of forming a thin film using the same
US6524952B1 (en) 1999-06-25 2003-02-25 Applied Materials, Inc. Method of forming a titanium silicide layer on a substrate
KR100319494B1 (ko) 1999-07-15 2002-01-09 김용일 원자층 에피택시 공정을 위한 반도체 박막 증착장치
KR20010017820A (ko) 1999-08-14 2001-03-05 윤종용 반도체 소자 및 그 제조방법
US6984415B2 (en) 1999-08-20 2006-01-10 International Business Machines Corporation Delivery systems for gases for gases via the sublimation of solid precursors
US6391785B1 (en) 1999-08-24 2002-05-21 Interuniversitair Microelektronica Centrum (Imec) Method for bottomless deposition of barrier layers in integrated circuit metallization schemes
US6511539B1 (en) 1999-09-08 2003-01-28 Asm America, Inc. Apparatus and method for growth of a thin film
JP4049978B2 (ja) 1999-09-15 2008-02-20 三星電子株式会社 メッキを用いた金属配線形成方法
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
JP2001095821A (ja) 1999-09-30 2001-04-10 Lion Corp 歯間清掃具
TW515032B (en) 1999-10-06 2002-12-21 Samsung Electronics Co Ltd Method of forming thin film using atomic layer deposition method
US6398929B1 (en) 1999-10-08 2002-06-04 Applied Materials, Inc. Plasma reactor and shields generating self-ionized plasma for sputtering
FI117942B (fi) 1999-10-14 2007-04-30 Asm Int Menetelmä oksidiohutkalvojen kasvattamiseksi
WO2001029891A1 (en) 1999-10-15 2001-04-26 Asm America, Inc. Conformal lining layers for damascene metallization
AU1088401A (en) 1999-10-15 2001-04-30 Asm Microchemistry Oy Deposition of transition metal carbides
AU1208201A (en) 1999-10-15 2001-04-30 Asm America, Inc. Method for depositing nanolaminate thin films on sensitive surfaces
US6203613B1 (en) 1999-10-19 2001-03-20 International Business Machines Corporation Atomic layer deposition with nitrate containing precursors
KR100304714B1 (ko) 1999-10-20 2001-11-02 윤종용 금속 할로겐 가스를 사용한 반도체 소자의 금속 박막 형성방법
KR100341849B1 (ko) 1999-11-05 2002-06-26 박종섭 반도체 소자의 금속 배선 형성 방법
US6548112B1 (en) 1999-11-18 2003-04-15 Tokyo Electron Limited Apparatus and method for delivery of precursor vapor from low vapor pressure liquid sources to a CVD chamber
KR20010047128A (ko) 1999-11-18 2001-06-15 이경수 액체원료 기화방법 및 그에 사용되는 장치
WO2001038486A2 (en) 1999-11-22 2001-05-31 Human Genome Sciences, Inc. Kunitz-type protease inhibitor polynucleotides, polypeptides, and antibodies
US6534404B1 (en) 1999-11-24 2003-03-18 Novellus Systems, Inc. Method of depositing diffusion barrier for copper interconnect in integrated circuit
US6780704B1 (en) 1999-12-03 2004-08-24 Asm International Nv Conformal thin films over textured capacitor electrodes
KR100330749B1 (ko) 1999-12-17 2002-04-03 서성기 반도체 박막증착장치
KR100705926B1 (ko) 1999-12-22 2007-04-11 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
KR100624903B1 (ko) 1999-12-22 2006-09-19 주식회사 하이닉스반도체 반도체 소자의 캐패시터 제조방법
FI118343B (fi) 1999-12-28 2007-10-15 Asm Int Laite ohutkalvojen valmistamiseksi
FI118474B (fi) 1999-12-28 2007-11-30 Asm Int Laite ohutkalvojen valmistamiseksi
US6475854B2 (en) 1999-12-30 2002-11-05 Applied Materials, Inc. Method of forming metal electrodes
US6495854B1 (en) 1999-12-30 2002-12-17 International Business Machines Corporation Quantum computing with d-wave superconductors
JP4817210B2 (ja) 2000-01-06 2011-11-16 東京エレクトロン株式会社 成膜装置および成膜方法
FI20000099A0 (fi) 2000-01-18 2000-01-18 Asm Microchemistry Ltd Menetelmä metalliohutkalvojen kasvattamiseksi
JP4362919B2 (ja) 2000-02-04 2009-11-11 株式会社デンソー 原子層エピタキシャル成長法による成膜方法
KR100378871B1 (ko) 2000-02-16 2003-04-07 주식회사 아펙스 라디칼 증착을 위한 샤워헤드장치
US6627995B2 (en) 2000-03-03 2003-09-30 Cvc Products, Inc. Microelectronic interconnect material with adhesion promotion layer and fabrication method
EP1266054B1 (en) 2000-03-07 2006-12-20 Asm International N.V. Graded thin films
US6274484B1 (en) 2000-03-17 2001-08-14 Taiwan Semiconductor Manufacturing Company Fabrication process for low resistivity tungsten layer with good adhesion to insulator layers
TW496907B (en) 2000-04-14 2002-08-01 Asm Microchemistry Oy Method and apparatus of growing a thin film onto a substrate
FI117980B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä ohutkalvon kasvattamiseksi alustalle
US7060132B2 (en) 2000-04-14 2006-06-13 Asm International N.V. Method and apparatus of growing a thin film
FI117979B (fi) 2000-04-14 2007-05-15 Asm Int Menetelmä oksidiohutkalvojen valmistamiseksi
KR100363088B1 (ko) 2000-04-20 2002-12-02 삼성전자 주식회사 원자층 증착방법을 이용한 장벽 금속막의 제조방법
US6482733B2 (en) 2000-05-15 2002-11-19 Asm Microchemistry Oy Protective layers prior to alternating layer deposition
FI118805B (fi) 2000-05-15 2008-03-31 Asm Int Menetelmä ja kokoonpano kaasufaasireaktantin syöttämiseksi reaktiokammioon
US6759325B2 (en) 2000-05-15 2004-07-06 Asm Microchemistry Oy Sealing porous structures
TW508658B (en) 2000-05-15 2002-11-01 Asm Microchemistry Oy Process for producing integrated circuits
KR100427423B1 (ko) 2000-05-25 2004-04-13 가부시키가이샤 고베 세이코쇼 Cvd용 인너튜브
KR100403611B1 (ko) 2000-06-07 2003-11-01 삼성전자주식회사 금속-절연체-금속 구조의 커패시터 및 그 제조방법
KR100647442B1 (ko) 2000-06-07 2006-11-17 주성엔지니어링(주) 원자층 증착법을 이용한 박막 형성방법
US7253076B1 (en) 2000-06-08 2007-08-07 Micron Technologies, Inc. Methods for forming and integrated circuit structures containing ruthenium and tungsten containing layers
WO2001099166A1 (en) 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
KR100387255B1 (ko) 2000-06-20 2003-06-11 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성 방법
KR100332314B1 (ko) 2000-06-24 2002-04-12 서성기 박막증착용 반응용기
KR100332313B1 (ko) 2000-06-24 2002-04-12 서성기 Ald 박막증착장치 및 증착방법
US6620723B1 (en) 2000-06-27 2003-09-16 Applied Materials, Inc. Formation of boride barrier layers using chemisorption techniques
US6551929B1 (en) 2000-06-28 2003-04-22 Applied Materials, Inc. Bifurcated deposition process for depositing refractory metal layers employing atomic layer deposition and chemical vapor deposition techniques
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US6585823B1 (en) 2000-07-07 2003-07-01 Asm International, N.V. Atomic layer deposition
US6592942B1 (en) 2000-07-07 2003-07-15 Asm International N.V. Method for vapour deposition of a film onto a substrate
FI20001694A0 (fi) 2000-07-20 2000-07-20 Asm Microchemistry Oy Menetelmä ohutkalvon kasvattamiseksi substraatille
KR100444149B1 (ko) 2000-07-22 2004-08-09 주식회사 아이피에스 Ald 박막증착설비용 클리닝방법
US6368954B1 (en) 2000-07-28 2002-04-09 Advanced Micro Devices, Inc. Method of copper interconnect formation using atomic layer copper deposition
KR100630666B1 (ko) 2000-08-09 2006-10-02 삼성전자주식회사 금속 콘택 및 커패시터를 포함하는 반도체 소자 제조방법
KR100396879B1 (ko) 2000-08-11 2003-09-02 삼성전자주식회사 동일 물질로 이루어진 이중막을 포함하는 다중막으로캡슐화된 캐패시터를 구비한 반도체 메모리 소자 및 그의제조 방법
US6302965B1 (en) 2000-08-15 2001-10-16 Applied Materials, Inc. Dispersion plate for flowing vaporizes compounds used in chemical vapor deposition of films onto semiconductor surfaces
US6903005B1 (en) 2000-08-30 2005-06-07 Micron Technology, Inc. Method for the formation of RuSixOy-containing barrier layers for high-k dielectrics
US6444263B1 (en) 2000-09-15 2002-09-03 Cvc Products, Inc. Method of chemical-vapor deposition of a material
US6527855B2 (en) 2000-10-10 2003-03-04 Rensselaer Polytechnic Institute Atomic layer deposition of cobalt from cobalt metallorganic compounds
KR100436941B1 (ko) 2000-11-07 2004-06-23 주성엔지니어링(주) 박막 증착 장치 및 그 방법
US6355561B1 (en) 2000-11-21 2002-03-12 Micron Technology, Inc. ALD method to improve surface coverage
US6613695B2 (en) 2000-11-24 2003-09-02 Asm America, Inc. Surface preparation prior to deposition
EP1340269B1 (en) 2000-11-30 2009-02-25 Asm International N.V. Thin films for magnetic devices
US6428859B1 (en) 2000-12-06 2002-08-06 Angstron Systems, Inc. Sequential method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100386034B1 (ko) 2000-12-06 2003-06-02 에이에스엠 마이크로케미스트리 리미티드 확산 방지막의 결정립계를 금속산화물로 충진한 구리 배선구조의 반도체 소자 제조 방법
US6878402B2 (en) 2000-12-06 2005-04-12 Novellus Systems, Inc. Method and apparatus for improved temperature control in atomic layer deposition
US20020197402A1 (en) 2000-12-06 2002-12-26 Chiang Tony P. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US6416822B1 (en) 2000-12-06 2002-07-09 Angstrom Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
TW511135B (en) 2000-12-06 2002-11-21 Angstron Systems Inc Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
US20020104481A1 (en) 2000-12-06 2002-08-08 Chiang Tony P. System and method for modulated ion-induced atomic layer deposition (MII-ALD)
US6949450B2 (en) 2000-12-06 2005-09-27 Novellus Systems, Inc. Method for integrated in-situ cleaning and subsequent atomic layer deposition within a single processing chamber
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
AU2002232844A1 (en) 2000-12-06 2002-06-18 Angstron Systems, Inc. System and method for modulated ion-induced atomic layer deposition (mii-ald)
US20020076507A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Process sequence for atomic layer deposition
US20020073924A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Gas introduction system for a reactor
US6630201B2 (en) 2001-04-05 2003-10-07 Angstron Systems, Inc. Adsorption process for atomic layer deposition
US20020076481A1 (en) 2000-12-15 2002-06-20 Chiang Tony P. Chamber pressure state-based control for a reactor
KR20020049875A (ko) 2000-12-20 2002-06-26 윤종용 반도체 메모리 소자의 강유전체 커패시터 및 그 제조방법
JP3963078B2 (ja) 2000-12-25 2007-08-22 株式会社高純度化学研究所 ターシャリーアミルイミドトリス(ジメチルアミド)タンタルとその製造方法及びそれを用いたmocvd用原料溶液並びにそれを用いた窒化タンタル膜の形成方法
KR20020056260A (ko) 2000-12-29 2002-07-10 박종섭 반도체 소자의 금속 게이트 형성방법
US20020086111A1 (en) 2001-01-03 2002-07-04 Byun Jeong Soo Method of forming refractory metal nitride layers using chemisorption techniques
US6346477B1 (en) 2001-01-09 2002-02-12 Research Foundation Of Suny - New York Method of interlayer mediated epitaxy of cobalt silicide from low temperature chemical vapor deposition of cobalt
KR100434487B1 (ko) 2001-01-17 2004-06-05 삼성전자주식회사 샤워 헤드 및 이를 포함하는 박막 형성 장비
KR100400031B1 (ko) 2001-01-17 2003-09-29 삼성전자주식회사 반도체 소자의 콘택 플러그 및 그 형성 방법
JP2002222934A (ja) 2001-01-29 2002-08-09 Nec Corp 半導体装置およびその製造方法
US6951804B2 (en) 2001-02-02 2005-10-04 Applied Materials, Inc. Formation of a tantalum-nitride layer
US6844604B2 (en) 2001-02-02 2005-01-18 Samsung Electronics Co., Ltd. Dielectric layer for semiconductor device and method of manufacturing the same
KR100400033B1 (ko) 2001-02-08 2003-09-29 삼성전자주식회사 다층 배선 구조를 갖는 반도체 소자 및 그의 제조방법
AU2002306436A1 (en) 2001-02-12 2002-10-15 Asm America, Inc. Improved process for deposition of semiconductor films
KR100395766B1 (ko) 2001-02-12 2003-08-25 삼성전자주식회사 강유전체 기억 소자 및 그 형성 방법
US6613656B2 (en) 2001-02-13 2003-09-02 Micron Technology, Inc. Sequential pulse deposition
US6326306B1 (en) * 2001-02-15 2001-12-04 United Microelectronics Corp. Method of forming copper dual damascene structure
US20020117399A1 (en) 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6660126B2 (en) 2001-03-02 2003-12-09 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US20020121241A1 (en) 2001-03-02 2002-09-05 Nguyen Anh N. Processing chamber and method of distributing process fluids therein to facilitate sequential deposition of films
US6734020B2 (en) 2001-03-07 2004-05-11 Applied Materials, Inc. Valve control system for atomic layer deposition chamber
FI109770B (fi) 2001-03-16 2002-10-15 Asm Microchemistry Oy Menetelmä metallinitridiohutkalvojen valmistamiseksi
US7348042B2 (en) 2001-03-19 2008-03-25 Novellus Systems, Inc. Continuous method for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
KR100500013B1 (ko) 2001-04-02 2005-07-12 마츠시타 덴끼 산교 가부시키가이샤 반도체장치 및 그 제조방법
US6369430B1 (en) 2001-04-02 2002-04-09 Motorola, Inc. Method of preventing two neighboring contacts from a short-circuit caused by a void between them and device having the same
US20020144655A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. Gas valve system for a reactor
US20020144657A1 (en) 2001-04-05 2002-10-10 Chiang Tony P. ALD reactor employing electrostatic chuck
US6420189B1 (en) 2001-04-27 2002-07-16 Advanced Micro Devices, Inc. Superconducting damascene interconnected for integrated circuit
US6447933B1 (en) 2001-04-30 2002-09-10 Advanced Micro Devices, Inc. Formation of alloy material using alternating depositions of alloy doping element and bulk material
US6635965B1 (en) 2001-05-22 2003-10-21 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
KR100363332B1 (en) 2001-05-23 2002-12-05 Samsung Electronics Co Ltd Method for forming semiconductor device having gate all-around type transistor
US6828218B2 (en) 2001-05-31 2004-12-07 Samsung Electronics Co., Ltd. Method of forming a thin film using atomic layer deposition
US6849545B2 (en) 2001-06-20 2005-02-01 Applied Materials, Inc. System and method to form a composite film stack utilizing sequential deposition techniques
JP4680429B2 (ja) 2001-06-26 2011-05-11 Okiセミコンダクタ株式会社 テキスト音声変換装置における高速読上げ制御方法
TW539822B (en) 2001-07-03 2003-07-01 Asm Inc Source chemical container assembly
JP2005518088A (ja) 2001-07-16 2005-06-16 アプライド マテリアルズ インコーポレイテッド タングステン複合膜の形成
US20030198754A1 (en) 2001-07-16 2003-10-23 Ming Xi Aluminum oxide chamber and process
US20030017697A1 (en) 2001-07-19 2003-01-23 Kyung-In Choi Methods of forming metal layers using metallic precursors
US7098131B2 (en) 2001-07-19 2006-08-29 Samsung Electronics Co., Ltd. Methods for forming atomic layers and thin films including tantalum nitride and devices including the same
US7105444B2 (en) 2001-07-19 2006-09-12 Samsung Electronics Co., Ltd. Method for forming a wiring of a semiconductor device, method for forming a metal layer of a semiconductor device and apparatus for performing the same
US20030015421A1 (en) 2001-07-20 2003-01-23 Applied Materials, Inc. Collimated sputtering of cobalt
US20080268635A1 (en) 2001-07-25 2008-10-30 Sang-Ho Yu Process for forming cobalt and cobalt silicide materials in copper contact applications
US20030029715A1 (en) 2001-07-25 2003-02-13 Applied Materials, Inc. An Apparatus For Annealing Substrates In Physical Vapor Deposition Systems
US8110489B2 (en) 2001-07-25 2012-02-07 Applied Materials, Inc. Process for forming cobalt-containing materials
SG126681A1 (en) 2001-07-25 2006-11-29 Inst Data Storage Oblique deposition apparatus
US20090004850A1 (en) 2001-07-25 2009-01-01 Seshadri Ganguli Process for forming cobalt and cobalt silicide materials in tungsten contact applications
WO2003030224A2 (en) 2001-07-25 2003-04-10 Applied Materials, Inc. Barrier formation using novel sputter-deposition method
EP1421606A4 (en) 2001-08-06 2008-03-05 Genitech Co Ltd PLASMA ACTIVE ATOMIC LAYER (PEALD) DEPOSITION APPARATUS AND METHOD OF FORMING THIN FILM USING SAID APPARATUS
US6820570B2 (en) 2001-08-15 2004-11-23 Nobel Biocare Services Ag Atomic layer deposition reactor
US6548906B2 (en) 2001-08-22 2003-04-15 Agere Systems Inc. Method for reducing a metal seam in an interconnect structure and a device manufactured thereby
US6806145B2 (en) 2001-08-31 2004-10-19 Asm International, N.V. Low temperature method of forming a gate stack with a high k layer deposited over an interfacial oxide layer
US20030042630A1 (en) 2001-09-05 2003-03-06 Babcoke Jason E. Bubbler for gas delivery
US6718126B2 (en) 2001-09-14 2004-04-06 Applied Materials, Inc. Apparatus and method for vaporizing solid precursor for CVD or atomic layer deposition
JP4938962B2 (ja) 2001-09-14 2012-05-23 エーエスエム インターナショナル エヌ.ヴェー. ゲッタリング反応物を用いるaldによる金属窒化物堆積
US20030049931A1 (en) 2001-09-19 2003-03-13 Applied Materials, Inc. Formation of refractory metal nitrides using chemisorption techniques
KR20030025494A (ko) 2001-09-21 2003-03-29 삼성전자주식회사 루테늄막과 금속층간의 콘택을 포함하는 반도체 장치 및그의 제조 방법
US6607976B2 (en) 2001-09-25 2003-08-19 Applied Materials, Inc. Copper interconnect barrier layer structure and formation method
US6936906B2 (en) 2001-09-26 2005-08-30 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030059538A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US20030057526A1 (en) 2001-09-26 2003-03-27 Applied Materials, Inc. Integration of barrier layer and seed layer
US6960537B2 (en) 2001-10-02 2005-11-01 Asm America, Inc. Incorporation of nitrogen into high k dielectric film
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
TW512504B (en) 2001-10-12 2002-12-01 Advanced Semiconductor Eng Package substrate having protruded and recessed side edge
US20030072884A1 (en) 2001-10-15 2003-04-17 Applied Materials, Inc. Method of titanium and titanium nitride layer deposition
US7780785B2 (en) 2001-10-26 2010-08-24 Applied Materials, Inc. Gas delivery apparatus for atomic layer deposition
US6916398B2 (en) 2001-10-26 2005-07-12 Applied Materials, Inc. Gas delivery apparatus and method for atomic layer deposition
US6902624B2 (en) 2001-10-29 2005-06-07 Genus, Inc. Massively parallel atomic layer deposition/chemical vapor deposition system
JP4342131B2 (ja) * 2001-10-30 2009-10-14 富士通マイクロエレクトロニクス株式会社 容量素子の製造方法及び半導体装置の製造方法
US6423619B1 (en) 2001-11-30 2002-07-23 Motorola, Inc. Transistor metal gate structure that minimizes non-planarity effects and method of formation
US6773507B2 (en) 2001-12-06 2004-08-10 Applied Materials, Inc. Apparatus and method for fast-cycle atomic layer deposition
US7081271B2 (en) 2001-12-07 2006-07-25 Applied Materials, Inc. Cyclical deposition of refractory metal silicon nitride
US6729824B2 (en) 2001-12-14 2004-05-04 Applied Materials, Inc. Dual robot processing system
US6939801B2 (en) 2001-12-21 2005-09-06 Applied Materials, Inc. Selective deposition of a barrier layer on a dielectric material
US20030116087A1 (en) 2001-12-21 2003-06-26 Nguyen Anh N. Chamber hardware design for titanium nitride atomic layer deposition
US20030123216A1 (en) 2001-12-27 2003-07-03 Yoon Hyungsuk A. Deposition of tungsten for the formation of conformal tungsten silicide
US6674138B1 (en) 2001-12-31 2004-01-06 Advanced Micro Devices, Inc. Use of high-k dielectric materials in modified ONO structure for semiconductor devices
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
US6998014B2 (en) 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6866746B2 (en) 2002-01-26 2005-03-15 Applied Materials, Inc. Clamshell and small volume chamber with fixed substrate support
US6824816B2 (en) 2002-01-29 2004-11-30 Asm International N.V. Process for producing metal thin films by ALD
US7063981B2 (en) 2002-01-30 2006-06-20 Asm International N.V. Active pulse monitoring in a chemical reactor
US6743340B2 (en) 2002-02-05 2004-06-01 Applied Materials, Inc. Sputtering of aligned magnetic materials and magnetic dipole ring used therefor
US6777352B2 (en) 2002-02-11 2004-08-17 Applied Materials, Inc. Variable flow deposition apparatus and method in semiconductor substrate processing
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US6833161B2 (en) 2002-02-26 2004-12-21 Applied Materials, Inc. Cyclical deposition of tungsten nitride for metal oxide gate electrode
US6972267B2 (en) 2002-03-04 2005-12-06 Applied Materials, Inc. Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US6753618B2 (en) 2002-03-11 2004-06-22 Micron Technology, Inc. MIM capacitor with metal nitride electrode materials and method of formation
US20030216981A1 (en) 2002-03-12 2003-11-20 Michael Tillman Method and system for hosting centralized online point-of-sale activities for a plurality of distributed customers and vendors
US6720027B2 (en) 2002-04-08 2004-04-13 Applied Materials, Inc. Cyclical deposition of a variable content titanium silicon nitride layer
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system
US6875271B2 (en) 2002-04-09 2005-04-05 Applied Materials, Inc. Simultaneous cyclical deposition in different processing regions
US20030194825A1 (en) 2002-04-10 2003-10-16 Kam Law Deposition of gate metallization for active matrix liquid crystal display (AMLCD) applications
US7279432B2 (en) 2002-04-16 2007-10-09 Applied Materials, Inc. System and method for forming an integrated barrier layer
US6932871B2 (en) 2002-04-16 2005-08-23 Applied Materials, Inc. Multi-station deposition apparatus and method
US6778762B1 (en) 2002-04-17 2004-08-17 Novellus Systems, Inc. Sloped chamber top for substrate processing
US20030235961A1 (en) 2002-04-17 2003-12-25 Applied Materials, Inc. Cyclical sequential deposition of multicomponent films
US20030203616A1 (en) 2002-04-24 2003-10-30 Applied Materials, Inc. Atomic layer deposition of tungsten barrier layers using tungsten carbonyls and boranes for copper metallization
US7164165B2 (en) 2002-05-16 2007-01-16 Micron Technology, Inc. MIS capacitor
US20030213560A1 (en) 2002-05-16 2003-11-20 Yaxin Wang Tandem wafer processing system and process
KR100505043B1 (ko) 2002-05-25 2005-07-29 삼성전자주식회사 커패시터 형성 방법
US20030224217A1 (en) 2002-05-31 2003-12-04 Applied Materials, Inc. Metal nitride formation
US7910165B2 (en) 2002-06-04 2011-03-22 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US7041335B2 (en) 2002-06-04 2006-05-09 Applied Materials, Inc. Titanium tantalum nitride silicide layer
US7404985B2 (en) 2002-06-04 2008-07-29 Applied Materials, Inc. Noble metal layer formation for copper film deposition
US7264846B2 (en) 2002-06-04 2007-09-04 Applied Materials, Inc. Ruthenium layer formation for copper film deposition
US6743721B2 (en) 2002-06-10 2004-06-01 United Microelectronics Corp. Method and system for making cobalt silicide
US7005697B2 (en) 2002-06-21 2006-02-28 Micron Technology, Inc. Method of forming a non-volatile electron storage memory and the resulting device
KR100476926B1 (ko) 2002-07-02 2005-03-17 삼성전자주식회사 반도체 소자의 듀얼 게이트 형성방법
US6838125B2 (en) 2002-07-10 2005-01-04 Applied Materials, Inc. Method of film deposition using activated precursor gases
EP1522090A4 (en) 2002-07-15 2006-04-05 Aviza Tech Inc THERMAL PROCESSING SYSTEM AND CONFIGURABLE VERTICAL CHAMBER
US20040013803A1 (en) 2002-07-16 2004-01-22 Applied Materials, Inc. Formation of titanium nitride films using a cyclical deposition process
US7186385B2 (en) 2002-07-17 2007-03-06 Applied Materials, Inc. Apparatus for providing gas to a processing chamber
US7081409B2 (en) 2002-07-17 2006-07-25 Samsung Electronics Co., Ltd. Methods of producing integrated circuit devices utilizing tantalum amine derivatives
US6955211B2 (en) 2002-07-17 2005-10-18 Applied Materials, Inc. Method and apparatus for gas temperature control in a semiconductor processing system
US7066194B2 (en) 2002-07-19 2006-06-27 Applied Materials, Inc. Valve design and configuration for fast delivery system
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6772072B2 (en) 2002-07-22 2004-08-03 Applied Materials, Inc. Method and apparatus for monitoring solid precursor delivery
US7300038B2 (en) 2002-07-23 2007-11-27 Advanced Technology Materials, Inc. Method and apparatus to help promote contact of gas with vaporized material
US6921062B2 (en) 2002-07-23 2005-07-26 Advanced Technology Materials, Inc. Vaporizer delivery ampoule
US6915592B2 (en) 2002-07-29 2005-07-12 Applied Materials, Inc. Method and apparatus for generating gas to a processing chamber
KR100475084B1 (ko) 2002-08-02 2005-03-10 삼성전자주식회사 Dram 반도체 소자 및 그 제조방법
KR100542736B1 (ko) 2002-08-17 2006-01-11 삼성전자주식회사 원자층 증착법을 이용한 산화막의 형성방법 및 이를이용한 반도체 장치의 캐패시터 형성방법
US6790773B1 (en) 2002-08-28 2004-09-14 Novellus Systems, Inc. Process for forming barrier/seed structures for integrated circuits
US6958300B2 (en) 2002-08-28 2005-10-25 Micron Technology, Inc. Systems and methods for forming metal oxides using metal organo-amines and metal organo-oxides
JP4188033B2 (ja) 2002-08-30 2008-11-26 本田技研工業株式会社 油圧緩衝機の取付構造
US6784096B2 (en) 2002-09-11 2004-08-31 Applied Materials, Inc. Methods and apparatus for forming barrier layers in high aspect ratio vias
US6821563B2 (en) 2002-10-02 2004-11-23 Applied Materials, Inc. Gas distribution system for cyclical layer deposition
US20040065255A1 (en) 2002-10-02 2004-04-08 Applied Materials, Inc. Cyclical layer deposition system
US20040069227A1 (en) 2002-10-09 2004-04-15 Applied Materials, Inc. Processing chamber configured for uniform gas flow
US6905737B2 (en) 2002-10-11 2005-06-14 Applied Materials, Inc. Method of delivering activated species for rapid cyclical deposition
JP2004140315A (ja) 2002-10-17 2004-05-13 Samsung Electronics Co Ltd サリサイド工程を用いる半導体素子の製造方法
US6936528B2 (en) 2002-10-17 2005-08-30 Samsung Electronics Co., Ltd. Method of forming cobalt silicide film and method of manufacturing semiconductor device having cobalt silicide film
US6716287B1 (en) 2002-10-18 2004-04-06 Applied Materials Inc. Processing chamber with flow-restricting ring
JP3992588B2 (ja) * 2002-10-23 2007-10-17 東京エレクトロン株式会社 成膜方法
EP1420080A3 (en) 2002-11-14 2005-11-09 Applied Materials, Inc. Apparatus and method for hybrid chemical deposition processes
US7244683B2 (en) 2003-01-07 2007-07-17 Applied Materials, Inc. Integration of ALD/CVD barriers with porous low k materials
US7262133B2 (en) 2003-01-07 2007-08-28 Applied Materials, Inc. Enhancement of copper line reliability using thin ALD tan film to cap the copper line
JP4401656B2 (ja) * 2003-01-10 2010-01-20 パナソニック株式会社 半導体装置の製造方法
US6868859B2 (en) 2003-01-29 2005-03-22 Applied Materials, Inc. Rotary gas valve for pulsing a gas
US6994319B2 (en) 2003-01-29 2006-02-07 Applied Materials, Inc. Membrane gas valve for pulsing a gas
US6818094B2 (en) 2003-01-29 2004-11-16 Applied Materials, Inc. Reciprocating gas valve for pulsing a gas
US7442415B2 (en) 2003-04-11 2008-10-28 Sharp Laboratories Of America, Inc. Modulated temperature method of atomic layer deposition (ALD) of high dielectric constant films
US20040207093A1 (en) 2003-04-17 2004-10-21 Sey-Shing Sun Method of fabricating an alloy cap layer over CU wires to improve electromigration performance of CU interconnects
US20050070126A1 (en) 2003-04-21 2005-03-31 Yoshihide Senzaki System and method for forming multi-component dielectric films
TW200506093A (en) 2003-04-21 2005-02-16 Aviza Tech Inc System and method for forming multi-component films
US6881437B2 (en) 2003-06-16 2005-04-19 Blue29 Llc Methods and system for processing a microelectronic topography
KR20060079144A (ko) 2003-06-18 2006-07-05 어플라이드 머티어리얼스, 인코포레이티드 배리어 물질의 원자층 증착
KR100539274B1 (ko) 2003-07-15 2005-12-27 삼성전자주식회사 코발트 막 증착 방법
US20050085031A1 (en) 2003-10-15 2005-04-21 Applied Materials, Inc. Heterogeneous activation layers formed by ionic and electroless reactions used for IC interconnect capping layers
CN1890401A (zh) * 2003-10-17 2007-01-03 应用材料公司 用含钴合金对铜进行选择性自引发无电镀覆
CN1314225C (zh) 2003-10-24 2007-05-02 中兴通讯股份有限公司 一种基于xml文档实现开放电信业务的方法
US20050095859A1 (en) 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050104142A1 (en) 2003-11-13 2005-05-19 Vijav Narayanan CVD tantalum compounds for FET get electrodes
US20050153571A1 (en) 2003-11-17 2005-07-14 Yoshihide Senzaki Nitridation of high-k dielectric films
KR100555541B1 (ko) * 2003-12-23 2006-03-03 삼성전자주식회사 코발트 실리사이드막 형성방법 및 그 형성방법을 이용한반도체 장치의 제조방법
US20060033678A1 (en) 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US7064224B1 (en) * 2005-02-04 2006-06-20 Air Products And Chemicals, Inc. Organometallic complexes and their use as precursors to deposit metal films
US7265048B2 (en) 2005-03-01 2007-09-04 Applied Materials, Inc. Reduction of copper dewetting by transition metal deposition
US20070108063A1 (en) 2005-09-28 2007-05-17 Ebara Corporation Layer forming method, layer forming apparatus, workpiece processing apparatus, interconnect forming method, and substrate interconnect structure
JP2007123853A (ja) 2005-09-28 2007-05-17 Ebara Corp 層形成方法、層形成装置、基材処理装置、配線形成方法、および基板の配線構造
JP5060037B2 (ja) * 2005-10-07 2012-10-31 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US20070210448A1 (en) * 2006-03-10 2007-09-13 International Business Machines Corporation Electroless cobalt-containing liner for middle-of-the-line (mol) applications
TWI395335B (zh) 2006-06-30 2013-05-01 Applied Materials Inc 奈米結晶的形成

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1314225A (zh) * 2000-02-18 2001-09-26 德克萨斯仪器股份有限公司 铜镀层集成电路焊点的结构和方法
US20040203233A1 (en) * 2003-04-08 2004-10-14 Sang-Bom Kang Compositions for depositing a metal layer and methods of forming a metal layer using the same
US20050064706A1 (en) * 2003-09-22 2005-03-24 Hyun-Su Kim Methods for forming cobalt layers including introducing vaporized cobalt precursors and methods for manufacturing semiconductor devices using the same
TW200746268A (en) * 2006-04-11 2007-12-16 Applied Materials Inc Process for forming cobalt-containing materials
CN101159253A (zh) * 2007-10-31 2008-04-09 日月光半导体制造股份有限公司 凸块下金属层结构、晶圆结构与该晶圆结构的形成方法

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
BOOYONG S.LIM,ANTTI RAHTU AND ROY G.GORDON: "Atomic layer deposition of transistion metals", 《NATURE MATERIALS》 *

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10221484B2 (en) 2007-10-16 2019-03-05 Novellus Systems, Inc. Temperature controlled showerhead
US10584415B2 (en) 2007-10-16 2020-03-10 Novellus Systems, Inc. Temperature controlled showerhead
US10400333B2 (en) 2011-03-04 2019-09-03 Novellus Systems, Inc. Hybrid ceramic showerhead
CN110233099A (zh) * 2014-03-19 2019-09-13 应用材料公司 电化学电镀方法
US10741365B2 (en) 2014-05-05 2020-08-11 Lam Research Corporation Low volume showerhead with porous baffle
CN106148914B (zh) * 2015-05-13 2020-11-20 弗萨姆材料美国有限责任公司 沉积工艺中用于化学前体的容器
US10023959B2 (en) 2015-05-26 2018-07-17 Lam Research Corporation Anti-transient showerhead
US10494717B2 (en) 2015-05-26 2019-12-03 Lam Research Corporation Anti-transient showerhead
CN112201618A (zh) * 2020-09-30 2021-01-08 上海华力集成电路制造有限公司 一种优化衬垫层质量的方法

Also Published As

Publication number Publication date
US20090053426A1 (en) 2009-02-26
WO2010025068A2 (en) 2010-03-04
KR20110059741A (ko) 2011-06-03
TW201017763A (en) 2010-05-01
KR101599488B1 (ko) 2016-03-07
JP2012501543A (ja) 2012-01-19
US9051641B2 (en) 2015-06-09
TW201312656A (zh) 2013-03-16
CN106024598A (zh) 2016-10-12
US20150255333A1 (en) 2015-09-10
TWI654684B (zh) 2019-03-21
JP2017085131A (ja) 2017-05-18
CN106024598B (zh) 2020-11-20
JP6449217B2 (ja) 2019-01-09
TWI528456B (zh) 2016-04-01
WO2010025068A3 (en) 2010-05-14
US9209074B2 (en) 2015-12-08

Similar Documents

Publication Publication Date Title
CN102132383A (zh) 于阻障表面上的钴沉积
CN101308794B (zh) 钨材料的原子层沉积
US11384429B2 (en) Selective cobalt deposition on copper surfaces
US7429402B2 (en) Ruthenium as an underlayer for tungsten film deposition
US7585762B2 (en) Vapor deposition processes for tantalum carbide nitride materials
US9418890B2 (en) Method for tuning a deposition rate during an atomic layer deposition process
US8491967B2 (en) In-situ chamber treatment and deposition process
US20070077750A1 (en) Atomic layer deposition processes for ruthenium materials
US20070054487A1 (en) Atomic layer deposition processes for ruthenium materials
US20090022958A1 (en) Amorphous metal-metalloid alloy barrier layer for ic devices
US7989339B2 (en) Vapor deposition processes for tantalum carbide nitride materials
US9633861B2 (en) Cu/barrier interface enhancement
US11959167B2 (en) Selective cobalt deposition on copper surfaces

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C12 Rejection of a patent application after its publication
RJ01 Rejection of invention patent application after publication

Application publication date: 20110720