CN102187441A - 具有冷却剂气体孔洞的紫外线反射器及紫外线处理方法 - Google Patents

具有冷却剂气体孔洞的紫外线反射器及紫外线处理方法 Download PDF

Info

Publication number
CN102187441A
CN102187441A CN2009801423975A CN200980142397A CN102187441A CN 102187441 A CN102187441 A CN 102187441A CN 2009801423975 A CN2009801423975 A CN 2009801423975A CN 200980142397 A CN200980142397 A CN 200980142397A CN 102187441 A CN102187441 A CN 102187441A
Authority
CN
China
Prior art keywords
reflector
lamp
ultra
base material
violet lamp
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801423975A
Other languages
English (en)
Other versions
CN102187441B (zh
Inventor
Y-H·杨
T·A·恩古耶
S·巴录佳
A·卡祖巴
J·C·罗查
T·诺瓦克
D·W·何
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102187441A publication Critical patent/CN102187441A/zh
Application granted granted Critical
Publication of CN102187441B publication Critical patent/CN102187441B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67098Apparatus for thermal treatment
    • H01L21/67115Apparatus for thermal treatment mainly by radiation
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K1/00Arrangements for handling particles or ionising radiation, e.g. focusing or moderating
    • G21K1/06Arrangements for handling particles or ionising radiation, e.g. focusing or moderating using diffraction, refraction or reflection, e.g. monochromators
    • G21K1/062Devices having a multilayer structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • H01L21/67034Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like for drying
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/064Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements having a curved surface
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/065Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements provided with cooling means
    • GPHYSICS
    • G21NUCLEAR PHYSICS; NUCLEAR ENGINEERING
    • G21KTECHNIQUES FOR HANDLING PARTICLES OR IONISING RADIATION NOT OTHERWISE PROVIDED FOR; IRRADIATION DEVICES; GAMMA RAY OR X-RAY MICROSCOPES
    • G21K2201/00Arrangements for handling radiation or particles
    • G21K2201/06Arrangements for handling radiation or particles using diffractive, refractive or reflecting elements
    • G21K2201/067Construction details

Abstract

一种用于紫外线灯的反射器,其可使用于基材处理设备中。该反射器包含延伸该紫外线灯长度的纵向带。该纵向带具有一弯曲反射表面并且包含数个穿透孔洞以导引冷却剂气体朝向该紫外线灯。在此亦描述使用附有反射器的紫外线灯模块的腔室以及紫外线处理的方法。

Description

具有冷却剂气体孔洞的紫外线反射器及紫外线处理方法
技术领域
本发明的设备与方法的实施例一般关于基材的紫外线处理。
背景技术
在制造集成电路、显示器以及太阳能电池板时,多层介电、半导体、导体材料形成于诸如半导体晶片、玻璃板、金属板的基材上。这些多层随后经处理以形成诸如电连接、介电层、栅极与电极等特征结构。在之后的工艺中,可使用紫外线辐射处理形成于基材上的多层或特征结构。举例而言,可于快速热处理(RTP)利用紫外线辐射以快速加热形成于基材上的一层。也可使用紫外线辐射以促进聚合层凝聚与聚合。也可使用紫外线辐射以产生受压的薄膜层。亦可使用紫外线辐射活化气体以清洁腔室。
一实施例中,使用紫外线(UV)辐射处理氧化硅、碳化硅或碳掺杂的氧化硅的薄膜。举例而言,在共同让渡的美国专利号6,566,278以及6,614,181两案中(两案皆以其全文并入做为参考)描述使用紫外光于处理碳氧化硅薄膜。诸如氧化硅(SiOx)、碳化硅(SiC)、以及碳氧化硅(SiOCx)薄膜之类的材料在制造半导体组件上是用以作为介电层。化学气相沉积(CVD)方法经常使用于沉积该等薄膜,并且涉及促进CVD腔室中于硅供给源以及氧供给源之间的热或等离子反应。在某些该等工艺中会形成水,其是CVD或其它反应的副产物。举例而言,水会在使用包括至少一个硅-碳键结的有机硅烷源的CVD工艺中,于碳氧化硅或碳化硅沉积时形成。工艺产生的水会实体上被吸收进薄膜成为湿气,或并入沉积薄膜中成为硅-羟基键结,该二者皆为不乐见的。
可使用紫外线辐射处理该等薄膜以固化及致密化沉积的CVD薄膜。有利地,紫外线处理减少单一晶片的总热预算并且加速制造工艺。兹已开发许多紫外线固化腔室,其可用于有效地固化沉积于基材38上的薄膜,诸如于2005年5月9日提出申请、标题为「高效率紫外线固化系统」的美国专利申请号11/124,908,该案让渡给Applied Materials并其全文并入作为参考。在此类紫外线工艺中,期望能增加紫外线辐射的强度以提供更快的固化时间以及更短的工艺循环。但是,通过使用高功率源或其它工具增加紫外线辐射,也会增加腔室内所产生的热。此过剩的热会对基材上所处理的特征结构有负面影响,并且也会缩短紫外线源本身的寿命。
由于此些及其它缺点之故,尽管已开发多种UV固化腔室及技术,兹持续找寻紫外线处理技术上更进一步的改善。
发明内容
在基材处理设备中可使用紫外线灯的反射器。该反射器包含延伸紫外线灯长度的纵向带。该纵向带具有弯曲反射表面,并且包含数个穿透孔洞以导引冷却剂气体朝向紫外线灯。
基材处理腔室包含基材支撑件以及紫外线灯模块,该模块包含:(i)长的紫外线灯,与基材支撑件隔开,该紫外线灯是装配以朝基材支撑件传送紫外光;以及(ii)
主要反射器,其包含:(1)中央反射器,其包含延伸紫外线灯长度的纵向带,该纵向带具有弯曲反射表面并且并且包含数个穿透孔洞以导引冷却剂气体朝向紫外线灯;以及(2)第一及第二侧反射器,其放置在中央反射器的各侧。
一种以紫外线辐射处理基材的方法,包含提供基材于处理区域内、赋能紫外线灯以产生紫外线辐射、提供弯曲反射表面以朝基材反射产生的紫外线辐射、导引数个冷却剂气体流穿过弯曲反射表面上的多个孔洞以冷却紫外线灯。
附图说明
参考随后的叙述、附加的申请专利范围以及绘示本发明实施例的伴随的图式,可更易了解本发明的该等特征结构、态样以及优点。但是,亦应了解到,每一特征结构通常均可用于本发明中,不仅只用于特定图式的内容,而本发明包括任何该等特征结构的结合,其中:
图1是紫外线(UV)灯模块的简略透视图,该模块包含UV灯以及放置在石英窗以及基材之上的主要反射器;
图2是UV灯模块以及包含主要及次要反射器的反射器组件的顶透视图;
图3A是由反射器的弯曲表面仰观之中央反射器的透视图,其显示一部分的反射器支架,以及穿过中央反射器的孔洞越过UV灯之上的冷却剂气体流;
图3B是沿图3A中的视线3B所撷取之中央反射器侧视图,其显示穿过孔洞以及环绕UV灯周围的气体流;
图4A以及图4B是冷却剂气体在习知系统(图4A)以及穿过中央反射器的孔洞并环绕UV灯周围(图4B)的计算机模型示意图;
图5是根据本发明的实施例的串接腔室的简略横截面图;
图6是根据本发明的实施例的基材处理设备的简略顶平面图。
具体实施方式
图1中显示紫外线(UV)灯模块20的实施例,该模块能产生紫外线辐射以处理诸如半导体晶片、显示器以及太阳能电池板的基材38。UV灯模块20包含能发射紫外线辐射的UV灯22。UV灯22可包括任何诸如汞微波弧灯、脉冲氙气闪灯或高效率UV灯发射二极管数组等UV源。在一个方案中,UV灯22是以诸如氙气(Xe)或汞(Hg)的气体填充的密封等离子灯泡,且该灯泡是由外部功率源23(诸如微波产生器)所激发,该外部功率源包括磁电管以及赋能磁电管灯丝的变压器。另一实施例中,UV灯22可包括由功率源23(简略图示)所施加功率的灯丝,该功率源可供给直流电给灯丝。UV灯22也可由包含射频(RF)能量源的功率源23所施加功率,该射频能量源能在UV灯22内激发气体。为说明起见,UV灯22显示为长的圆柱状灯泡;但是,亦可使用具有其它形状的UV灯22,诸如球状灯或灯数组,其对此技艺中一般技术人员而言是显而易见。举例而言,合适的UV灯22可商业上由美国俄亥俄州Westlake的Nordson Corporation或美国麦迪逊州Stevenson的Miltec UV公司购得。在一方案中,UV灯22包括购自Miltec UV公司的单一长的UV H+灯泡。UV灯22可包括二个或多个各别长的灯泡。
灯模块20包括反射器组件24,其包括部份地环绕紫外线灯模块20的UV灯22的主要反射器26。主要反射器26包含中央反射器28,其在UV灯22后方于中央放置,并且与UV灯22呈一相隔关系。中央反射器28包含纵向带30,其如第3A以及3B图所显示,延伸紫外线灯的长度。该纵向带30具有弯曲反射表面32,该表面是一内部表面并且面向UV灯22的背面以反射UV灯22朝基材38所发射的紫外线辐射的向后导引光线。纵向带30的弯曲反射表面32可为圆形、椭圆形或抛物线型的弧形表面。在一方案中,弯曲反射表面32包含圆形表面,其曲率半径至少约2公分并少于约5公分,或甚至为约3至约4公分。纵向带30也具有背表面34,其是平坦的,或可弯曲以匹配于弯曲反射表面32的曲率。
纵向带30是由容许红外辐射及微波传输并反射紫外线辐射的材料所制成。在一方案中,纵向带30包含石英。举例而言,石英的长的预制件可经机械加工以形成纵向带30。可使用诸如CNC的习知的机械加工技术以机械加工一铸型石英预制件以获得包括该带前方上的弯曲反射表面32的纵向带的期望形状。之后,藉使用习知抛光方法抛光该面相内部的表面而形成弯曲反射表面32,如此,至少95%的该表面32无皱纹亦无裂隙。
可选择地,亦可施加二向色性涂层36于纵向带30的弯曲反射表面32以反射更高比例的向后朝基材38导引的紫外光线。该二向色性涂层36是薄膜式滤层,其在反射其它波长时,选择性地通过具有小范围波长的光。在一实施例中,二向色性涂层36包含由不同介电材料组成的多层薄膜。举例而言,不同介电材料可包括具有可替换的高及低折射率的数个层,并该数个层经排列与选择以致二向色性涂层36不会反射UV灯22所发射的全部的伤害性热产生的红外辐射,而是会容许某些该辐射通过进入纵向带中。该带30的石英材料传输红外线光而二向色性涂层36反射UV灯22发射的紫外线光。因为二向色性涂层是非金属,从功率源(未图示)施加至UV灯22并向下入射至石英背侧的微波辐射不会与调节层反应或受调节层吸收,并且易于传输以在UV灯22中离子化气体。
一般而言,无涂层施加于纵向带30的背表面34。但是次要反射涂层(未图示)也可施加至背表面34以反射回任何通过在纵向带30前方的弯曲反射表面32的紫外线辐射,或者更快速地驱散因暴露于紫外线辐射而累积在纵向带30中的热量。
于纵向带30中提供数个穿透孔洞40。孔洞40从背表面34延伸至弯曲反射表面32以容许冷却剂气体42从外部冷却剂气体源受导引朝向UV灯22。孔洞40包括具有第一直径的第一孔洞44,其大于第二孔洞46的第二直径。第一孔洞44及第二孔洞46沿着纵向带30之中央轴线48对齐。举例而言,第一孔洞44具有从约0.2毫米至约4毫米的直径,而第二孔洞46具有从约6毫米至约12毫米的直径。如另一范例,第一孔洞的总数量范围从约10个至约50个;而第二孔洞的总数量范围由约2个至约6个。此外,孔洞的边缘可呈一角度扭曲或倾斜。适合的角度范围可由约10度至约45度,诸如25度。
在一实施例中,中央反射器28的纵向带30的每一末端50a及50b包含末端凸耳52a及52b。反射器支架54包含末端支架56a及56b,其每一具有截去部位(cutout)58a及58b,该截去部位是经塑型以致中央反射器28的每一末端支架52a及52b能相称于末端支架56a及56b的截去部位58a及58b,以支承位在UV灯22后方处之中央反射器28(如图3A及图3B所示)。反射器支架54可由诸如Teflon
Figure BPA00001350293000051
之类的聚合物所制成,Teflon
Figure BPA00001350293000052
是购自美国德拉瓦州的DuPont de Nemours公司。一方案中,末端凸耳52a和52b是楔形凸耳,其相称于对应的末端支架56a及56b的楔形截去部位。楔形的凸耳也可具有倾斜表面60,该表面匹配于末端支架56a及56b的楔形截去部位的对应的倾斜表面62。此容许中央反射器28从灯组件后方安装到末端支架的楔形截去部位以支撑中央反射器28。
计算机模型图表是用于基于遍及习知UV源组件(图4A)的气体流动分布、以及遍及包含具孔洞44中央反射器28的UV灯模块20实施例(图4B)的气体流动分布,而决定灯泡表面温度。对照之下,习知系统包含不具孔洞的矩形中央反射器板。习知系统中,冷却气流一致地扫动过两侧的灯泡表面。但是,因为灯泡温度非一致,某些热点区域获得较少冷却功率而其它冷区域获得过量冷却气流。如从这些图所观察,相较于习知系统,大体上增强的气体流是使用位于UV灯22后方的具孔洞40之中央反射器28而获得。如图4A所示,使用习知系统遍及UV灯泡22的气流提供较不集中于UV灯泡22表面的冷却气流。对照之下,当气体穿过如图4B所示之中央反射器28的第一孔洞44及第二孔洞46时,遍及UV灯泡22的冷却气体的气流教示冷却气流是有效地导引至UV灯泡22的关键性顶端定点。此于UV灯泡22上提供低于45℃的表面温度(从648C至603C)。这些图表是使用由法国ESI购得的Monte Carlo Flow仿真程序(CADalyzer
Figure BPA00001350293000061
)所建立模型。此仿真模型是使用在给定的气流条件下模拟热能分布的反复程序。提供示范性模型仿真的同时,应了解到本发明涵盖在此述之外的模型方案。
显示于图1及图2的方案中,除了中央反射器28之外,反射器组件24也可包括第一侧反射器70以及第二侧反射器72,其放置于中央反射器28各侧。第一侧反射器70以及第二侧反射器72也可由铸型石英制成,并具有各为弧形反射表面74、76的内部表面。弧形反射表面74、76也可具有其上的二向色性涂层,其是如使用于中央反射器28的相同的涂层材料。包含中央反射器28、第一侧反射器70及第二侧反射器72的反射器组件24形成长的共鸣空腔,该空腔具有在UV灯22之上于一顶点相会的抛物线型表面80并如图1所示横越中央反射器28的纵向带30的长边。第一侧反射器70及第二侧反射器72各纵向延伸越过UV灯22的长边。
中央及侧反射器28、70、72的任一者个别可为椭圆形或抛物线型反射器,或者包括椭圆形及抛物线型反射部份的结合。椭圆形反射器因较抛物线型反射器能相称于更小的共鸣空腔以用于同宽的光束,且相较于抛物线型反射器,也可达成更卓越的光一致性。但是,兼具椭圆形及抛物线型区段反射器28、70、72在设计依应用而修改的反射模式时,其容许更多灵活度。再者,椭圆形反射器不需具有真正的或完美的椭圆形。相反地,不具清楚定义的焦点而具有部份或半椭圆形形状的反射器亦可为椭圆形反射器。类似地,抛物线型反射器不需具有真正的或完美的抛物线型。相反地,不精确地反射平行光线而具有部份或半抛物线型形状的反射器亦可为抛物线型反射器。应注意到,如绘示的实施例,反射器28、70、72显示为各别不连接的平板,然本发明并不限制于该相同物,且反射器28、70、72可以单独的U形部件连接,其可或可不包括纵向孔隙。
反射器组件24控制来自UV灯22的照射曲线并且可补偿直接的光的非一致性(沿UV灯的照射是离光源中心的距离的函数)。在显示的实施例中,使用单独的UV灯22以照射基材38,第一侧反射器70及第二侧反射器72具有弧形反射表面74、76,该等表面是相反的对称反射表面。但是,在其它实施例中,例如当使用二个或多个UV灯22以照射基材38时,非对称的一对侧反射器(未图示)也可如描述般使用。
如图1与图2所示,除主要反射器40之外,反射器组件24也可包括次要反射器90。次要反射器90进一步导向及再导引以其它方式落于主要反射器的满溢模式(flood pattern)边界外的UV辐射,以致此反射的辐射冲射待处理的基材38以增加辐照基材38的能量强度。次要反射器90表现为特殊UV灯22及/或主要反射器26而订做的复合形状。亦可视应用的需求而定,订做次要反射器90(使用时,与主要反射器结合)适于特殊的入射曲线以及一致性层级。举例而言,在某些实施例中,次要反射器90可经设计以产生边缘高的入射曲线以补偿中央高的加热器热能曲线。亦然,视次要反射器90是否与如下讨论的静态灯或旋转灯一起使用而定,其通常可经设计以产生不同的入射模式。
如图2所示,次要反射器90将UV灯22的满溢模式从大体上矩形区域修改成大体上圆形92,以对应所暴露的大体上圆形的半导体基材38。次要反射器90包括上层部份94以及下层部份96,其相会于环绕反射器90的内部周边延伸的顶点98。上层部份94包括半圆形的截去部份100以容许冷却空气无阻塞地流动至UV灯22。上层部份94也包括两个相对的、通常向内倾斜(从顶端)纵向表面102a及102b,以及两个相对的横切表面102c及102d。横切表面102b通常是垂直的且沿横切方向具有凸起的表面。纵向表面102a通常是沿着纵向凹陷。
直接放置在上层部份94之下的下层部份96包括两个相对的、通常向外倾斜(从顶端)的表面104a以及两个通常向外倾斜的横切表面104b。在显示的实施例中,表面104b较表面102b更具减少的角度(相对于垂直)。纵向表面102a通常是沿纵向凹陷,而表面102b通常是沿横切方向凸起(其具有一值得注意的例外,在转角108处,表面102a的下层部份与表面102b的下层部份相会)。
图1显示从UV灯22发射以及由主要及次要反射器26、90各别反射的UV辐射的简化的反射路径。如所示,主要反射器26以及次要反射器90的结合容许大体上所有由辐射源22发射的UV辐射被导引朝向及冲射基材38。来自辐射源22的辐射可通过不同示范性路径冲射基材38,该等路径包括直接撞击基材38而不由主要反射器26或次要反射器90反射的路径110a、被中央反射器28反射后撞击基材38的路径110b、被第一侧反射器70反射后撞击基材38的路径110c、被次要反射器90的上层部份94反射后撞击基材38的路径110d以及被次要反射器90的下层部份96反射后撞击基材38的路径110e。应了解到,路径110a-e仅为示范性路径且许多其它反射路径可直接从辐射源22产生,或从主要反射器26或次要反射器90反射。
如此述的紫外线灯模块20可用于许多不同类型的基材处理设备,例如包括半导体处理设备、太阳能电池板处理设备以及显示器处理设备。显示于图5及图6的示范性基材处理设备200可用于处理半导体晶片,诸如硅或复合半导体晶片。设备200绘示ProducerTM处理系统的实施例,其可购自美国加州Santa Clara,Calif的Applied Materials,Inc.。如图5所示,设备200为一独立性的系统,其具有支撑于主机结构202上的必须处理设施。设备200通常包括卡式负载腔室204,其中基材卡盒206a、b是受支撑以容许负载与卸载基材38进入及离开负载锁定腔室208,该设备200尚包括容纳基材处置器214的移送腔室210,架置于移送腔室210上的一系列串接处理腔室216a-c。设施末端220容纳用于操作设备200的支撑设施,诸如气体平板222以及功率分配平板224。
每一串接处理腔室216a-c包括处理区域218a、b(如显示于腔室216b),其能够各别处理基材38a、b。该二个处理区域218a、b共享一共同的气体供给、共同的压力控制以及共同的处理气体排气/抽气系统,其容许不同组态间快速转换。腔室216a-c的排列与结合可经修改以执行特定工艺步骤。串接处理腔室216a-c的任一者可包括如下所述的盖体,其包括一个或多个UV灯22以用于在基材38上及/或在腔室清洁工艺处理材料。在所示的实施例中,所有三个串接处理腔室216a-c具有UV灯22并且装配成UV固化腔室以并联运作以求最大产量。但是,在可替换的实施例中,所有串接处理腔室216a-c可不装配成UV处理腔室,且设备200可适于具有执行诸如化学气相沉积(CVD)、物理气相沉积(PVD)、蚀刻或该等工艺的结合的其它工艺并同一腔室中执行UV处理的腔室。举例而言,设备200可以串接处理腔室216a-c的其一装配成CVD腔室以于基材38上沉积材料(诸如低介电常数(K)薄膜)。
图6显示设备200的串接处理腔室216的一实施例,其经装配用于UV处理诸如半导体晶片的基材38。处理腔室216包括主体230以及铰合至主体230的盖体234。耦接至盖体234的是两个外罩238a、b,其每一皆耦接至入口240a、b以及出口232a、b,其用于传递冷却剂气体通过外罩238a、b的内部。可从冷却剂气体源244透过管线246a、b以及气流控制器248a、b获得冷却剂气体,而该冷却剂气体可存在于室温或更低的温度,例如约22℃。冷却剂气体源244提供维持于充分压力与流速的冷却剂气体至入口240a、b以确保适当操作UV灯22及/或用于与串接处理腔室216a-c结合的灯的功率源。可与串接处理腔室216一并使用的冷却模块的详细内容可得于2006年11月3日提出申请、标题为「用于UV固化系统的氮气浓化冷却空气模块」的共同让渡的美国专利申请号11/556,642,其内文并入本文作为参考。可通过以无氧的冷却气体(例如氮气、氩气或氦气)冷却灯以避免形成臭氧。在一方案中,冷却气体源244提供流速从约200至2000sccm、包含氮气的冷却气体。出口242a、b从外罩238a、b接收排出的冷却气体,其是通过共同排气系统(未图标)所收集,该系统包括洗涤器以移除由UV灯泡(视灯泡选择而定)潜在产生的臭氧。
每一外罩204覆盖两个UV灯22中的一者,该等UV灯是各别配置于主体230内所界定的两个处理区域218a、b之上。虽如前所示,单一的UV灯22是在两个处理区域218a、b之上,然应注意到,多个UV灯可用于增加总照射量,如描述于2007年3月15日提出申请、标题为「使用主要及次要照射器以UV辐射处理基材的设备及方法」的美国专利公开号20070257205A1,其内文并入本文作为参考。每一外罩238a、b包含UV灯22放置其中的上层外罩252a、b以及次要反射器90安置其中的下层外罩256a、b。在所示的方案中,圆盘255a、b各别具有数个齿257a、b,该等齿夹住对应的带(未图示),该带耦接圆盘至操作上依序耦接至马达(未图示)的心轴(未图示)。圆盘255a、b、带、心轴以及马达容许上层外罩252a、b(以及架置其中的UV灯22)相对于安置在基材支撑件254a、b上的基材而旋转。每一次要反射器90通过托架附接至各别圆盘255a、b的底部,该托架容许次要反射器在下层外罩256a、b以及上层外罩252a、b与UV灯22的内旋转。相对于暴露的基材38a、b旋转UV灯22改善横跨基材表面的暴露度的一致性。一实施例中,UV灯22可相对暴露的基材38a、b至少旋转180度,而其它实施例中,UV灯22可旋转270度或甚至恰好360度。
每一处理区域218a、b包括在处理区域218a、b内用于支撑基材38a、b的基材支撑件254a、b。支撑件254a、b可加热并且可由陶瓷或诸如铝的金属制成。较佳地,支撑件254a、b耦接至心柱258a、b,该心柱透过主体230的底部延伸并且通过驱动系统260a、b操作以朝向及远离UV灯22移动处理区域250a、b中的支撑件254a、b。驱动系统260a、b也可于固化期间旋转及/或移位支撑件254a、b以进一步促进基材照度的一致性。视光线传递系统设计考虑的性质(诸如焦距)而定,除了在位能上微调入射的UV照射层级外,将支撑件254a、b以可调整方式放置,尚能控制易挥发的固化副产物、净化物、清洁气体的流动模式以及滞留时间。
在所示的方案,UV灯22是长的圆柱密封等离子灯泡,其以汞填充以受功率源(未图示)所激发。一方案中,功率源是微波产生器,其包括磁电管以及赋能磁电管灯丝的变压器。一方案中,千瓦微波功率源产生邻近外罩238a、b的穿孔(未图示)的微波并透过穿孔传输施加至UV灯22的微波。提供上至6000瓦的微波功率的功率源从每一UV灯22能产生上至约100瓦的UV光。一方案中,UV灯22发射横跨波长从170nm至400nm的宽广波段的UV光。UV灯22中的气体决定发射的波长,且因更多的波长在氧气存在时趋于产生臭氧,故UV灯22发射的UV光可调至显著地产生200nm以上多频率的UV光以避免UV处理工艺期间产生臭氧。
从每一UV灯22发射的UV光藉穿过配置于盖体234内穿孔中的窗264a、b进入处理区域250a、b之一。一方案中,窗264a、b由合成的石英玻璃所制成,且具有充分厚度以维持真空而不破裂。举例而言,窗264a、b可由无OH的融合二氧化硅制成,其可传输下至约150nm的UV光。盖体234密封至主体230,以致窗264a、b密封至盖体234以提供处理区域218a,其具有能够维持从约1托尔至约650托尔的压力的体积。处理气体透过两个入口通道262a、b的一者进入处理区域218a、b,而通过共同的排气端口266离开处理区域218a、b。亦然,供给至外罩238a、b内部的冷却剂气体循环通过UV灯22,但通过窗264a、b与处理区域218a、b隔离。
现将描述示范性紫外线处理工艺,其中,包含碳氧化硅的低k介电材料受到固化。对于此类固化工艺,于350℃至500℃间加热支撑件254a、b,而处理区域258a、b维持在从约1至约10托尔的气体压力以促进热量从支撑件254a、b传送至基材38。在该固化工艺中,于每一串接腔室216a-c(成对腔室每侧7slm)中通过每一入口通道262a、b于8托尔的压力以14slm的流速导入氦气。对于某些实施例,固化工艺亦可使用氮气(N2)或氩气(Ar)代替或混合氦气。净化气体移除固化副产物,促进横跨基材38a、b的一致热传,并且将处理区域250a、b内表面上增加的残余物减至最少。也可添加氢气以从基材上的薄膜移除一些甲基并且清除固化期间释放的氧气。
另一实施例中,固化工艺使用包含脉冲氙气闪灯的脉冲UV灯22。处理区域218a、b维持在压力为约10毫托尔至约700托尔的真空下,而基材38a、b暴露于来自UV灯22的UV光脉冲。脉冲的UV灯22可提供一经调谐用于各种应用的UV光输出频率。
清洁工艺也可在处理区域218a、b中执行。在此工艺中,支撑件254a、b的温度可升至约100℃至约600℃之间。在此清洁工艺中,元素氧与存在于处理区域218a、b表面的碳化氢及碳物料反应以形成一氧化碳以及二氧化碳,其可透过排气端口266抽出或排出。诸如氧气的清洁气体可暴露于选择的波长的UV辐射以原位产生臭氧。当清洁气体为氧气时,可开启功率源以提供UV光从UV灯22以期望的波长发射,较佳地,波长约为184.9nm及约253.7nm。UV辐射波长促进有氧的清洁因为氧气吸收184.9nm的波长并且产生臭氧及元素氧,而253.7nm的波长由臭氧吸收,其转移成氧气以及元素氧。在清洁工艺的一方案中,包含5slm臭氧及氧气(氧气中臭氧占13%的重量)处理气体流进串接腔室216a、b,均等地于处理区域218a、b内分流以产生足够氧自由基于处理处理区域218a、b内由表面清洁沉积物。O3分子也会攻击多种有机残余物。残留的O2分子不会移去处理区域250a、b内表面上的碳化氢沉积物。可在固化六对基材38a、b后,在8托尔下以20分钟的清洁工艺执行足够的清洁工艺。
虽显示且描述了本发明的示范性实施例,此技艺中一般技术人员可设计并入本发明及亦于本发明范畴中的其它实施例。再者,关于图式中示范性实施例所显示于词汇「之下(below)」、「之上(above)」、「底部(bottom)」、「顶部(top)」、「上(up)」、「下(down)」、「第一」及「第二」及其它相对性或位置性的词汇是可交换的。因此,权利要求不应限于此述用于说明本发明的较佳的方案、材料或空间排列的描述。

Claims (15)

1.一种反射器,其用于基材处理设备所用的紫外线灯,该反射器包含延伸该紫外线灯的长度的纵向带,该纵向带具有弯曲反射表面并包含数个穿透孔洞以导引冷却剂气体朝向该紫外线灯。
2.如权利要求1所述的反射器,其中该弯曲反射表面包含以下特征结构的至少一个:
(i)至少约2cm的曲率半径;
(ii)少于约5cm的曲率半径;或
(iii)二向色性涂层。
3.如权利要求1所述的反射器,其中该数个穿透孔洞包含以下特征结构的至少一个:
(i)具有第一直径的第一孔洞以及具有第二直径的第二孔洞,该第一直径大于该第二直径;或
(ii)沿该纵向带的中央轴线对齐的第一及第二孔洞。
4.如权利要求1所述的反射器,其中该纵向带的每一末端包含楔形的末端凸耳。
5.如权利要求4所述的反射器,进一步包含聚合的末端支架,其各具有一截去部份,且其中该置于中心的反射器的每一末端凸耳是嵌入一末端支架的一截去部分。
6.如权利要求1所述的反射器,包含石英。
7.一种反射器组件,其于中央位置包含权利要求1所述的该反射器,且进一步在该置于中心的反射器的各侧包含第一及第二侧反射器。
8.如权利要求7所述的反射器组件,其中该置于中心的反射器以及该第一及第二侧反射器形成抛物线型表面,该表面相会于横切该纵向带的长度的顶点。
9.如权利要求8所述的反射器组件,进一步包含置于该主要反射器及基材支撑件之间的次要反射器,该次要反射器包含上层及下层区段,每一区段包括(i)相对表面,以及(ii)在该纵向表面的末端之间延伸的相对横切表面。
10.一种包含权利要求9的该反射器组件的紫外线灯模块,进一步包含长的紫外线灯。
11.一种基材处理腔室,包含:
(1)基材支撑件;以及
(2)根据权利要求10的紫外线灯模块。
12.如权利要求11所述的腔室,进一步包含将该紫外线灯与该基材支撑件分离的一窗。
13.一种以紫外线辐射处理基材的方法,包含以下步骤:
(a)在处理区域中提供基材;
(b)赋能紫外线灯以产生紫外线辐射;
(c)提供弯曲反射表面以朝向该基材反射该产生的紫外线辐射;以及
(d)导引数个冷却剂气体流通过该弯曲反射表面中的多个孔洞以冷却该紫外线灯。
14.如权利要求13所述的方法,其中步骤(d)包含以下步骤的至少一者:
(i)导引数个冷却剂气体流通过多个具有不同直径的孔洞;或
(ii)导引包含氮气的冷却剂气体。
15.如权利要求13所述的方法,包含以下步骤:维持在该紫外线灯的背面处的该弯曲反射表面使其能反射向后导引的紫外线辐射光线,该光线是由该紫外线灯朝一基材发射,以使该基材上的一层低k介电材料暴露至该紫外线辐射而固化该介电层。
CN2009801423975A 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法 Expired - Fee Related CN102187441B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US12/255,609 2008-10-21
US12/255,609 US7964858B2 (en) 2008-10-21 2008-10-21 Ultraviolet reflector with coolant gas holes and method
PCT/US2009/061391 WO2010048237A2 (en) 2008-10-21 2009-10-20 Ultraviolet reflector with coolant gas holes and method

Related Child Applications (2)

Application Number Title Priority Date Filing Date
CN2013103861559A Division CN103400627A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法
CN2013101903877A Division CN103337274A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法

Publications (2)

Publication Number Publication Date
CN102187441A true CN102187441A (zh) 2011-09-14
CN102187441B CN102187441B (zh) 2013-09-11

Family

ID=42107912

Family Applications (3)

Application Number Title Priority Date Filing Date
CN2013101903877A Pending CN103337274A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法
CN2013103861559A Pending CN103400627A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法
CN2009801423975A Expired - Fee Related CN102187441B (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法

Family Applications Before (2)

Application Number Title Priority Date Filing Date
CN2013101903877A Pending CN103337274A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法
CN2013103861559A Pending CN103400627A (zh) 2008-10-21 2009-10-20 具有冷却剂气体孔洞的紫外线反射器及方法

Country Status (6)

Country Link
US (2) US7964858B2 (zh)
JP (1) JP5401551B2 (zh)
KR (1) KR101244243B1 (zh)
CN (3) CN103337274A (zh)
TW (1) TWI374452B (zh)
WO (1) WO2010048237A2 (zh)

Cited By (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108662444A (zh) * 2017-03-30 2018-10-16 深圳市优固科技有限公司 紫外光led光源装置
CN111532024A (zh) * 2020-03-26 2020-08-14 东莞市汇创智能装备有限公司 Uv固化机及其uv灯箱
DE102020003124A1 (de) 2020-03-20 2021-09-23 Kastriot Merlaku Virenschutz-Vorrichtung für eine Luftdurchströmungs- / Belüftungs-Vorrichtung oder Lüftungs-Anlage oder Klima-Anlage
DE102021000459A1 (de) 2020-03-20 2021-09-30 Kastriot Merlaku Ventilator
CN113492576A (zh) * 2020-04-06 2021-10-12 正扬科技有限公司 紫外光固化装置
CN114340778A (zh) * 2019-06-24 2022-04-12 不列颠哥伦比亚大学 用于流体受控辐照的多反射器式光反应器

Families Citing this family (285)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100242299A1 (en) * 2003-01-09 2010-09-30 Con-Trol-Cure, Inc. Uv curing system and process
JP5423205B2 (ja) * 2008-08-29 2014-02-19 東京エレクトロン株式会社 成膜装置
JP5445044B2 (ja) * 2008-11-14 2014-03-19 東京エレクトロン株式会社 成膜装置
US8314408B2 (en) 2008-12-31 2012-11-20 Draka Comteq, B.V. UVLED apparatus for curing glass-fiber coatings
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8617347B2 (en) * 2009-08-06 2013-12-31 Applied Materials, Inc. Vacuum processing chambers incorporating a moveable flow equalizer
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP5257328B2 (ja) * 2009-11-04 2013-08-07 東京エレクトロン株式会社 基板処理装置、基板処理方法及び記憶媒体
JP5310512B2 (ja) * 2009-12-02 2013-10-09 東京エレクトロン株式会社 基板処理装置
JP5553588B2 (ja) * 2009-12-10 2014-07-16 東京エレクトロン株式会社 成膜装置
JP5909039B2 (ja) * 2010-04-06 2016-04-26 株式会社小森コーポレーション 巻紙印刷機
DK2388239T3 (da) 2010-05-20 2017-04-24 Draka Comteq Bv Hærdningsapparat, der anvender vinklede UV-LED'er
US8871311B2 (en) 2010-06-03 2014-10-28 Draka Comteq, B.V. Curing method employing UV sources that emit differing ranges of UV radiation
DE112011102371T5 (de) * 2010-07-16 2013-04-25 Nordson Corporation Lampensysteme und Verfahren zum Erzeugen von ultraviolettem Licht
JP5868405B2 (ja) * 2010-07-30 2016-02-24 ケーエルエー−テンカー コーポレイション 製造された基板を検査するための傾斜照明器
DK2418183T3 (en) 2010-08-10 2018-11-12 Draka Comteq Bv Method of curing coated glass fibers which provides increased UVLED intensity
US8309421B2 (en) 2010-11-24 2012-11-13 Applied Materials, Inc. Dual-bulb lamphead control methodology
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
KR101290570B1 (ko) * 2012-03-06 2013-07-31 삼성코닝정밀소재 주식회사 고주파 가열 장치
KR101488659B1 (ko) 2012-03-06 2015-02-02 코닝정밀소재 주식회사 고주파 가열 장치
WO2014030085A1 (en) * 2012-08-23 2014-02-27 Koninklijke Philips N.V. Lighting device with a led and an improved reflective collimator
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
JP6186799B2 (ja) * 2013-03-28 2017-08-30 岩崎電気株式会社 照射器
JP6171483B2 (ja) * 2013-03-29 2017-08-02 岩崎電気株式会社 照射装置
CN105122140B (zh) * 2013-04-17 2018-06-01 Asml荷兰有限公司 辐射收集器、辐射源以及光刻设备
US9132448B2 (en) * 2013-10-23 2015-09-15 Miltec Corporation Apparatus for radiant energy curing of a coating
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9433973B1 (en) * 2015-06-15 2016-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. UV curing apparatus
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
DE102015212969B4 (de) * 2015-07-10 2019-04-18 Koenig & Bauer Ag UV-Bestrahlungsvorrichtung
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US20170358446A1 (en) * 2016-06-13 2017-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Wafer processing apparatus and wafer processing method using the same
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10446420B2 (en) * 2016-08-19 2019-10-15 Applied Materials, Inc. Upper cone for epitaxy chamber
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
CN108091588B (zh) * 2016-11-21 2019-05-31 北京北方华创微电子装备有限公司 一种退火工艺方法、工艺腔室及退火设备
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
CN106896543B (zh) * 2017-04-14 2019-09-13 武汉华星光电技术有限公司 一种紫外线固化装置
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
US11482412B2 (en) 2018-01-19 2022-10-25 Asm Ip Holding B.V. Method for depositing a gap-fill layer by plasma-assisted deposition
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
CN111699278B (zh) 2018-02-14 2023-05-16 Asm Ip私人控股有限公司 通过循环沉积工艺在衬底上沉积含钌膜的方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102328781B1 (ko) * 2018-03-23 2021-11-22 한양대학교 산학협력단 리플렉터 및 이를 포함하는 광소결 장치
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) * 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
TW202344708A (zh) 2018-05-08 2023-11-16 荷蘭商Asm Ip私人控股有限公司 藉由循環沉積製程於基板上沉積氧化物膜之方法及相關裝置結構
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR20210027265A (ko) 2018-06-27 2021-03-10 에이에스엠 아이피 홀딩 비.브이. 금속 함유 재료를 형성하기 위한 주기적 증착 방법 및 금속 함유 재료를 포함하는 막 및 구조체
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
CN108848578A (zh) * 2018-08-01 2018-11-20 绵阳力洋英伦科技有限公司 一种闭合双抛物球面薄板高速加热装置及反射面处理方法
KR102179827B1 (ko) * 2018-08-06 2020-11-17 곽주현 경화 장치
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
KR20240033107A (ko) * 2018-09-24 2024-03-12 어플라이드 머티어리얼스, 인코포레이티드 세정 및 표면 처리를 위한 원자 산소 및 오존 디바이스
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
TW202037745A (zh) 2018-12-14 2020-10-16 荷蘭商Asm Ip私人控股有限公司 形成裝置結構之方法、其所形成之結構及施行其之系統
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
KR102638425B1 (ko) 2019-02-20 2024-02-21 에이에스엠 아이피 홀딩 비.브이. 기판 표면 내에 형성된 오목부를 충진하기 위한 방법 및 장치
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
US11482533B2 (en) 2019-02-20 2022-10-25 Asm Ip Holding B.V. Apparatus and methods for plug fill deposition in 3-D NAND applications
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
JP2020167398A (ja) 2019-03-28 2020-10-08 エーエスエム・アイピー・ホールディング・ベー・フェー ドアオープナーおよびドアオープナーが提供される基材処理装置
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
JP7304768B2 (ja) 2019-08-16 2023-07-07 株式会社Screenホールディングス 熱処理装置および熱処理装置の洗浄方法
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
JP7248954B2 (ja) * 2019-08-29 2023-03-30 岩崎電気株式会社 低圧水銀ランプユニット
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
TW202115273A (zh) 2019-10-10 2021-04-16 荷蘭商Asm Ip私人控股有限公司 形成光阻底層之方法及包括光阻底層之結構
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN112992667A (zh) 2019-12-17 2021-06-18 Asm Ip私人控股有限公司 形成氮化钒层的方法和包括氮化钒层的结构
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
CN113394086A (zh) 2020-03-12 2021-09-14 Asm Ip私人控股有限公司 用于制造具有目标拓扑轮廓的层结构的方法
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210132576A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐 나이트라이드 함유 층을 형성하는 방법 및 이를 포함하는 구조
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11725280B2 (en) 2020-08-26 2023-08-15 Asm Ip Holding B.V. Method for forming metal silicon oxide and metal silicon oxynitride layers
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
US11744913B2 (en) * 2020-11-05 2023-09-05 Bolb Inc. Fluid conduit disinfector
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060022154A1 (en) * 2004-07-29 2006-02-02 Schmitkons James W Shuttered lamp assembly and method of cooling the lamp assembly
JP2007157583A (ja) * 2005-12-07 2007-06-21 Ushio Inc 光照射装置
JP2008130888A (ja) * 2006-11-22 2008-06-05 Dainippon Screen Mfg Co Ltd 熱処理装置
US7763121B2 (en) * 2006-04-28 2010-07-27 Tokai Rubber Industries, Ltd. Rubber part having an aluminum-based metal component and method of producing the same

Family Cites Families (33)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4048490A (en) * 1976-06-11 1977-09-13 Union Carbide Corporation Apparatus for delivering relatively cold UV to a substrate
JPH02189805A (ja) * 1989-01-17 1990-07-25 Ushio Inc マイクロ波励起型無電極発光装置
US5959306A (en) * 1996-02-08 1999-09-28 Bright Solutions, Inc. Portable light source and system for use in leak detection
US6098637A (en) * 1998-03-03 2000-08-08 Applied Materials, Inc. In situ cleaning of the surface inside a vacuum processing chamber
US6118130A (en) * 1998-11-18 2000-09-12 Fusion Uv Systems, Inc. Extendable focal length lamp
US6630682B2 (en) * 2000-03-13 2003-10-07 Victor J. Shanley Combination UV inspection light and flashlight
US7265062B2 (en) * 2000-04-04 2007-09-04 Applied Materials, Inc. Ionic additives for extreme low dielectric constant chemical formulations
DE10196030T1 (de) * 2000-04-07 2003-03-27 Nordson Corp Mikrowellenerregtes Ultraviolett-Lampensystem mit verbesserter Lampenkühlung
US6759664B2 (en) * 2000-12-20 2004-07-06 Alcatel Ultraviolet curing system and bulb
US7091137B2 (en) * 2001-12-14 2006-08-15 Applied Materials Bi-layer approach for a hermetic low dielectric constant layer for barrier applications
US20030192577A1 (en) 2002-04-11 2003-10-16 Applied Materials, Inc. Method and apparatus for wafer cleaning
KR20030096733A (ko) * 2002-06-17 2003-12-31 삼성전자주식회사 자외선 조사 장치
US20050095859A1 (en) * 2003-11-03 2005-05-05 Applied Materials, Inc. Precursor delivery system with rate control
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20060105106A1 (en) 2004-11-16 2006-05-18 Applied Materials, Inc. Tensile and compressive stressed materials for semiconductors
JP2006147782A (ja) 2004-11-18 2006-06-08 Toshiba Ceramics Co Ltd 半導体基板用マイクロ波加熱セラミックスヒータ
DE202005002740U1 (de) * 2005-02-19 2005-06-02 Visplay International Ag Vorrichtung zum Aufhängen von Artikeln oder zur Halterung einer Ablage und Aufbau damit
US20060251827A1 (en) * 2005-05-09 2006-11-09 Applied Materials, Inc. Tandem uv chamber for curing dielectric materials
US7777198B2 (en) * 2005-05-09 2010-08-17 Applied Materials, Inc. Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US20060286774A1 (en) * 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US7601652B2 (en) 2005-06-21 2009-10-13 Applied Materials, Inc. Method for treating substrates and films with photoexcitation
US7566891B2 (en) * 2006-03-17 2009-07-28 Applied Materials, Inc. Apparatus and method for treating a substrate with UV radiation using primary and secondary reflectors
US7909595B2 (en) * 2006-03-17 2011-03-22 Applied Materials, Inc. Apparatus and method for exposing a substrate to UV radiation using a reflector having both elliptical and parabolic reflective sections
US7692171B2 (en) * 2006-03-17 2010-04-06 Andrzei Kaszuba Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
JP4896555B2 (ja) * 2006-03-29 2012-03-14 株式会社東芝 半導体製造装置及び半導体装置の製造方法
WO2007117583A2 (en) 2006-04-07 2007-10-18 Applied Materials Inc. Cluster tool for epitaxial film formation
US7978964B2 (en) * 2006-04-27 2011-07-12 Applied Materials, Inc. Substrate processing chamber with dielectric barrier discharge lamp assembly
US7547633B2 (en) * 2006-05-01 2009-06-16 Applied Materials, Inc. UV assisted thermal processing
US20070256635A1 (en) * 2006-05-02 2007-11-08 Applied Materials, Inc. A Delaware Corporation UV activation of NH3 for III-N deposition
US7798096B2 (en) * 2006-05-05 2010-09-21 Applied Materials, Inc. Plasma, UV and ion/neutral assisted ALD or CVD in a batch tool
WO2008005773A2 (en) 2006-07-03 2008-01-10 Applied Materials, Inc. Cluster tool for advanced front-end processing
JP5459944B2 (ja) * 2006-11-13 2014-04-02 大日本スクリーン製造株式会社 表面形状測定装置および応力測定装置、並びに、表面形状測定方法および応力測定方法
JP4621699B2 (ja) * 2007-02-13 2011-01-26 株式会社東芝 使用済燃料貯蔵ラック

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20060022154A1 (en) * 2004-07-29 2006-02-02 Schmitkons James W Shuttered lamp assembly and method of cooling the lamp assembly
JP2007157583A (ja) * 2005-12-07 2007-06-21 Ushio Inc 光照射装置
US7763121B2 (en) * 2006-04-28 2010-07-27 Tokai Rubber Industries, Ltd. Rubber part having an aluminum-based metal component and method of producing the same
JP2008130888A (ja) * 2006-11-22 2008-06-05 Dainippon Screen Mfg Co Ltd 熱処理装置

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN108662444A (zh) * 2017-03-30 2018-10-16 深圳市优固科技有限公司 紫外光led光源装置
CN114340778A (zh) * 2019-06-24 2022-04-12 不列颠哥伦比亚大学 用于流体受控辐照的多反射器式光反应器
DE102020003124A1 (de) 2020-03-20 2021-09-23 Kastriot Merlaku Virenschutz-Vorrichtung für eine Luftdurchströmungs- / Belüftungs-Vorrichtung oder Lüftungs-Anlage oder Klima-Anlage
DE102021000459A1 (de) 2020-03-20 2021-09-30 Kastriot Merlaku Ventilator
DE102021000458A1 (de) 2020-03-20 2021-12-30 Kastriot Merlaku Luftsterilisator
CN111532024A (zh) * 2020-03-26 2020-08-14 东莞市汇创智能装备有限公司 Uv固化机及其uv灯箱
CN111532024B (zh) * 2020-03-26 2021-12-28 东莞市汇创智能装备有限公司 Uv固化机及其uv灯箱
CN113492576A (zh) * 2020-04-06 2021-10-12 正扬科技有限公司 紫外光固化装置

Also Published As

Publication number Publication date
KR20110077008A (ko) 2011-07-06
TW201029017A (en) 2010-08-01
CN103400627A (zh) 2013-11-20
JP2012506622A (ja) 2012-03-15
US20110248183A1 (en) 2011-10-13
JP5401551B2 (ja) 2014-01-29
US20100096564A1 (en) 2010-04-22
KR101244243B1 (ko) 2013-03-19
US7964858B2 (en) 2011-06-21
WO2010048237A3 (en) 2010-07-15
CN102187441B (zh) 2013-09-11
CN103337274A (zh) 2013-10-02
WO2010048237A2 (en) 2010-04-29
US8338809B2 (en) 2012-12-25
TWI374452B (en) 2012-10-11

Similar Documents

Publication Publication Date Title
CN102187441A (zh) 具有冷却剂气体孔洞的紫外线反射器及紫外线处理方法
JP2012506622A5 (zh)
KR101168821B1 (ko) 처리 챔버의 고효율 uv 클리닝
US8911553B2 (en) Quartz showerhead for nanocure UV chamber
TWI388692B (zh) 紫外光硬化系統
US8203126B2 (en) Apparatus and method for exposing a substrate to a rotating irradiance pattern of UV radiation
US7692171B2 (en) Apparatus and method for exposing a substrate to UV radiation using asymmetric reflectors
US20070257205A1 (en) Apparatus and method for treating a substrate with uv radiation using primary and secondary reflectors
US20090162259A1 (en) High efficiency uv curing system
WO2010048227A2 (en) Ultraviolet-transmitting microwave reflector comprising a micromesh screen

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: American California

Applicant after: Applied Materials Inc.

Address before: American California

Applicant before: Applied Materials Inc.

C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20130911

Termination date: 20151020

EXPY Termination of patent right or utility model