CN102265383A - 用于沉积具有降低电阻率及改良表面形态的钨膜的方法 - Google Patents

用于沉积具有降低电阻率及改良表面形态的钨膜的方法 Download PDF

Info

Publication number
CN102265383A
CN102265383A CN2009801525907A CN200980152590A CN102265383A CN 102265383 A CN102265383 A CN 102265383A CN 2009801525907 A CN2009801525907 A CN 2009801525907A CN 200980152590 A CN200980152590 A CN 200980152590A CN 102265383 A CN102265383 A CN 102265383A
Authority
CN
China
Prior art keywords
tungsten
processing chamber
reducing gas
substrate
flow
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2009801525907A
Other languages
English (en)
Other versions
CN102265383B (zh
Inventor
吴凯
阿米特·卡恩德尔沃尔
阿维格尼诺斯·V·格拉托斯
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102265383A publication Critical patent/CN102265383A/zh
Application granted granted Critical
Publication of CN102265383B publication Critical patent/CN102265383B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76876Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for deposition from the gas phase, e.g. CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/08Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metal halides
    • C23C16/14Deposition of only one other metal element
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic System by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本发明提供一种控制钨膜的电阻率与形态的方法,该方法包含:在第一沉积阶段期间,通过下列步骤在基板上沉积块材钨层的第一膜:(i)将连续流动的还原气体与脉冲式流动的含钨化合物导入工艺腔室,以在该基板的表面上沉积钨;(ii)使该还原气体而不使该含钨化合物流入该工艺腔室,以净化该工艺腔室;以及(iii)重复步骤(i)~(ii),直到该第一膜填满了该基板的表面中的介层洞为止;增加该工艺腔室中的压力;以及在该第一沉积阶段之后的第二沉积阶段期间,通过提供还原气体与含钨化合物流到该工艺腔室而直到已沉积第二期望厚度为止,以沉积该块材钨层的第二膜。

Description

用于沉积具有降低电阻率及改良表面形态的钨膜的方法
技术领域
本发明的实施例大致上关于一种钨膜沉积的方法,并且尤其关于一种形成具有降低电阻率及改良表面形态的钨膜的方法。
背景技术
半导体工艺工业持续致力于更大的产量,同时可增加沉积在具有更大表面积的基板上的层的均匀性。这些目标和新材料的组合已经导向基板的单位面积上更高的电路集成度。随着电路集成度增加,有关沉积在基板上层的厚度的更大均匀性与工艺控制的需求也增加。因此,已经发展各种技术以在基板上以具备成本效益的方式来沉积层,同时维持对于该层的特性的控制。
以高沉积速率来形成膜层,同时提供适当的阶梯覆盖性,是和多种特性相冲突的,其中一特性常常是以牺牲其他特性来获得。当在形成连接相邻金属层(其由介电层分隔)的接触的期间时,于耐火金属层沉积在间隙或介层洞上方时,此冲突特别为真。根据历史,CVD技术已经用来沉积导电材料(诸如耐火金属),以为了便宜地且快速地形成接触。随着半导体电路的集成度增加,钨因其良好的阶梯覆盖性而已经变成一种选择的金属。
但是,由传统的CVD方法来沉积钨有一些缺失。典型地,钨膜由多个膜构成,该些膜包含薄钨成核层(其具有例如约
Figure BPA00001391297500011
至约
Figure BPA00001391297500012
的厚度)与形成于其上的厚块材钨层(其具有例如约
Figure BPA00001391297500013
至约
Figure BPA00001391297500014
的厚度)。含有薄钨成核层与厚块材钨层的钨膜倾向于具有不佳的膜形态。在工艺期间,钨的使用无益于光刻步骤,这是因为钨会导致相当粗糙的表面,而该粗糙表面具有70%或小于硅的反射率(取决于厚度和波长)。此外,已经证实钨难以均匀地沉积,并且不佳的表面均匀性通常会增加膜电阻率。
应用连续流动的含钨气体(例如六氟化钨(WF6))与还原气体(例如氢气(H2))于钨成核层上的传统钨块材沉积工艺可以达到低电阻率,但会造成高表面粗糙度。期望低电阻率以具有更好的晶体管器件速度,并且期望低表面粗糙度以促进用于蚀刻的光刻胶的形成。低电阻率钨需要用在存储器中的位线与逻辑应用中的接触。对于反应性离子蚀刻(RIE)位线工艺,低电阻率与良好形态两者都是必须的。
因此,此领域中存在一种形成钨膜的方法的需求,其具有良好的膜形态及降低的电阻率。
发明内容
本发明大致上关于一种钨膜沉积的方法,并且尤其关于一种形成具有降低电阻率及改良表面形态的钨膜的方法。在一实施例中,提供一种控制钨膜的电阻率与形态的方法,该方法包含:(a)将基板定位于工艺腔室中;(b)在第一沉积阶段期间,通过下列步骤来沉积块材钨层的第一膜:(i)将连续流动的还原气体与脉冲式流动的含钨化合物导入该工艺腔室,以在该基板的表面上沉积钨;(ii)使该还原气体而不使该含钨化合物流入该工艺腔室,以净化该工艺腔室;以及(iii)重复步骤(i)~(ii),直到该第一膜填满了该基板的表面中的介层洞为止;(c)增加该工艺腔室中的压力;以及(d)在该第一沉积阶段之后的第二沉积阶段期间,通过将连续流动的还原气体与含钨化合物导入该工艺腔室而直到已沉积第二期望厚度为止,以沉积该块材钨层的第二膜。
在另一实施例中,提供一种控制钨膜的电阻率与形态的方法,该方法包含:(a)将基板定位于工艺腔室中;(b)在第一沉积阶段期间,通过下列步骤来沉积块材钨层的第一膜:(i)将连续流动的还原气体与脉冲式流动的含钨化合物导入该工艺腔室,以在该基板的表面上沉积钨;(ii)使该还原气体而不使该含钨化合物流入该工艺腔室,以净化该工艺腔室;以及(iii)重复步骤(i)~(ii),直到该第一膜填满了该基板的表面中的介层洞为止;(c)增加该工艺腔室中的压力;以及(d)在该第一沉积阶段之后的第二沉积阶段期间,通过以比该第一沉积阶段更低的该还原气体对该含钨化合物的比例,将连续流动的还原气体与含钨化合物导入该工艺腔室而直到已沉积第二期望厚度为止,以沉积该块材钨层的第二膜。
在又另一实施例中,提供一种控制钨膜的电阻率与形态的方法,该方法包含:(a)将基板定位于工艺腔室中;(b)将连续流动的还原气体与脉冲式流动的含钨化合物导入该工艺腔室,以在该基板的表面上沉积钨,其中还原气体流量对含钨化合物流量的比例为介于25∶1与50∶1之间;(c)使该还原气体而不使该含钨化合物流入该工艺腔室,以净化该工艺腔室;以及(d)重复步骤(b)~(c),直到已沉积期望厚度的钨块材层为止。
附图说明
因此可以详细了解上述本发明的特征结构的方式,即对本发明更明确的描述,简短地在前面概述过,可通过参考实施例来得到,其中某些在附图中示出。但是应注意的是,附图仅示出本发明的一般实施例,因此不应视为对其范围的限制,因为本发明可允许其他等效实施例。
图1为根据在此描述的实施例的工艺腔室的截面图,其中该工艺腔室用于执行循环沉积工艺。
图2绘示根据在此描述的实施例的钨复合膜形成的工艺顺序。
图3绘示根据在此描述的实施例的钨复合膜形成的工艺顺序。
图4绘示根据在此描述的实施例的钨复合膜形成的工艺顺序。
具体实施方式
本发明的实施例提供一种用于沉积钨膜的改良工艺。该工艺应用脉冲式沉积技术,并且提供了具有明显改良的表面均匀性和形态的钨膜。在一态样中,该工艺包括用于沉积钨块材层的两步骤沉积方法,该方法包含先将基板定位于工艺腔室中。其次,在第一沉积阶段期间,块材钨层的第一膜可通过下述步骤来沉积:(i)将连续流动的还原气体与脉冲式流动的含钨化合物导入工艺腔室,以沉积钨于基板的表面上;(ii)使还原气体而不使含钨化合物流入腔室,以净化腔室;以及(iii)重复步骤(i)~(ii),直到第一膜填满基板表面中的介层洞为止。接着,可以增加工艺腔室中的压力。在第一阶段后的第二沉积阶段期间,块材钨层的第二膜可以通过以还原气体对含钨化合物的比例比第一沉积阶段更低而提供还原气体和含钨化合物流到工艺腔室来沉积,直到沉积了第二期望的厚度为止。在一实施例中,第二沉积阶段中还原气体对含钨化合物的比例低于第一沉积阶段。
在另一态样中,控制钨膜的电阻率与形态的方法包含先将基板定位于工艺腔室中。其次,块材钨层的第一膜可通过下述步骤来沉积:(i)将连续流动的还原气体与脉冲式流动的含钨化合物导入工艺腔室,以沉积钨于基板的表面上,其中还原气体流量对含钨化合物流量的比例为介于25∶1与50∶1之间;(ii)使还原气体而不使含钨化合物流入腔室,以净化腔室;以及(iii)重复步骤(i)~(ii),直到第一膜填满基板表面中的介层洞为止。
可以利用本发明的方法以在基板工艺腔室(诸如下述的示范性化学气相沉积(CVD)腔室)中沉积具有改良电阻率与表面形态的钨膜。此工艺是为了示范性目的用,并且没有意图欲限制本发明权利要求的范畴。
图1为根据在此描述的实施例的CVD工艺腔室100的截面图,其中该CVD工艺腔室100用于沉积钨层。这样的工艺腔室100可由美国加州圣大克劳拉市(Santa Clara)的应用材料公司(Applied Materials,Inc.)获得,工艺腔室100的概述在下文。可以执行在此描述的成核与块材层沉积方法的整合式工艺系统为可由美国加州圣大克劳拉市(Santa Clara)的应用材料公司(Applied Materials,Inc.)获得的Centura
Figure BPA00001391297500041
钨化学气相沉积腔室。
工艺腔室100可以是工艺系统(未示出)的一部分,其中该工艺系统包括连接到中央转移腔室(未示出)且由机械手臂提供服务的多个工艺腔室。工艺腔室100包括多个壁106、底部108及盖110,其界定了工艺容积112。典型地,壁106与底部108是由单一块体的铝所制成。壁106可以具有导管(未示出),流体可以通过该些导管以控制壁106的温度。工艺腔室100也可以包括泵送环114及其他泵送元件(未示出),泵送环114将工艺容积112耦接到排放口116。
基板支撑组件138(其可被加热)可以置中在工艺腔室100内。基板支撑组件138在沉积工艺期间支撑基板103。基板支撑组件138大致上是由铝、陶瓷、或铝和陶瓷的组合制成,并且典型地包括真空口(未示出)与至少一或多个加热构件132。
该真空口可以用来于沉积工艺期间在基板103与基板支撑组件138之间施加真空,以将基板103固持到基板支撑组件138。该一或多个加热构件132可以是例如设置在基板支撑组件138中且耦接到功率源130的电极,以将基板支撑组件138和设置在其上的基板103加热到预定温度。
大致上,基板支撑组件138耦接到杆142。杆142提供了电气接线、真空、及工艺腔室100的基板支撑组件138与其他元件之间的气体供应线。此外,杆142将基板支撑组件138耦接到升降系统144,该升降系统144将基板支撑组件138移动于上升位置(如图1所示)与下降位置(未示出)之间。折箱146提供了工艺容积112与腔室外的大气之间的真空密封,同时可促进基板支撑组件138的移动。
基板支撑组件138额外地支撑着环绕的遮蔽环148。遮蔽环148是环状的,并且典型地包含陶瓷材料(诸如氮化铝)。大致上,遮蔽环148可避免在基板103的边缘与基板支撑组件138处的沉积。
盖110是由壁106所支撑,并且可以移动以允许工艺腔室100的维护。盖110大致上可以包含铝,并且可以额外地具有形成在其中的多个热传流体通道124。该些热传流体通道124耦接到流体源(未示出),该流体源使热传流体能流经该盖110。流动通过该些热传流体通道124的流体可调节该盖110的温度。
混合块134可以设置在该盖110中。混合块134可以耦接到多个气体源104。大致上,来自该些气体源104的个别气体流可以在混和块134中结合。这些气体在混合块134中混合成单一的均质气体流并在通过喷头118后被导入工艺容积112,其中该喷头118将气体流向外朝向该些腔室壁106扩散。
喷头118大致上可以耦接到盖110的内侧120。穿孔阻隔板136可以选择性地设置在喷头118与盖110之间的空间122中。经由混合块134进入工艺腔室100的气体(即工艺气体与其他气体)是先被阻隔板136扩散,气体填满喷头118后方的空间122。接着,该些气体通过喷头118且进入工艺腔室100。阻隔板136与喷头118设以提供均匀的气体流到工艺腔室100。均匀的气体流是所期望的,以促进在基板103上的均匀层沉形成。
在一实施例中,期望从该些气体源104供应工艺气体(诸如含钨化合物气体)到工艺腔室100的该些线的至少一者包括用于将气体流转向的阀(未示出),从而使得在工艺腔室100净化期间,不需要关闭含钨化合物气体源的质流控制器(mass flow controller,MFC)。在净化步骤期间将含钨化合物的流动转向,相较于将其关闭,可通过在各净化步骤之后去除MFC将含钨化合物流动稳定化的额外时间而减少总工作时间。
工艺腔室100可以由微处理器控制器154所控制。微处理器控制器可以是任何形式的通用计算机处理器或中央处理单元(CPU),其用于工业设备中以控制各种腔室与次处理器。计算机处理器可以使用任何适当的存储器,例如随机存取存储器、只读存储器、软盘驱动、硬盘、或其他任何形式的数字存储器(无论是当地或远端的数字存储器皆可)。各种支援电路可以耦接到CPU以按照传统方式支援处理器。软件程序,若有需要,可以储存在存储器中或由设置在远端的第二CPU来执行。
软件程序是在基板定位于基板支撑件上之后被执行。当软件程序被执行时,软件程序将通用计算机转变成特定工艺计算机,其中该特定工艺计算机可控制腔室运作以执行腔室工艺。替代地,软件程序可以被执行在硬件中作为专用集成电路(ASIC)或其他类型的硬件实施方式、或软件和硬件的组合。
图2绘示根据本发明一实施例的工艺流程图,其说明用来形成改良钨膜的示范性工艺顺序200。如图2所示,钨块材层沉积工艺可以包括两个沉积步骤或阶段。首先,将基板定位于工艺腔室中(参见步骤210)。接着,成核层沉积在基板的表面上(参见步骤220)。在完成成核步骤220后,可以通过使用还原剂(诸如B2H6)来使成核层进行可选择的后处理(参见步骤230)。在第一沉积阶段期间,可以使用脉冲式化学气相沉积(CVD)来沉积第一块材钨膜(参见步骤240)。在第二沉积阶段期间,可以使用化学气相沉积(CVD)来沉积第二块材钨膜于第一块材钨膜上方,直到获得块材钨层的期望厚度为止。
图3更详细绘示两阶段的(脉冲式)块材钨沉积工艺300的一实施例的工艺流程图。首先,将待处理的基板载入执行脉冲式沉积的工艺腔室内,并且调整工艺条件(步骤310)。基板可以由任何适于半导体处理的材料(诸如硅)形成,并且可以具有多个层(诸如介电或导电材料层)形成于其上。
在此使用的“基板表面”是指形成在欲执行膜工艺的基板上的任何基板或材料表面。例如,可执行工艺的基板表面包括诸如以下的材料:硅、氧化硅、氮化硅、掺杂硅、锗、砷化镓、玻璃、蓝宝石(sapphire)、及任何其他材料(例如金属、金属氮化物、金属合金、及其他导电材料,这要取决于应用)。基板表面上的阻障层、金属或金属氮化物包括钛、氮化钛、氮化钨、钽、及氮化钽。基板表面也可以包括介电材料,例如氧化硅与掺杂碳的氧化硅。基板可以具有各种尺寸,例如200mm或300mm直径晶圆,以及矩形或方形片。
基板表面通常含有设置在其上的阻障层。阻障层通常含有金属或金属氮化物,其包括钛、氮化钛、氮化钛硅、钽、氮化钽、氮化钽硅、及其组合。例如,阻障层可以包含氮化钼钛。在一实施例中,阻障层可以在钨沉积之前暴露于浸泡工艺以活化基板上的下方表面,其可以包括将含有还原剂的还原气体导入工艺腔室内,从而使得基板表面形成经处理的层(诸如经还原的阻障层)。阻障层被还原且/或吸附还原剂,以形成经调节的层以用于后续的成核层。经调节的阻障层提供更快速且更平顺的成核层沉积。在一实例中,可以将二硼烷连同氢一起导入。能够以1∶1的体积比将二硼烷与氢气导入。在另一实例中,可以将硅烷连同氢一起导入。供浸泡工艺用的还原化合物/还原剂可以包括硅烷、二硅烷、二硼烷、氢、及其组合。较佳者,用于浸泡工艺的还原剂或浸泡化合物为二硼烷或硅烷。在沉积钨成核层之前用于预浸泡的工艺进一步被描述于共同受让的美国专利US 7,405,158,其在此以引置方式并入本文做为参考。
在步骤320,期望厚度的成核层沉积在基板表面上。在一实例中,成核层可以形成在经处理的阻障层上。成核层是薄的钨层,其用作为后续膜的生长位置。成核层可以由诸如原子层沉积(ALD)、传统的化学气相沉积(CVD)或脉冲式化学气相沉积(CVD)的技术来沉积。此工艺可以在类似前述图1的CVD工艺腔室中执行。成核层可以在供阻障层浸泡工艺用的同一工艺腔室中进行沉积。成核层可以包含钨、钨合金、含钨材料(诸如硼化钨或硅化钨)、及其组合。典型地,成核层沉积到约
Figure BPA00001391297500071
至约
Figure BPA00001391297500072
或约
Figure BPA00001391297500073
至约
Figure BPA00001391297500074
的厚度。用于沉积钨成核层的工艺进一步被描述于共同受让的美国专利US 7,405,158。
在一实施例中,在完成成核步骤320之后,可以将包括氢(H2)与/或氩(Ar)的净化气体脉冲化注入工艺腔室,以移除任何来自成核步骤320的残余的含钨前驱物或副产物。在另一实施例中,在完成成核步骤320之后,基板表面可以在和成核步骤320同一或不同的工艺腔室中暴露于后浸泡工艺或后处理(参见步骤330)。此后处理可以含有还原剂与可选的载气。还原剂可以对基板表面(即成核层)发生吸附与/或反应,以形成经处理的表面以用于后续的块材层。还原剂可以包括硅烷、二硅烷、二硼烷、及氢。在一实施例中,二硼烷(B2H6)气体可以流入工艺腔室长达20~30秒,以在成核层上形成经处理的表面。用于后处理的工艺进一步被描述于共同受让的美国专利US 7,405,158。成核层的后处理将倾向于提供一供块材沉积工艺用的起始层,其具有更大的晶粒尺寸与因而更低的电阻率。
一旦达到了期望的成核层厚度,并且进行后续的可选择的成核层后处理,块材层沉积在基板表面上(即在成核层上)。块材层沉积可以在用来沉积成核层的同一工艺腔室中执行。在另一实施例中,成核沉积可以在ALD腔室中执行,而块材沉积可以在CVD腔室中执行。
在第一块材沉积或填充阶段期间,在步骤340,基板暴露于连续流动的还原气体(诸如氢气(H2))与脉冲式含钨气体(诸如六氟化钨(WF6))。在一实施例中,载气(诸如氩(Ar))可以和还原气体一起流入。适当的载气包括氦(He)、氩(Ar)、氮(N2)、及其组合。在含钨化合物的脉冲之后,还原气体与可选择的载气可以持续流入工艺腔室长达足以净化或移除任何残余的含钨前驱物或副产物的时段(步骤350)。
在此使用的“脉冲”是指特定化合物的量,其间断地或非连续地被导入工艺腔室的反应区域中。各脉冲内特定化合物的量可以随着时间改变,取决于脉冲的持续时间。各脉冲的持续时间是可以取决于多个因素(诸如所使用的工艺腔室的容积、耦接到工艺腔室的真空系统、及特定化合物本身的挥发性/反应性)而可变的。以下提出的实例中的流速对应于容积约13,560cm3的300mmCVD腔室。
参见步骤360,在第一沉积阶段的各沉积循环(步骤340~350)之后,具有特定厚度的钨块材层将可沉积在基板表面上。通常,各沉积循环形成厚度约
Figure BPA00001391297500081
的块材钨层。取决于特定器件需求,可能需要多个沉积循环来沉积额外的块材层,直到形成了具有期望厚度的第一块材钨膜为止。例如,对于具有目标厚度为约
Figure BPA00001391297500082
的第一块材钨膜而言,将需要约八个沉积速率为约
Figure BPA00001391297500083
循环的循环。依此,可以重复沉积循环(步骤340~350)直到达到了期望的第一块材钨膜厚度为止。
在一实施例中,第一脉冲式块材沉积步骤340中还原气体对含钨化合物的比例较佳是高到足以减少基板表面中氟杂质的量且因此达到更低的电阻率。例如,第一填充步骤340中的还原气体(诸如氢气(H2))对含钨化合物(诸如六氟化钨(WF6))的比例可以为介于约25∶1与60∶1之间,或介于约30∶1与约50∶1之间。在一实例中,还原气体流量对含钨化合物流量的比例可以为约30∶1。但是,在一些情况中,已经观察到第一脉冲式块材沉积步骤340中的还原气体对含钨化合物的比例可能太高而使得钨沉积太快速,导致了不佳的间隙填充与间隙中的接缝形成。这对于具有插塞且高深宽比(例如15∶1(深度∶宽度))的小结构尤其如此。然而,相较于传统的CVD沉积,在这样的情形中,步骤340~360所示的前驱物脉冲化可以补偿深宽比设定的还原气体对含钨化合物的比例的任何限制。第一钨块材膜的脉冲式CVD沉积倾向于比传统的CVD沉积造成更佳的插塞填充,使得钨块材层具有更低的接触电阻率。因此,根据起始基板表面的结构和电阻率来调整第一块材钨沉积阶段中还原气体对含钨化合物的比例以及脉冲化频率和持续时间是令人希望的,以为了达到低电阻率的钨块材层。第一块材沉积阶段(步骤340~360)将如前述以循环模式进行,直到形成在基板表面中的特征结构(诸如插塞或介层洞)被钨填满为止。
在一实施例中,一旦插塞被第一块材钨膜填满,第二块材沉积阶段沉积足够的钨在第一块材钨膜上,而使第二块材钨膜达到期望的厚度。第二阶段的块材钨沉积能够以比第一阶段的块材钨沉积更快速的速率来发生。因此,在开始第二块材钨沉积步骤380之前,腔室中条件经调整,从而能增加腔室压力并同时使载座温度维持恒定(参见步骤370)。增加压力倾向于增加沉积钨的速率。在步骤370期间,包含氢(H2)与/或氩(Ar)的净化气体可以流入工艺腔室,长达足以移除第一脉冲式块材层沉积步骤的任何残余副产物的时段。在步骤380,将还原气体(诸如氢气(H2))与含钨气体(诸如六氟化钨(WF6))导入工艺腔室,并持续长达足以达到第二块材钨膜的目标厚度的时段。可选择地,在步骤380,载气(诸如氩(Ar))可以和还原气体与含钨化合物一起流入。之后,如步骤390所示,当达到了最终的钨块材层的期望厚度,工艺停止。
图4更详细绘示两阶段的(脉冲式)块材钨沉积工艺400的一实施例的工艺流程图。此工艺类似于前述图3的工艺。如图4所示,在步骤410可以将基板定位于工艺腔室中,接着在步骤420发生成核。如前所述,在完成成核步骤420之后,基板表面可以在和成核步骤420同一或不同的工艺腔室中暴露于后浸泡工艺或后处理(参见步骤430)。
在步骤440,如前所述,基板可以暴露于连续流动的还原气体(诸如氢气(H2))与脉冲式含钨气体(诸如六氟化钨(WF6))。在一实施例中,载气(诸如氩(Ar))可以和还原气体一起流入。适当的载气包括氦(He)、氩(Ar)、氮(N2)、及其组合。在含钨化合物的脉冲之后,还原气体与可选择的载气连续流入工艺腔室长达足以净化或移除任何残余的含钨前驱物或副产物的时段(步骤450)。
参见步骤460,在第一块材沉积阶段的各沉积循环(步骤440~450)之后,具有特定厚度的钨块材层将可沉积在基板表面上。通常,各沉积循环形成厚度约
Figure BPA00001391297500091
的块材钨层。如前述关于图3的说明,取决于特定器件需求,可能需要多个沉积循环来沉积额外的块材层,直到形成了具有期望厚度的第一块材钨膜为止。依此,可以重复沉积循环(步骤440~450)直到达到了期望的第一块材钨膜厚度为止。
如前所述,一旦插塞被第一块材钨膜填满,第二块材沉积阶段可以接着沉积足够的钨在第一块材钨膜上,而使第二块材钨膜达到期望的厚度。在开始第二块材钨沉积步骤480之前,腔室中条件可以经调整,从而能增加腔室压力并同时使载座温度维持恒定(参见步骤470)。增加压力倾向于增加沉积钨的速率。在步骤470期间,包含氢(H2)与/或氩(Ar)的净化气体可以流入工艺腔室,长达足以移除第一脉冲式块材层沉积步骤的任何残余副产物的时段。
在步骤480,将还原气体(诸如氢气(H2))与含钨气体(诸如六氟化钨(WF6))导入工艺腔室,并持续长达足以达到第二块材钨膜的目标厚度的时段。第二块材沉积步骤480的还原气体流量可以小于第一沉积阶段步骤440的还原气体流量,并且第二沉积步骤480的含钨化合物流量可以大于第一脉冲式块材沉积步骤440的含钨化合物流量,因此第二脉冲式块材沉积步骤480中还原气体对含钨化合物的比例小于第一脉冲式块材沉积步骤440。在一实施例中,第一填充步骤中的氢气(H2)对六氟化钨(WF6)的比例可以为50∶1,并且第二沉积步骤中的氢气(H2)对六氟化钨(WF6)的比例可以为约7.5∶1。
可选择地,在步骤480,载气(诸如氩(Ar))可以和还原气体与含钨化合物一起流入。载气流(诸如氩(Ar)),若存在的话,也可以从第一沉积步骤减少到第二沉积步骤。之后,如步骤490所示,当达到了最终的钨块材层的期望厚度,工艺停止。
在一实施例中,在块材钨层沉积工艺期间,可以将额外的载气和还原气体流导入于工艺腔室的其他区域,由此避免在基板边缘与基板支撑组件处的沉积或累积或由此净化残余的气体。也可以将底部的载气净化流(诸如氩)导入工艺腔室,以避免在工艺腔室中加热构件的背面上的沉积。
在一态样中,在成核层沉积与任何后续的净化或后浸泡工艺之后,可以将基板定位于容积为约13,560cm3的300mm工艺腔室中且于温度为约100~490℃(更佳为约300~430℃)的载座上。在一实例中,该温度可以为约400℃。可以在工艺腔室的压力为约10~150Torr(更佳为约50~100Torr)来执行脉冲式沉积工艺。在一实例中,该压力可以为约90Torr。可以将还原气体(较佳为氢气(H2))导入于介于1,000sccm与约8,000sccm之间的连续流速(例如5,000sccm)。可以将还原气体(较佳为氢气(H2))连同载气一起导入于介于约0sccm与约20,000sccm之间的流速。在一实例中,可以将氩导入于11,000sccm的总流速。第二氩流能够以约0sccm至约2,000sccm的流速来流动通过净化导件(图1未示出),以避免沉积气体接触基板的边缘和背面。在一实例中,氩边缘净化流可以为500sccm。同样地,第二氢气流(H2)能够以约0sccm至约6,000sccm的流速来流动通过净化导件(图1未示出)。在一实例中,氢气边缘净化流可以为2,500sccm。在另一实施例中,可以将额外的载气流(诸如氩)导入作为底部净化,以避免在腔室加热构件的背面上的沉积。在一实例中,氩底部净化流可以为5,000sccm。含钨化合物可以是六氟化钨(WF6),并且可以被脉冲化于约50sccm至400sccm的速率(诸如约100sccm至200sccm)且持续约1秒至4秒的时段。在一实例中,六氟化钨(WF6)可以被脉冲化于100sccm的速率而持续约2秒。在含钨化合物的2秒脉冲之后,还原气体(诸如氢气(H2))与载气(诸如氩(Ar))可以持续流入长达约2秒,以净化腔室中任何反应副产物且减少基板表面上的氟杂质。在包括氩与氢气边缘净化流的实施例中,可以在净化步骤350期间将这些流维持为恒定。在包括氩底部边缘净化流的实施例中,可以在净化步骤350期间将底部净化流维持为恒定。此脉冲式钨沉积循环可以持续,直到基板表面中的插塞或介层洞被填满为止。
在一态样中,在使用前述的脉冲式块材沉积方法以钨来填充插塞或介层洞之后,可以增加腔室压力到200~300Torr。在一实例中,可以增加腔室压力到300Torr。可以降低还原气体流(较佳为氢气(H2))到约0sccm至约4,000sccm,并且可以增加载气流(诸如氩(Ar))到约0sccm至约20,000sccm。还原气体与载气可以流动长达约5秒至约10秒的时段,由此从腔室净化任何残余的含钨前驱物或副产物。在一实例中,氢气流(H2)可以从5,000sccm(在第一块材沉积步骤中)降低到3,000sccm,并且氩气流(Ar)可以从11,000sccm(在第一块材沉积步骤中)增加到18,000sccm且可流动长达约9秒的时段。在包括氩与氢气边缘净化流的实施例中,可以增加氩气边缘流到例如1,200sccm,而可以将氢气边缘流维持为恒定。在包括氩底部净化流的实施例中,可以将底部净化流维持为恒定。在一实施例中,一旦从腔室净化了任何残余的含钨前驱物或副产物,可以将氩气导入于4,000sccm的降低流速,而可以将3,000sccm的氢气流维持为恒定长达约3秒,直到系统(包括流量控制器)已经稳定了为止。在包括氩与氢气边缘净化流的实施例中,可以在稳定化步骤期间将边缘净化流维持为恒定,例如各为1,200sccm与2,500sccm。在第二块材沉积阶段,接着可以将六氟化钨(WF6)导入于介于约0sccm与约400sccm之间的流速,同时将来自稳定化步骤的氢气与氩气流维持为恒定,长达介于约0秒与约70秒之间的时段,由此达到第二块材钨膜的目标厚度。在一实例中,可以将六氟化钨(WF6)导入于400sccm的流速,连同3,000sccm的氢气和4,000sccm的氩,长达28秒的时段,以达到第二块材钨膜的
Figure BPA00001391297500121
的目标厚度。
可以根据基板的尺寸与用于沉积的工艺腔室的容积来依比例调整试剂、载气与净化气体的流速。
相较于传统的一步骤(非脉冲式)块材钨沉积或介层洞填充工艺,两阶段的块材钨沉积或介层洞填充方法具有一些优点。举例而言,通过脉冲式沉积方法形成在介层洞中的钨膜可以呈现比传统的非脉冲式内连线块材填充减少的氟含量(在一些例子中减少约40%)。在一实例中,对于具有
Figure BPA00001391297500122
成核层与块材钨层的基板,其中该成核层是由ALD工艺使用二硼烷(B2H6)作为还原剂来沉积,并且该块材钨层是由传统一步骤介层洞填充方法使用150sccm WF6、1,000sccm H2和4,500sccm Ar于90Torr且400℃来沉积在该成核层上方,该基板具有氟含量为约1.59×1015atoms/cm2的所产生钨膜。另一方面,对于具有
Figure BPA00001391297500123
成核层与块材钨层的基板,其中该成核层是由ALD工艺使用二硼烷(B2H6)作为还原剂来沉积,并且该块材钨层是由脉冲式介层洞填充方法使用150sccmWF6、5,000sccm H2和11,000sccm Ar于90Torr且400℃来沉积在该成核层上方,该基板具有氟含量为约9.59×1014atoms/cm2的所产生钨膜,该氟含量比非脉冲式方法膜减少约40%。
使用两阶段(脉冲式)沉积方法所沉积的钨膜也已显示具有比使用传统一步骤(非脉冲式)CVD方法所沉积的块材钨膜降低的电阻率,在一些例子中降低约10%。使用两阶段(脉冲式)沉积工艺所沉积的块材钨层也具有更高的均匀性和更佳的形态。举例而言,对于具有成核层与块材钨层的基板,其中该成核层是由五次循环的ALD工艺使用二硼烷(B2H6)作为还原剂来沉积,并且该块材钨层是由传统一步骤填充方法使用150sccm WF6与7,500sccm H2于300Torr且400℃来沉积,该基板呈现约14.27μΩ-cm的电阻率和约10.19nm的方均根粗糙度(root mean square roughness,RMS)。另一方面,对于具有成核层与块材钨层的基板,其中该成核层是由五次循环的ALD工艺使用二硼烷(B2H6)作为还原剂来沉积,并且该块材钨层是由可提供150sccm WF6脉冲和7,500sccm H2连续流动于300Torr且400℃的脉冲式块材填充方法来沉积,该基板呈现约12.68μΩ-cm的改良电阻率(降低约10%)和约8.67nm RMS的改良表面形态。
在一实施例中,可以使用前述的第一沉积阶段或填充步骤来填充形成在介电层(其已沉积在基板表面上)中的钨插塞或介层洞,而可以接续地使用第二沉积阶段或填充步骤来沉积期望厚度的钨块材层于其上。在另一实施例中,可以使用前述的第二沉积阶段或填充步骤来沉积钨块材层于基板表面上,其可以接着在沉积介电层于其上之前被蚀刻。
可以使用传统工艺(例如光谱测量)来执行钨块材层厚度的决定。替代地,可以通过根据使用各种工艺参数(例如流速、温度和压力)以决定沉积速率而执行计算来估计成核层厚度。
实例
于具有顶部临界尺寸为约50nm且深宽比为约4∶1的介层洞的基板上,以WF6与B2H6作为试剂使用约
Figure BPA00001391297500131
期望厚度的成核层的CVD工艺,在形成后,将基板维持在CVD腔室中且使用两阶段的沉积或填充方法来沉积块材钨层。在第一阶段中,第一块材钨膜在下列条件下于介层洞中被沉积到约
Figure BPA00001391297500132
的厚度:
试剂:WF6与H2
压力:90Torr;
温度:400℃;
流速:100sccm WF6与5,000sccm H2
脉冲时段:2秒。
接着,将基板维持在CVD腔室中,并且将CVD腔室加压到300Torr。在腔室加压期间,将H2导入于3,000sccm的流速长达约20秒。在第二阶段中,在下列条件下使用CVD工艺而使块材钨层在基板表面上被沉积到
Figure BPA00001391297500133
的厚度:
试剂:WF6与H2
压力:300Torr;
温度:400℃;
流速:400sccm WF6与3,000sccm H2
脉冲时段:28秒。
相较于不包括脉冲式内连线块材填充步骤的传统一步骤沉积方法,所产生的钨膜呈现降低的电阻率。
尽管前述说明涉及本发明的实施例,可以在不脱离本发明的基本范围下设想出本发明的其他与进一步实施例,并且本发明的范围是由随附权利要求所决定。

Claims (15)

1.一种控制钨膜的电阻率与形态的方法,包含:
(a)将基板定位于工艺腔室中;
(b)在第一沉积阶段期间,通过下列步骤来沉积块材钨层的第一膜:
(i)将连续流动的还原气体与脉冲式流动的含钨化合物导入该工艺腔室,以在该基板的表面上沉积钨;
(ii)使该还原气体而不使该含钨化合物流入该工艺腔室,以净化该工艺腔室;以及
(iii)重复步骤(i)~(ii),直到该第一膜填满了该基板的表面中的介层洞为止;
(c)增加该工艺腔室中的压力;以及
(d)在该第一沉积阶段之后的第二沉积阶段期间,通过将连续流动的还原气体与含钨化合物导入该工艺腔室而直到已沉积第二期望厚度为止,以沉积该块材钨层的第二膜。
2.如权利要求1所述的方法,还包含在步骤(b)之前在该基板的表面上形成成核层。
3.如权利要求2所述的方法,还包含在形成该成核层之前在该基板的表面上形成阻障层。
4.如权利要求1所述的方法,还包含在步骤(c)期间使该还原气体而不使该含钨化合物流入该工艺腔室。
5.如权利要求1所述的方法,其中在步骤(b)(i)~(b)(ii)与(d)中,载气流和该还原气体一起流入。
6.如权利要求1所述的方法,其中该第一沉积阶段发生在90Torr的压力与400℃的温度,并且该第二沉积阶段发生在300Torr的压力与400℃的温度。
7.如权利要求1所述的方法,其中该含钨化合物包含六氟化钨(WF6),并且该还原气体包含氢气(H2)。
8.如权利要求1所述的方法,其中在步骤(b)(i)中,还原气体流量对含钨化合物流量的比例为介于25∶1与50∶1之间。
9.一种控制钨膜的电阻率与形态的方法,包含:
(a)将基板定位于工艺腔室中;
(b)在第一沉积阶段期间,通过下列步骤来沉积块材钨层的第一膜:
(i)将连续流动的还原气体与脉冲式流动的含钨化合物导入该工艺腔室,以在该基板的表面上沉积钨;
(ii)使该还原气体而不使该含钨化合物流入该工艺腔室,以净化该工艺腔室;以及
(iii)重复步骤(i)~(ii),直到该第一膜填满了该基板的表面中的介层洞为止;
(c)增加该工艺腔室中的压力;以及
(d)在该第一沉积阶段之后的第二沉积阶段期间,通过以比该第一沉积阶段更低的该还原气体对该含钨化合物的比例,将连续流动的还原气体与含钨化合物导入该工艺腔室而直到已沉积第二期望厚度为止,以沉积该块材钨层的第二膜。
10.如权利要求9所述的方法,还包含在步骤(b)之前在该基板的表面上形成成核层。
11.如权利要求9所述的方法,其中在步骤(b)(i)~(b)(ii)与(d)中,载气流和该还原气体一起流入。
12.如权利要求9所述的方法,还包含在形成该成核层之后的浸泡工艺期间,将该基板暴露于还原气体。
13.如权利要求9所述的方法,其中在步骤(b)(i)中,还原气体流量对含钨化合物流量的比例为介于25∶1与50∶1之间。
14.如权利要求9所述的方法,其中该含钨化合物包含六氟化钨(WF6),并且该还原气体包含氢气(H2)。
15.一种控制钨膜的电阻率与形态的方法,包含:
(a)将基板定位于工艺腔室中;
(b)将连续流动的还原气体与脉冲式流动的含钨化合物导入该工艺腔室,以在该基板的表面上沉积钨,其中还原气体流量对含钨化合物流量的比例为介于25∶1与50∶1之间;
(c)使该还原气体而不使该含钨化合物流入该工艺腔室,以净化该工艺腔室;以及
(d)重复步骤(b)~(c),直到已沉积期望厚度的钨块材层为止。
CN200980152590.7A 2008-12-31 2009-12-15 用于沉积具有降低电阻率及改良表面形态的钨膜的方法 Expired - Fee Related CN102265383B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14198808P 2008-12-31 2008-12-31
US61/141,988 2008-12-31
PCT/US2009/067997 WO2010077847A2 (en) 2008-12-31 2009-12-15 Method of depositing tungsten film with reduced resistivity and improved surface morphology

Publications (2)

Publication Number Publication Date
CN102265383A true CN102265383A (zh) 2011-11-30
CN102265383B CN102265383B (zh) 2014-06-11

Family

ID=42285474

Family Applications (1)

Application Number Title Priority Date Filing Date
CN200980152590.7A Expired - Fee Related CN102265383B (zh) 2008-12-31 2009-12-15 用于沉积具有降低电阻率及改良表面形态的钨膜的方法

Country Status (5)

Country Link
US (1) US8071478B2 (zh)
KR (1) KR101263856B1 (zh)
CN (1) CN102265383B (zh)
TW (1) TWI394858B (zh)
WO (1) WO2010077847A2 (zh)

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105453230A (zh) * 2013-08-16 2016-03-30 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
CN106328500A (zh) * 2015-07-02 2017-01-11 无锡华润上华科技有限公司 钨膜的沉积方法
CN107818944A (zh) * 2016-09-13 2018-03-20 东京毅力科创株式会社 使用钨填充衬底的凹部的方法
CN108807166A (zh) * 2017-04-26 2018-11-13 东京毅力科创株式会社 形成钨膜的方法
CN111139451A (zh) * 2020-01-02 2020-05-12 长江存储科技有限责任公司 膜层结构、膜层结构沉积方法及设备

Families Citing this family (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9076843B2 (en) 2001-05-22 2015-07-07 Novellus Systems, Inc. Method for producing ultra-thin tungsten layers with improved step coverage
US8129270B1 (en) 2008-12-10 2012-03-06 Novellus Systems, Inc. Method for depositing tungsten film having low resistivity, low roughness and high reflectivity
US20100267230A1 (en) 2009-04-16 2010-10-21 Anand Chandrashekar Method for forming tungsten contacts and interconnects with small critical dimensions
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
US9034768B2 (en) 2010-07-09 2015-05-19 Novellus Systems, Inc. Depositing tungsten into high aspect ratio features
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
KR101907971B1 (ko) * 2011-07-07 2018-10-16 주식회사 원익아이피에스 반도체 소자의 콘택플러그용 금속 증착 방법
WO2013148880A1 (en) * 2012-03-27 2013-10-03 Novellus Systems, Inc. Tungsten feature fill
US11437269B2 (en) * 2012-03-27 2022-09-06 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
JP6017396B2 (ja) * 2012-12-18 2016-11-02 東京エレクトロン株式会社 薄膜形成方法および薄膜形成装置
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US8900999B1 (en) 2013-08-16 2014-12-02 Applied Materials, Inc. Low temperature high pressure high H2/WF6 ratio W process for 3D NAND application
US9589808B2 (en) 2013-12-19 2017-03-07 Lam Research Corporation Method for depositing extremely low resistivity tungsten
CN104157607B (zh) * 2014-09-01 2017-02-15 上海先进半导体制造股份有限公司 钨淀积工艺的背面压力的优化方法
US9997405B2 (en) 2014-09-30 2018-06-12 Lam Research Corporation Feature fill with nucleation inhibition
JP6706903B2 (ja) * 2015-01-30 2020-06-10 東京エレクトロン株式会社 タングステン膜の成膜方法
US9953984B2 (en) 2015-02-11 2018-04-24 Lam Research Corporation Tungsten for wordline applications
US10170320B2 (en) 2015-05-18 2019-01-01 Lam Research Corporation Feature fill with multi-stage nucleation inhibition
US9613818B2 (en) 2015-05-27 2017-04-04 Lam Research Corporation Deposition of low fluorine tungsten by sequential CVD process
US9754824B2 (en) 2015-05-27 2017-09-05 Lam Research Corporation Tungsten films having low fluorine content
US9978605B2 (en) 2015-05-27 2018-05-22 Lam Research Corporation Method of forming low resistivity fluorine free tungsten film without nucleation
US9972504B2 (en) 2015-08-07 2018-05-15 Lam Research Corporation Atomic layer etching of tungsten for enhanced tungsten deposition fill
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10991586B2 (en) 2015-12-19 2021-04-27 Applied Materials, Inc. In-situ tungsten deposition without barrier layer
US10468263B2 (en) * 2015-12-19 2019-11-05 Applied Materials, Inc. Tungsten deposition without barrier layer
US10480066B2 (en) 2015-12-19 2019-11-19 Applied Materials, Inc. Metal deposition methods
US10573522B2 (en) 2016-08-16 2020-02-25 Lam Research Corporation Method for preventing line bending during metal fill process
US10566211B2 (en) 2016-08-30 2020-02-18 Lam Research Corporation Continuous and pulsed RF plasma for etching metals
WO2019036292A1 (en) 2017-08-14 2019-02-21 Lam Research Corporation METHOD FOR METAL CASTING FOR THREE-DIMENSIONAL NAND AND VERTICAL WORDS LINE
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
WO2019055510A1 (en) 2017-09-12 2019-03-21 Applied Materials, Inc. LOW TEMPERATURE DEPOSITION OF IRIDIUM-CONTAINING FILM
SG11202008268RA (en) 2018-03-19 2020-10-29 Applied Materials Inc Methods for depositing coatings on aerospace components
WO2019209381A1 (en) * 2018-04-24 2019-10-31 Applied Materials, Inc. Tungsten deposition without barrier layer
WO2019209401A1 (en) 2018-04-27 2019-10-31 Applied Materials, Inc. Protection of components from corrosion
JP2021523292A (ja) 2018-05-03 2021-09-02 ラム リサーチ コーポレーションLam Research Corporation 3d nand構造内にタングステンおよび他の金属を堆積させる方法
KR102513403B1 (ko) * 2018-07-30 2023-03-24 주식회사 원익아이피에스 텅스텐 증착 방법
US10636705B1 (en) 2018-11-29 2020-04-28 Applied Materials, Inc. High pressure annealing of metal gate structures
SG11202109796QA (en) 2019-03-11 2021-10-28 Lam Res Corp Precursors for deposition of molybdenum-containing films
US11404290B2 (en) * 2019-04-05 2022-08-02 Mks Instruments, Inc. Method and apparatus for pulse gas delivery
US11732353B2 (en) 2019-04-26 2023-08-22 Applied Materials, Inc. Methods of protecting aerospace components against corrosion and oxidation
US11794382B2 (en) 2019-05-16 2023-10-24 Applied Materials, Inc. Methods for depositing anti-coking protective coatings on aerospace components
US11697879B2 (en) 2019-06-14 2023-07-11 Applied Materials, Inc. Methods for depositing sacrificial coatings on aerospace components
US11466364B2 (en) 2019-09-06 2022-10-11 Applied Materials, Inc. Methods for forming protective coatings containing crystallized aluminum oxide
US11519066B2 (en) 2020-05-21 2022-12-06 Applied Materials, Inc. Nitride protective coatings on aerospace components and methods for making the same
WO2022005696A1 (en) 2020-07-03 2022-01-06 Applied Materials, Inc. Methods for refurbishing aerospace components
CN115836380A (zh) * 2020-11-20 2023-03-21 朗姆研究公司 低电阻脉冲式cvd钨
US20220359279A1 (en) * 2021-05-10 2022-11-10 Applied Materials, Inc. Methods of forming void and seam free metal features

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW423053B (en) * 1997-12-02 2001-02-21 Applied Materials Inc Low resistivity w using b2h6 nucleation step
US20050032364A1 (en) * 2001-08-14 2005-02-10 Kazuya Okubo Method of forming tungsten film
CN1860587A (zh) * 2003-09-30 2006-11-08 东京毅力科创株式会社 利用间歇前驱气流工艺形成金属层的方法
CN101075575A (zh) * 2006-05-15 2007-11-21 中芯国际集成电路制造(上海)有限公司 形成高深宽比连接孔的方法
US20080227291A1 (en) * 2001-07-16 2008-09-18 Lai Ken K Formation of composite tungsten films
US20080254623A1 (en) * 2001-05-22 2008-10-16 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5231056A (en) 1992-01-15 1993-07-27 Micron Technology, Inc. Tungsten silicide (WSix) deposition process for semiconductor manufacture
US6156382A (en) 1997-05-16 2000-12-05 Applied Materials, Inc. Chemical vapor deposition process for depositing tungsten
JP2002536549A (ja) * 1999-02-12 2002-10-29 ゲレスト インコーポレイテッド 窒化タングステンの化学蒸着
US6936538B2 (en) 2001-07-16 2005-08-30 Applied Materials, Inc. Method and apparatus for depositing tungsten after surface treatment to improve film characteristics
US7405158B2 (en) 2000-06-28 2008-07-29 Applied Materials, Inc. Methods for depositing tungsten layers employing atomic layer deposition techniques
US6878206B2 (en) 2001-07-16 2005-04-12 Applied Materials, Inc. Lid assembly for a processing system to facilitate sequential deposition techniques
US7141494B2 (en) * 2001-05-22 2006-11-28 Novellus Systems, Inc. Method for reducing tungsten film roughness and improving step coverage
US7211144B2 (en) 2001-07-13 2007-05-01 Applied Materials, Inc. Pulsed nucleation deposition of tungsten layers
TW589684B (en) 2001-10-10 2004-06-01 Applied Materials Inc Method for depositing refractory metal layers employing sequential deposition techniques
WO2003064724A1 (en) 2001-12-17 2003-08-07 Applied Materials, Inc. Process for tungsten deposition by pulsed gas flow cvd
US6827978B2 (en) 2002-02-11 2004-12-07 Applied Materials, Inc. Deposition of tungsten films
US20030157760A1 (en) 2002-02-20 2003-08-21 Applied Materials, Inc. Deposition of tungsten films for dynamic random access memory (DRAM) applications
US6846516B2 (en) 2002-04-08 2005-01-25 Applied Materials, Inc. Multiple precursor cyclical deposition system

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW423053B (en) * 1997-12-02 2001-02-21 Applied Materials Inc Low resistivity w using b2h6 nucleation step
US20080254623A1 (en) * 2001-05-22 2008-10-16 Novellus Systems, Inc. Methods for growing low-resistivity tungsten for high aspect ratio and small features
US20080227291A1 (en) * 2001-07-16 2008-09-18 Lai Ken K Formation of composite tungsten films
US20050032364A1 (en) * 2001-08-14 2005-02-10 Kazuya Okubo Method of forming tungsten film
CN1860587A (zh) * 2003-09-30 2006-11-08 东京毅力科创株式会社 利用间歇前驱气流工艺形成金属层的方法
CN101075575A (zh) * 2006-05-15 2007-11-21 中芯国际集成电路制造(上海)有限公司 形成高深宽比连接孔的方法

Cited By (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN105453230A (zh) * 2013-08-16 2016-03-30 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
CN105453230B (zh) * 2013-08-16 2019-06-14 应用材料公司 用六氟化钨(wf6)回蚀进行钨沉积
CN106328500A (zh) * 2015-07-02 2017-01-11 无锡华润上华科技有限公司 钨膜的沉积方法
CN106328500B (zh) * 2015-07-02 2019-11-05 无锡华润上华科技有限公司 钨膜的沉积方法
CN107818944A (zh) * 2016-09-13 2018-03-20 东京毅力科创株式会社 使用钨填充衬底的凹部的方法
CN107818944B (zh) * 2016-09-13 2021-09-10 东京毅力科创株式会社 使用钨填充衬底的凹部的方法
CN108807166A (zh) * 2017-04-26 2018-11-13 东京毅力科创株式会社 形成钨膜的方法
CN108807166B (zh) * 2017-04-26 2022-10-28 东京毅力科创株式会社 形成钨膜的方法
CN111139451A (zh) * 2020-01-02 2020-05-12 长江存储科技有限责任公司 膜层结构、膜层结构沉积方法及设备

Also Published As

Publication number Publication date
KR101263856B1 (ko) 2013-05-13
WO2010077847A2 (en) 2010-07-08
TWI394858B (zh) 2013-05-01
WO2010077847A3 (en) 2010-09-23
US8071478B2 (en) 2011-12-06
US20100167527A1 (en) 2010-07-01
TW201035356A (en) 2010-10-01
KR20110108382A (ko) 2011-10-05
CN102265383B (zh) 2014-06-11

Similar Documents

Publication Publication Date Title
CN102265383B (zh) 用于沉积具有降低电阻率及改良表面形态的钨膜的方法
US11398382B2 (en) Method of forming an electrode on a substrate and a semiconductor device structure including an electrode
US7514358B2 (en) Sequential deposition of tantalum nitride using a tantalum-containing precursor and a nitrogen-containing precursor
US5306666A (en) Process for forming a thin metal film by chemical vapor deposition
KR100978993B1 (ko) 순차 증착 기술을 이용한 내화 금속 층의 증착 방법
US6827978B2 (en) Deposition of tungsten films
KR102185346B1 (ko) 상이한 크기의 피처들 내에서의 무보이드 텅스텐 충진
US10199230B2 (en) Methods for selective deposition of metal silicides via atomic layer deposition cycles
US10600685B2 (en) Methods to fill high aspect ratio features on semiconductor substrates with MOCVD cobalt film
KR101217980B1 (ko) 순차 흐름 성막법을 이용한 텅스텐 성막 방법
US10879081B2 (en) Methods of reducing or eliminating defects in tungsten film
US10854511B2 (en) Methods of lowering wordline resistance
CN114551219A (zh) 用于填充间隙的方法以及相关的系统和装置
TWI515803B (zh) 矽化鉭內的摻雜鋁
US20200144056A1 (en) Method of forming a cobalt layer on a substrate
US20220181148A1 (en) Silicon precursors for silicon nitride deposition
US20160300731A1 (en) Methods of etchback profile tuning

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
C53 Correction of patent of invention or patent application
CB02 Change of applicant information

Address after: California, USA

Applicant after: APPLIED MATERIALS, Inc.

Address before: California, USA

Applicant before: APPLIED MATERIALS, Inc.

SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20140611

Termination date: 20211215

CF01 Termination of patent right due to non-payment of annual fee