CN102290351A - 半导体器件制造方法 - Google Patents

半导体器件制造方法 Download PDF

Info

Publication number
CN102290351A
CN102290351A CN2011101301515A CN201110130151A CN102290351A CN 102290351 A CN102290351 A CN 102290351A CN 2011101301515 A CN2011101301515 A CN 2011101301515A CN 201110130151 A CN201110130151 A CN 201110130151A CN 102290351 A CN102290351 A CN 102290351A
Authority
CN
China
Prior art keywords
interlayer dielectric
plasma treatment
film
temperature
device manufacturing
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2011101301515A
Other languages
English (en)
Inventor
大仓嘉之
森俊树
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Fujitsu Semiconductor Ltd
Original Assignee
Fujitsu Semiconductor Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Fujitsu Semiconductor Ltd filed Critical Fujitsu Semiconductor Ltd
Publication of CN102290351A publication Critical patent/CN102290351A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02126Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material containing Si, O, and at least one of H, N, C, F, or other non-metal elements, e.g. SiOC, SiOC:H or SiONC
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour
    • H01L21/0234Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02345Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light
    • H01L21/02348Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to radiation, e.g. visible light treatment by exposure to UV light
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76808Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving intermediate temporary filling with material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76825Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by exposing the layer to particle radiation, e.g. ion implantation, irradiation with UV light or electrons etc.
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas

Abstract

本发明公开了一种半导体器件制造方法,包括:通过化学气相沉积,在半导体衬底上形成包含硅、氧及碳的绝缘膜;在形成所述绝缘膜后,对在350℃或低于350℃的温度下正在进行加热的所述绝缘膜进行UV固化;以及在所述UV固化后,对所述绝缘膜进行氦等离子体处理。本发明提供的方法,可以使得层间绝缘膜具有高硬度,而不会引起膜应力的大幅提高。

Description

半导体器件制造方法
技术领域
本发明所讨论的实施例涉及一种半导体器件制造方法。
背景技术
近来,半导体器件的信号频率变得越来越高,这使得使用低介电常数(low-k)材料作为层间绝缘膜的材料变得尤为重要。
例如,用SiOC膜作为具有低介电常数的绝缘膜。在SiOC膜中形成许多孔隙(void),这些孔隙实现了相对低的相对介电常数。
例如,通过CVD(化学气相沉积)形成SiOC膜。
简单地通过CVD形成的SiOC膜没有足够的强度,而且也没有足够低的相对介电常数。为了提高强度和减小相对介电常数,对SiOC层间绝缘膜进行UV固化。
相关参考如下:
日本特许专利公开号2005-175085。
发明内容
为了克服现有技术中存在的问题,根据本实施例的一个方案,本发明提供了一种半导体器件制造方法,包括:通过化学气相沉积,在半导体衬底上形成包含硅、氧及碳的绝缘膜;在形成所述绝缘膜后,对在350℃或低于350℃的温度下正在进行加热的所述绝缘膜进行UV固化;以及在所述UV固化后,对所述绝缘膜进行氦等离子体处理。
本发明提供的半导体器件制造方法,可以使得层间绝缘膜具有高硬度,而不会引起膜应力的大幅提高。
实施例的目的和优点将通过在权利要求中具体给出的元件和组合来实现和得到。
应当理解,前面的大致描述和下面的具体描述均是示例性和说明性的,而不是用来限定权利要求所要求保护的实施方案。
附图说明
图1A到图11是根据一实施例的半导体器件制造方法步骤中的半导体器件剖视图,用以说明该方法;
图12A是示出在400℃的衬底温度下进行UV固化时相对介电常数的图;
图12B是示出在400℃的衬底温度下进行UV固化时杨氏模量的图;
图12C是示出在400℃的衬底温度下进行UV固化时膜应力的图;
图13A是示出相对介电常数的比较结果的图;
图13B是示出杨氏模量的比较结果的图;
图13C是示出膜应力的比较结果的图;
图14A是示出UV固化中相对介电常数与衬底温度之间关系的图;
图14B是示出UV固化中杨氏模量与衬底温度之间关系的图;
图14C是示出UV固化中膜应力与衬底温度之间关系的图。
具体实施方式
当简单地进行UV固化时,层间绝缘膜的膜应力增大很多,膜很容易脱落。不能总是制造出足够高可靠性的半导体器件。
例如,对SiOC层间绝缘膜进行UV固化,并在400℃下加热该层间绝缘膜,从而可以充分地提高该层间绝缘膜的强度,而且也可以充分地减小该层间绝缘膜的相对介电常数。也就是说,在400℃下的UV固化充分地加强了在该层间绝缘膜中的结合(bond),而且使该层间绝缘膜可以具有足够的强度。在400℃下的UV固化从层间绝缘膜向层间绝缘膜的外部释放出诸如硅羟基(Si-OH)(silanol groups)等多余的物质,层间绝缘膜可以具有足够低的相对介电常数。
然而,当进行UV固化并在400℃下加热层间绝缘膜时,该层间绝缘膜的膜应力变得很大。产生如此大的应力是由于层间绝缘膜中的结合在400℃下被加强后,层间绝缘膜被冷却,并且层间绝缘膜和其他构成元件的热膨胀系数不同。
当UV固化的加热温度降低时,层间绝缘膜的膜应力相应地降低。然而,UV固化的加热温度降低,诸如硅羟基等多余的物质不能容易地从层间绝缘膜中移除,相对介电常数就不能充分地降低。
本申请的发明人进行了认真的研究,得到了如后面所描述的观点:在UV固化后进行He(氦)等离子体处理,这样,即使当UV固化的加热温度设置得相对低时,层间绝缘膜的相对介电常数也可以充分降低。
参考附图,对本发明的优选实施例进行说明。
【a】一实施例
参考图1A到图14描述根据一实施例的半导体器件。图1A到图11是根据本实施例的半导体器件制造方法步骤中的半导体器件剖视图,用以说明该方法。
首先,在半导体衬底10上形成器件隔离区12,例如,通过STI(浅沟槽隔离)(参见图1A)。半导体器件10例如是N型或P型硅衬底。例如,用二氧化硅膜作为隔离区12的材料。该半导体衬底10可以是SOI(绝缘体上的半导体)衬底。可以通过LOCOS(区域性硅片氧化)形成器件隔离区12。这样,通过器件隔离区12界定出了器件区14。
接着,在整个表面上形成光致抗蚀剂膜(未示出),例如,通过旋转涂覆方法。
接着,通过光刻,形成用于露出NMOS晶体管待形成区2的开口(未示出)。
接着,例如,通过离子注入,以光致抗蚀剂膜作为掩模,将P型掺杂杂质注入半导体衬底10中,从而形成P型阱16。该P型掺杂杂质例如是B(硼)。
接着,移除光致抗蚀剂膜,例如,通过灰化。
在未示出的PMOS晶体管待形成区中形成N型阱(未示出),在该N型阱中,在后续的步骤中形成PMOS晶体管(未示出)。在本实施例中,将主要描述NMOS晶体管待形成区2,关于PMOS晶体管待形成区的描述将省略。
接着,在半导体衬底10的表面上形成膜厚例如是1.5nm的二氧化硅膜的栅绝缘膜18,例如,通过干热氧化。
接下来,在整个表面上形成膜厚例如是100nm的多晶硅膜,例如,通过CVD(化学气相沉积)。
接着,在整个表面上形成光致抗蚀剂膜(未示出),例如,通过旋转涂覆。
接下来,通过光刻,在光致抗蚀剂膜中形成用于露出NMOS晶体管待形成区2的开口(未示出)。
接下来,例如,通过离子注入,以光致抗蚀剂膜作为掩模,将N型掺杂杂质注入多晶硅膜中。该N型掺杂杂质例如是磷。这样,NMOS晶体管待形成区2中的多晶硅膜变成N型类型。
此后,移除光致抗蚀剂膜,例如,通过灰化。
接下来,执行用于活化掺杂杂质的热处理,例如,通过RTA(快速热退火)。
接着,通过光刻,使多晶硅膜图案化,从而形成多晶硅栅极20(参见图1B)。栅长度例如是约32nm。
接下来,在整个表面上形成光致抗蚀剂膜(未示出),例如,通过旋转涂覆。
接着,通过光刻,在光致抗蚀剂膜中形成用于露出NMOS晶体管待形成区2的开口。
接下来,例如,通过离子注入,以光致抗蚀剂膜和栅极20作为掩模,将N型掺杂杂质注入,从而在半导体衬底10中栅极20的两侧形成N型扩展区22(参见图1C)。该N型掺杂杂质例如是磷或砷。加速能量例如是约7keV。剂量例如是约7.0×1014cm-2
此后,移除光致抗蚀剂膜,例如,通过灰化。
接下来,在整个表面上形成膜厚例如是8nm的二氧化硅膜,例如,通过CVD。
接着,各向异性地(anisotropically)蚀刻二氧化硅膜以在栅极20的侧壁上形成二氧化硅膜的侧壁绝缘膜24(参见图1D)。
接下来,在整个表面上形成光致抗蚀剂膜(未示出),例如,通过旋转涂覆。
接着,通过光刻,形成用于露出NMOS晶体管待形成区2的开口(未示出)。
接下来,以形成有侧壁绝缘膜24的栅极20和光致抗蚀剂膜作为掩摸,将N型掺杂杂质注入半导体衬底10中。该N型掺杂杂质例如是砷(As)。加速能量例如是约12keV。剂量例如是约2.0×1015cm-2。这样,用于形成扩展源/漏极结构的深区的N型杂质区26就形成了(参见图2A)。
此后,移除光致抗蚀剂膜,例如,通过灰化。
接着,执行用于活化掺杂杂质的热处理,例如,通过RTA(快速热退火)。这样,扩展区22和杂质区26就形成了扩展源/漏极结构的源/漏极扩散层28(参见图2A)。
接下来,在整个表面上形成膜厚例如是约20nm的高熔点(refractory)金属膜,例如,通过溅射。该高熔点金属膜例如是镍膜。
接着,通过热处理,栅极20表面的硅和高熔点金属膜内的镍互相反应的同时,半导体衬底10表面的硅和高熔点金属膜内的镍互相反应。
接下来,蚀刻掉那些未反应的高熔点金属膜。这样,在源/漏极区扩散层28上和栅极20上分别形成硅化镍的硅化物膜30(参见图2B)。在源/漏极扩散层28上的硅化物膜30起到了源/漏极的作用。
这样,形成了包括栅极20和源/漏极扩散层28的晶体管(NMOS晶体管)32。
接下来,在整个表面上形成膜厚例如是600nm的PSG(磷硅玻璃)膜的层间绝缘膜34,例如,通过CVD(参见图2C)。
接着,将层间绝缘膜34的表面平坦化,例如,通过CMP(化学机械研磨)。
接下来,通过光刻,在层间绝缘膜34内形成向下直到源/漏极30的接触孔36。
接着,在整个表面上顺序形成膜厚例如是7nm的Ti膜及膜厚例如是2nm的TiN膜,例如,通过溅射。这样,就形成了Ti膜和TiN膜的基底金属膜(粘附膜,阻挡金属膜)38。
接着,在整个表面上形成例如是钨的导电膜40,例如,通过CVD。该导电膜40的膜厚设置为使得导电膜40充分地填充接触孔36。
接着,例如,通过CMP,抛光钨膜40及基底金属膜38直到暴露出层间绝缘膜34的表面。这样,例如是钨的导体塞40被埋入了接触孔36内(参见图2C)。
接下来,在整个表面上形成膜厚例如是50到200nm的层间绝缘膜42,例如,通过等离子体CVD(参见图3A)。该层间绝缘膜42是由低介电常数(low-k)材料形成的。具体地说,形成包括硅、氧及碳的膜作为层间绝缘膜42。更具体地说,形成SiOC膜作为层间绝缘膜42。下面举例说明层间绝缘膜42的形成。提供到膜形成室内的气体例如是TMSA(Trimethylsilylacetylene,三甲基硅乙炔)气体、O2气及CO2气体。TMSA气体的流量设置在例如约3sccm。O2气的流量设置例如约200sccm。CO2气体的流量设置在例如约5000sccm。膜形成室内的压强设置在例如约10mTorr。衬底温度设置在例如约400℃。这样,就形成了层间绝缘膜42。
接着,将UV(紫外线)应用到正在进行加热的层间绝缘膜42从而进行UV固化(紫外线固化,紫外线处理)(参见图3B)。对于UV固化而言,可以使用UV退火系统或其他系统。例如,通过将半导体衬底10装入UV退火系统的反应室,用UV灯或其他设备,将UV应用到正在进行加热的半导体衬底10,来进行UV固化。UV灯的波长范围设置在例如约200到500nm。UV灯的输出设置在例如约1800W。反应室内的压强设置在例如约50Torr。提供到反应室内的气体设置为例如是He气。He气的流量设置在例如约7500sccm。在应用UV中的加热温度(即衬底温度)设置在350℃或低于350℃。衬底温度优选为230-350℃的范围。这里的衬底温度例如是230℃。UV辐射时间设置在例如约5分钟。在相对低的温度进行UV固化可以提高层间绝缘膜42a的杨氏模量而不会引起膜应力的大幅提高。也就是说,可以固化层间绝缘膜42a而不引起膜应力的大幅提高。通过这种UV固化,层间绝缘膜42a的相对介电常数不能被充分地降低,因为UV固化是在相对高的温度进行的。在UV固化已经完成的阶段,层间绝缘膜42a的相对介电常数是相对高的。
在UV固化已经完成的阶段层间绝缘膜42a的相对介电常数相对高的原因如下。即,在SiOC层间绝缘膜42a中,包含诸如硅羟基等多余的物质。硅羟基离开层间绝缘膜42a的解吸附(desorption)温度将约为400℃或高于400℃。因此,当在350℃或低于350℃的温度下进行UV固化时,硅羟基保留在层间绝缘膜42a中。这样,当在低于350℃的温度下完成UV固化时,层间绝缘膜42a的相对介电常数将会是相对高的。
衬底温度设置在350℃或低于350℃的原因如下。即,当在相对高的温度进行UV固化时,在层间绝缘膜42中产生大的应力。为了固化该层间绝缘膜42而不产生大的膜应力,优选地,在相对低的温度进行UV固化。在350℃或低于350℃的温度下进行UV固化,可以固化层间绝缘膜42同时防止大的膜应力产生。因此,在本实施例中,衬底温度设置在350℃或低于350℃。
另一方面,当在相对低的温度进行UV固化时,层间绝缘膜42不能被充分地固化。因此,优选地,用于UV固化的衬底温度不能太低。在230℃或高于230℃的温度下进行UV固化,可以充分地固化层间绝缘膜42。因此,优选地,UV固化中的衬底温度设置在230℃或高于230℃。
即使当用于UV固化的衬底温度设置在230℃或低于230℃时,层间绝缘膜42在一定程度上也可以被固化。因此,用于UV固化的衬底温度实质上可以不设置在230℃或高于230℃。就获得足够的强度而言,优选地,用于UV固化的衬底温度不能过低。
接下来,将氦(He)等离子体应用到正在进行加热的层间绝缘膜42a。这样,进行He等离子体处理(He等离子体固化)(参见图4A)。例如,对于He等离子体处理,可以使用等离子体处理系统(诸如等离子体CVD系统或其他设备)。例如,可以通过将半导体衬底10装入等离子体处理系统的反应室内,并将He等离子体应用到正在进行加热的半导体衬底10,来进行He等离子体处理。提供到反应室内的He气的流量设置在例如约9000sccm。反应室内的压强设置在例如约8Torr。等离子体的输出设置在例如约200W。He等离子体处理中的加热温度(即衬底温度)设置在100℃-350℃的范围。这里的衬底温度设置在例如是350℃。He等离子体应用时间设置在例如约15秒。
使用He的等离子体处理产生硅羟基等离开层间绝缘膜42a的解吸附效果,其中,He是惰性(inactive)小原子。此外,通过He等离子体处理,即使在约350℃的相对低的温度,硅羟基也可以从层间绝缘膜42a脱离出来。
这样,He等离子体处理可以充分地减小层间绝缘膜42b的相对介电常数。在相对低的温度进行的He等离子体处理,不会大幅提高层间绝缘膜42b的膜应力。也就是说,这样进行He等离子体处理,可以充分地降低层间绝缘膜42b的相对介电常数,而不会引起膜应力的大幅提高。He等离子体处理不会实质上改变层间绝缘膜42b的杨氏模量。
衬底温度设置在100-350℃范围的原因如下。即,当在相对高的温度进行He等离子体处理时,在层间绝缘膜42a中产生大的膜应力。为了固化该层间绝缘膜42a而不产生大的膜应力,优选地,在相对低的温度进行He等离子体处理。在350℃或低于350℃的温度下进行He等离子体处理,可以固化层间绝缘膜同时防止在层间绝缘膜中产生大的膜应力。因此,在本实施例中,衬底温度设置在350℃或低于350℃。另一方面,在相对低的温度进行He等离子体处理,不能充分地降低层间绝缘膜42a的相对介电常数。因此,优选地,在He等离子体处理中的衬底温度不能太低。在100℃或高于100℃的温度下进行He等离子体处理,可以充分地减小层间绝缘膜42a的相对介电常数。这样,在本实施例中,在He等离子体处理中的衬底温度设置在100-350℃的范围。
即使当用于He等离子体处理的衬底温度设置在100℃或低于100℃时,层间绝缘膜42的相对介电常数在一定程度上也可以被降低。因此,在He等离子体处理中的衬底温度实质上可以不是100℃或高于100℃。就获得足够低的相对介电常数而言,优选地,在He等离子体处理中的衬底温度不能过低。
如上所述,根据本实施例,在相对低的温度下对层间绝缘膜42进行UV固化,从而可以获得高硬度的层间绝缘膜42a,而不会引起膜应力的大幅提高。因为在UV固化中的温度是相对低的,在当UV固化完成的阶段,层间绝缘膜42的相对介电常数不能变得足够低。因此,在本实施例中,进行He等离子体处理,从而充分地降低层间绝缘膜42a的相对介电常数。即使是相对低的温度的UV固化也可以充分地提高层间绝缘膜的硬度。即使是相对低的温度的He等离子体处理也可以充分地降低层间绝缘膜的相对介电常数。这样,根据本实施例,在没有大幅提高膜应力的情况下可以形成高硬度并具有足够低相对介电常数的层间绝缘膜42b。
这样进行UV固化和He等离子体处理,可以使层间绝缘膜42b具有例如是55Mpa或低于55Mpa的膜应力,例如是2.7或低于2.7的相对介电常数以及例如是8GPa或高于8GPa的杨氏模量。
接着,在整个表面上形成膜厚例如是15到60nm的覆盖膜(cap film)44,例如,通过等离子体CVD(参见图4B)。例如,可以使用碳化硅(SiC)膜、硅碳氮(SiCN)膜、BN膜或其他膜作为覆盖膜44。
接下来,在整个表面上形成光致抗蚀剂膜46,例如,通过旋转涂覆。
接下来,通过光刻,在光致抗蚀剂膜46中形成开口48。该开口48用于形成沟槽50,该沟槽50用于将互连线54埋入层间绝缘膜42和覆盖膜44内。
接着,例如,通过等离子体蚀刻,以光致抗蚀剂膜46作为掩模,各向异性地蚀刻覆盖膜44和层间绝缘膜42。例如,使用含氟气体(fluorine contentgas)作为蚀刻气体。这样,在层间绝缘膜42和覆盖膜44内形成用于将互连线54埋入的沟槽50。在该沟槽50的底部,暴露出了导体塞40的顶部。
此后,移除光致抗蚀剂膜46,例如,通过灰化(参见图5A)。例如,使用氧气作为用于灰化的反应气体。
接下来,在整个表面上形成膜厚例如是15nm的阻挡金属膜52,例如,通过溅射。例如,使用钽膜作为该阻挡金属膜52。阻挡金属膜52用于阻止互连线54(参见图6A)内的Cu(铜)扩散到层间绝缘膜42中等。
接下来,在整个表面上形成膜厚例如是30nm的籽晶层(未示出),例如,通过溅射。例如,使用Cu作为该籽晶层的材料。
接下来,形成Cu层54,例如,通过电镀(参见图5B)。该Cu层54的厚度设置为使得Cu层54充分地填充沟槽50。
接下来,例如,通过CMP,抛光Cu层54和阻挡金属膜52,直到暴露出覆盖膜44的表面。这样,Cu互连线54被埋入沟槽50内(参见图6A)。
例如,这里使用Cu作为互连线54的材料,但不仅限于Cu。例如,也可以使用Cu合金或其他材料作为互连线54的材料。
接下来,在整个表面上形成膜厚例如是15到60nm的蚀刻停止膜56,例如,通过等离子体增强CVD。例如,可以使用SiC膜、SiCN膜、BN膜或其他膜作为蚀刻停止膜56。
接着,在整个表面上形成膜厚例如是150到250nm的层间绝缘膜58,例如,通过CVD(参见图6B)。形成具有低介电常数材料的层间绝缘膜作为层间绝缘膜58。具体地说,例如,形成包含硅、氧及碳的膜作为层间绝缘膜58。更具体地说,形成SiOC膜作为层间绝缘膜58。可以用与如上参考图3A描述的用于形成层间绝缘膜42的处理同样的方法,形成层间绝缘膜58。也就是说,提供到膜形成室内的气体例如是TMSA气体、O2气及CO2气体。TMSA气体的流量设置在例如约3sccm。O2气的流量设置在例如约200sccm。CO2气体的流量设置在例如约5000sccm。膜形成室内的压强设置在例如是约10mTorr。衬底温度设置在例如是400℃。
接着,将UV应用到正在进行加热的层间绝缘膜58,从而进行UV固化(参见图7A)。可以用与如上参考图3B描述的在层间绝缘膜42上进行UV固化同样的方法,对层间绝缘膜58进行UV固化。然而,由于层间绝缘膜58比层间绝缘膜42厚,可以将UV固化时间设置得更长,将UV灯的功率设置得更高,以便可以对层间绝缘膜58充分地进行UV固化。可以像层间绝缘膜42那样,使用例如UV退火系统进行用于层间绝缘膜58的UV固化。UV灯的主波长范围设置在例如约200到500nm。UV灯的输出设置在例如约1800W。反应室内的压强设置在例如约50Torr。提供到反应室内的气体设置为例如He气体。He气的流量设置在例如约7500sccm。在UV应用中的加热温度(即衬底温度)设置在350℃或低于350℃。优选地,衬底温度设置在230-350℃的范围。这里的衬底温度设置在例如230℃。UV应用时间设置在例如约180秒。相对低的温度的UV固化可以提高层间绝缘膜58a的杨氏模量而不会引起膜应力的大幅提高。也就是说,在不引起膜应力的大幅提高的情况下也可以固化层间绝缘膜58a。在UV固化已经完成的阶段,层间绝缘膜58a的相对介电常数是相对高的。
接着,将He等离子体应用到正在进行加热的层间绝缘膜58a,从而进行He等离子体处理(He等离子体固化)(参见图7B)。可以用与如上所述在层间绝缘膜42a上进行的He等离子体处理同样的方法,对层间绝缘膜58进行He等离子体处理。然而,由于层间绝缘膜58a比层间绝缘膜42a厚,可以将处理时间设置得更长,将等离子体的输出设置得更高,以便可以对层间绝缘膜58a充分地进行He等离子体处理。可以使用像用于层间绝缘膜42a的He等离子体处理一样的等离子体处理系统(例如等离子体CVD系统或其他系统),对层间绝缘膜58a进行He等离子体处理。提供到反应室内的He气的流量设置在例如约9000sccm。反应室内的压强设置在例如约8Torr。等离子体的输出设置在例如约200W。He等离子体处理中的加热温度(即衬底温度)设置在100-350℃的范围。这里的衬底温度设置在例如350℃。He等离子体应用时间设置在例如约30秒。He等离子体处理可以充分地降低层间绝缘膜58b的相对介电常数。在相对低的温度进行的He等离子体处理不会引起层间绝缘膜58b的膜应力的大幅提高。也就是说,这样进行的He等离子体处理,可以充分地降低层间绝缘膜58b的相对介电常数,而不会引起膜应力的大幅提高。He等离子体处理不会实质上改变层间绝缘膜58b的杨氏模量。
如上所述,根据本实施例,以相对低的温度对层间绝缘膜58进行UV固化,可使层间绝缘膜58a具有高硬度,而不会引起膜应力的大幅提高。因为用于UV固化的温度相对低,层间绝缘膜58a的相对介电常数在UV固化已经完成的阶段还没有变得足够低。接下来,对层间绝缘膜58a进行He等离子体处理,从而可以充分地降低层间绝缘膜58b的相对介电常数。UV固化和He等离子体处理都是在相对低的温度进行的,从而使层间绝缘膜58b可以具有高硬度和足够低的相对介电常数,而不会引起膜应力的大幅提高。
这样进行的UV固化和He等离子体处理,可以形成膜应力例如是55MPa或低于55MPa、相对介电常数例如是2.7或低于2.7、以及杨氏模量例如是8GPa或高于8GPa的层间绝缘膜58b。
接着,在整个表面上形成膜厚例如是15到60nm的覆盖膜60,例如,通过等离子体增强CVD(参见图8A)。例如,可以使用SiC膜、SiCN膜、BN膜或其他膜作为覆盖膜60。
接下来,在整个表面上形成光致抗蚀剂膜62,例如,通过旋转涂覆。
接下来,通过光刻,在光致抗蚀剂膜62中形成开口64。该开口64用于在层间绝缘膜58中形成接触孔66等(参见图8B)。
接着,例如,通过等离子体蚀刻,以光致抗蚀剂膜62作为掩模,蚀刻覆盖膜60和层间绝缘膜58,从而形成接触孔66。例如,使用包含CF4的气体作为蚀刻气体。
此后,移除光致抗蚀剂膜62,例如,通过氧等离子体。
这样,在覆盖膜60和层间绝缘膜58中形成了接触孔66(参见图8B)。
接下来,例如,通过旋转涂覆,将热固性树脂应用在整个表面上,从而形成树脂膜68(参见图9A)。这样,在接触孔66中和覆盖膜60上形成了树脂膜68。
接下来,进行热处理以固化树脂膜68。热处理温度设置在例如约250℃。热处理时间设置在例如约60秒。
接下来,在整个表面上形成光致抗蚀剂膜70,例如,通过旋转涂覆。
接下来,通过光刻,在光致抗蚀剂膜70中形成开口72。该开口72用于在层间绝缘膜58中形成沟槽74等。
接下来,例如,通过等离子体蚀刻,以光致抗蚀剂膜70作为掩模,蚀刻覆盖膜60和层间绝缘膜58,从而在层间绝缘膜58和覆盖膜60中形成沟槽74。沟槽74的深度设置在例如约100nm。例如,使用氟类气体(fluorine-based gas)作为蚀刻气体。在这个阶段,由树脂膜68保护的蚀刻停止膜56不被蚀刻。
接下来,移除光致抗蚀剂膜70和树脂膜68,例如,通过氧等离子体(参见图9B)。
接下来,通过等离子体蚀刻,蚀刻在接触孔66中暴露出的光致抗蚀剂膜56(参见图10A)。例如,使用包含CH2F2气体和O2气的混合气体作为蚀刻气体。这样,接触孔66到达互连线54的上表面。通过这次蚀刻,覆盖膜60的顶部被蚀刻,并且沟槽74变得更深。
接着,在整个表面上形成膜厚例如是15nm的阻挡金属膜76,例如,通过溅射(参见图10B)。例如,使用钽膜作为阻挡金属膜76。阻挡金属膜76用于阻止Cu层78内的Cu扩散到层间绝缘膜58中等。
接下来,在整个表面上形成膜厚例如是30nm的籽晶层(未示出),例如,通过溅射。例如,使用Cu作为籽晶层的材料。
接下来,形成Cu层78,例如,通过电镀。该Cu层78的厚度设置为使得Cu层78充分地填充接触孔66和沟槽74。
接着,例如,通过CMP,抛光Cu层78和阻挡金属膜76,直到暴露出覆盖膜60的表面。这样,Cu膜78被填充在沟槽74和接触孔66中。Cu层78埋入接触孔66中的部分变成导体塞78a。Cu层78埋入沟槽74中的部分变成互连线78b。这样,通过双镶嵌(dual damascening),导体塞78a和互连线78b形成为整体(参见图11)。
这里的互连线78b和导体塞78a的材料是Cu,但实质上不仅限于Cu。例如,可以使用Cu合金或其他材料作为互连线78b和导体塞78a的材料。
这样,就制造出了本实施例的半导体器件。
【评测结果】
接下来,参考图12A到图14C,介绍根据本实施例的半导体器件制造方法的评测结果。
图12A到图12C示出比较例1的评测结果,也就是说,给出了在400℃下对SiOC层间绝缘膜进行UV固化时的相对介电常数、杨氏模量及膜应力的图。图12A是层间绝缘膜的UV应用时间和相对介电常数之间的关系图。图12B是层间绝缘膜的UV应用时间和杨氏模量之间的关系图。图12C是层间绝缘膜的UV应用时间和膜应力之间的关系图。
用于形成SiOC层间绝缘膜的膜形成条件如下。即,使用诺发系统有限公司(Novellus System,Inc.)的VECTOR(R)作为用于形成层间绝缘膜的CVD系统。使用硅衬底作为衬底。使用TMSA气体、O2气及CO2气体作为提供到反应室内的气体。TMSA气体的流量设置在2sccm。O2气的流量设置在300sccm。CO2气体的流量设置在4000sccm。反应室内的压强设置在5.5Torr。应用的高频功率设置在1900W。应用的低频功率设置在300W。
用于SiOC层间绝缘膜的UV固化的条件如下。即,用在UV固化中的系统是诺发系统有限公司的SOLA(R)。使用主波长区域是200-500nm的UV灯作为UV灯。UV灯的输出设置在1800W。反应室内的压强设置在50Torr。使用He气体作为提供入反应室内的气体。He气的流量设置在7500sccm。衬底温度设置在如上所述的400℃。
如图12A所示,随着UV固化时间变长,层间绝缘膜的相对介电常数提高。
如图12B所示,随着UV固化时间变长,层间绝缘膜的杨氏模量提高。
如图12A和图12B所示,当进行约5分钟的UV固化时,将会获得相对小的相对介电常数,并将会获得相对高的杨氏模量。
然而,如图12C所示,约5分钟的UV固化使得膜应力相对大。
基于此,在比较例1中可以看出,即,当在400℃的衬底温度下进行UV固化时,膜应力变得相对大。
图13A到图13C是相对介电常数、杨氏模量及膜应力的比较结果的图。图13A是层间绝缘膜的收缩系数及其相对介电常数之间的关系图。图13B是层间绝缘膜的收缩系数及其杨氏模量之间的关系图。图13C是层间绝缘膜的收缩系数及其膜应力之间的关系图。带◆标记的图表明比较例2的结果,即,在400℃的衬底温度下进行UV固化的情况。带■标记的图表明比较例3的结果,即在230℃的衬底温度下进行UV固化的情况。带△标记的图表明实例1的结果,即在230℃的衬底温度下进行UV固化然后接着进行He等离子体处理的情况。
在所有情况中,可以用图12中所示的与比较例1中相同的方法形成SiOC层间绝缘膜。
在所有情况中,可以用图12所示的与比较例1中相同的方法对SiOC层间绝缘膜进行UV固化。然而,在比较例2中,UV固化中的衬底温度设置在400℃。在比较例3和实例1中,用于UV固化的衬底温度设置在250℃。
在实例1中,进行的He等离子体处理如下。即,He气的流量设置在9000sccm。反应室内的压强设置在8Torr。等离子体的输出设置在200W。衬底温度设置在350℃。He等离子体应用时间设置在15秒。
随着UV固化中衬底温度改变,发生在层间绝缘膜中的反应速率大大改变,因此不容易比较图表中的层间绝缘膜的特性与呈现在水平轴上的UV应用时间的特性。接着,在图13中,层间绝缘膜的收缩系数呈现在水平轴上。
如图13B所示,在实例1中,可以像比较例2那样获得相对高的杨氏模量。基于此,即使当UV固化中的衬底温度是相对低的温度230℃,基本上和比较例2(UV固化中的衬底温度是400℃)中一样,也可以充分地固化层间绝缘膜。
如图13C所示,在实例1中,膜应力与比较例2中的膜应力相比是足够低的。基于此,可以通过在UV固化中设置相对低的衬底温度来减小层间绝缘膜的膜应力。
如图13A所示,在实例1中,相对介电常数与比较例3中的相对介电常数相比是足够低的。基于此,可以看出通过进行He等离子体处理,即使当UV固化中的衬底温度设置得相对低时,也可以降低层间绝缘膜的相对介电常数。
基于这些结果,可以看出在相对低的衬底温度下进行UV固化,然后接着进行He等离子体处理,从而在阻止膜应力增加的同时,可以固化层间绝缘膜,而且能够充分地降低层间绝缘膜的相对介电常数。
图14是UV固化中衬底温度与相对介电常数、杨氏模量及膜应力之间的关系图。图14A是UV固化中衬底温度与层间绝缘膜的相对介电常数之间的关系图。图14B是UV固化中衬底温度与层间绝缘膜的杨氏模量之间的关系图。图14C是UV固化中衬底温度与层间绝缘膜的膜应力之间的关系图。
在所有情况中,都是在层间绝缘膜上已经进行UV固化后进行He等离子体处理的。用于UV固化的条件及用于He等离子体处理的条件与如上所述的实例1中的条件一样。不过,适当地设置了衬底温度。
如图14A所示,UV固化中的衬底温度设置在230℃-350℃的范围,如同UV固化中衬底温度是400℃的情况,也可以获得相对低的相对介电常数。
如图14B所示,UV固化中的衬底温度设置在230℃-350℃的范围,如同UV固化中衬底温度是400℃的情况,也可以获得相对高的杨氏模量。
如图14C所示,UV固化中的衬底温度设置在230℃-350℃的范围,与UV固化中衬底温度是400℃的情况相比较,膜应力被抑制得足够小。
基于上述,可以看出通过将UV固化中的衬底温度设置在230℃-350℃,确实可以阻止层间绝缘膜的膜应力的提高。
如上所述,在本实施例中,将衬底温度设置在相对低的温度350℃或低于350℃,对SiOC层间绝缘膜进行UV固化。根据本实施例,将衬底温度设置得相对低来进行UV固化,在阻止层间绝缘膜的膜应力提高的同时,可以提高层间绝缘膜的硬度。由于UV固化中的衬底温度相对低,层间绝缘膜的相对介电常数在当UV固化已经完成的阶段还没有被充分地降低。为了充分降低层间绝缘膜的相对介电常数,在本实施例中,对层间绝缘膜进行He等离子体处理。在本实施例中,通过进行He等离子体处理,可以充分降低层间绝缘膜的相对介电常数。此外,即使在相对低的温度,He等离子体处理也可以充分降低层间绝缘膜的相对介电常数,而且在He等离子体处理中不会大幅提高膜应力。这样,根据本实施例,在阻止层间绝缘膜的膜应力大幅提高的同时,可以固化层间绝缘膜,并且可以充分降低层间绝缘膜的相对介电常数。因此,根据本实施例,可以提供具有高可靠性和优良电气特性的半导体器件。
【变型的实施例】
本发明不仅限于上述实施例,而是可以包括其他各种变型例。
例如,在上述实施例中,例如,形成SiOC膜作为包含硅和氧的层间绝缘膜,但是SiOC膜并不是必需的。本半导体器制造方法可以被更广泛地应用到包含硅、碳及氧的层间绝缘膜。例如,该层间绝缘膜可以是SiOCH膜。
此处叙述的全部实例和条件语言都是作为教导目的,用于帮助读者理解本发明以及发明人为了促进技术而贡献的概念并应解释为不是限制于这些具体叙述的实例和条件,说明书中的这些实例的安排也不是为了显示本发明的优劣。尽管已经详细地描述了本发明的实施例,但是应当理解,在不脱离本发明的精神和范围的情况下,可对本发明进行各种变化、替代和更改。

Claims (12)

1.一种半导体器件制造方法,包括:
通过化学气相沉积,在半导体衬底上形成包含硅、氧及碳的绝缘膜;
在形成所述绝缘膜后,对在350℃或低于350℃的温度下正在进行加热的所述绝缘膜进行UV固化;以及
在所述UV固化后,对所述绝缘膜进行氦等离子体处理。
2.如权利要求1所述的半导体器件制造方法,其中:
所述绝缘膜为SiOC膜。
3.如权利要求1所述的半导体器件制造方法,其中:
在所述UV固化中,对在230℃-350℃范围内的温度下正在进行加热的所述绝缘膜进行所述UV固化。
4.如权利要求2所述的半导体器件制造方法,其中:
在所述UV固化中,对在230℃-350℃范围内的温度下正在进行加热的所述绝缘膜进行所述UV固化。
5.如权利要求1所述的半导体器件制造方法,其中:
在所述氦等离子体处理中,对在350℃或低于350℃的温度下正在进行加热的所述绝缘膜进行所述氦等离子体处理。
6.如权利要求2所述的半导体器件制造方法,其中:
在所述氦等离子体处理中,对在350℃或低于350℃的温度下正在进行加热的所述绝缘膜进行所述氦等离子体处理。
7.如权利要求3所述的半导体器件制造方法,其中:
在所述氦等离子体处理中,对在350℃或低于350℃的温度下正在进行加热的所述绝缘膜进行所述氦等离子体处理。
8.如权利要求4所述的半导体器件制造方法,其中:
在所述氦等离子体处理中,对在350℃或低于350℃的温度下正在进行加热的所述绝缘膜进行所述氦等离子体处理。
9.如权利要求5所述的半导体器件制造方法,其中:
在所述氦等离子体处理中,对在100℃-350℃范围内的温度下正在进行加热的所述绝缘膜进行所述氦等离子体处理。
10.如权利要求6所述的半导体器件制造方法,其中:
在所述氦等离子体处理中,对在100℃-350℃范围内的温度下正在进行加热的所述绝缘膜进行所述氦等离子体处理。
11.如权利要求7所述的半导体器件制造方法,其中:
在所述氦等离子体处理中,对在100℃-350℃范围内的温度下正在进行加热的所述绝缘膜进行所述氦等离子体处理。
12.如权利要求8所述的半导体器件制造方法,其中:
在所述氦等离子体处理中,对在100℃-350℃范围内的温度下正在进行加热的所述绝缘膜进行所述氦等离子体处理。
CN2011101301515A 2010-06-18 2011-05-17 半导体器件制造方法 Pending CN102290351A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2010-139018 2010-06-18
JP2010139018A JP2012004401A (ja) 2010-06-18 2010-06-18 半導体装置の製造方法

Publications (1)

Publication Number Publication Date
CN102290351A true CN102290351A (zh) 2011-12-21

Family

ID=45329058

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2011101301515A Pending CN102290351A (zh) 2010-06-18 2011-05-17 半导体器件制造方法

Country Status (3)

Country Link
US (1) US8716148B2 (zh)
JP (1) JP2012004401A (zh)
CN (1) CN102290351A (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103839871A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN109545784A (zh) * 2017-09-22 2019-03-29 联华电子股份有限公司 半导体元件及其制作方法

Families Citing this family (293)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
US9112090B2 (en) 2012-01-31 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. UV radiation recovery of image sensor
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
KR20140032716A (ko) 2012-09-07 2014-03-17 삼성전자주식회사 반도체 장치 및 그 제조 방법
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
CN105448805B (zh) * 2014-08-26 2020-04-07 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9431455B2 (en) * 2014-11-09 2016-08-30 Tower Semiconductor, Ltd. Back-end processing using low-moisture content oxide cap layer
US9379194B2 (en) 2014-11-09 2016-06-28 Tower Semiconductor Ltd. Floating gate NVM with low-moisture-content oxide cap layer
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
US11901219B2 (en) * 2021-08-19 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor device structures
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20060205232A1 (en) * 2005-03-10 2006-09-14 Lih-Ping Li Film treatment method preventing blocked etch of low-K dielectrics

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP1077479A1 (en) * 1999-08-17 2001-02-21 Applied Materials, Inc. Post-deposition treatment to enchance properties of Si-O-C low K film
US6475930B1 (en) 2000-01-31 2002-11-05 Motorola, Inc. UV cure process and tool for low k film formation
US6756085B2 (en) * 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
JP4778660B2 (ja) * 2001-11-27 2011-09-21 ルネサスエレクトロニクス株式会社 半導体装置の製造方法
US20030155657A1 (en) * 2002-02-14 2003-08-21 Nec Electronics Corporation Manufacturing method of semiconductor device
JP2005175085A (ja) 2003-12-09 2005-06-30 Tokyo Electron Ltd 半導体装置の低誘電率絶縁膜形成方法、半導体装置および低誘電率絶縁膜形成装置
TW200518831A (en) 2003-09-17 2005-06-16 Tokyo Electron Ltd Production of insulating film with low dielectric constant
JP4401912B2 (ja) * 2003-10-17 2010-01-20 学校法人早稲田大学 半導体多層配線板の形成方法
US7288205B2 (en) * 2004-07-09 2007-10-30 Applied Materials, Inc. Hermetic low dielectric constant layer for barrier applications
US7354852B2 (en) 2004-12-09 2008-04-08 Asm Japan K.K. Method of forming interconnection in semiconductor device
US20060183055A1 (en) 2005-02-15 2006-08-17 O'neill Mark L Method for defining a feature on a substrate
JP2006319116A (ja) * 2005-05-12 2006-11-24 Nec Electronics Corp 半導体装置およびその製造方法
JP5355892B2 (ja) 2005-09-16 2013-11-27 ルネサスエレクトロニクス株式会社 配線構造並びに半導体装置及びその製造方法
JP2007220920A (ja) * 2006-02-16 2007-08-30 Consortium For Advanced Semiconductor Materials & Related Technologies 配線膜形成方法
JP2007273494A (ja) 2006-03-30 2007-10-18 Fujitsu Ltd 絶縁膜形成用組成物及び半導体装置の製造方法
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US20070299239A1 (en) * 2006-06-27 2007-12-27 Air Products And Chemicals, Inc. Curing Dielectric Films Under A Reducing Atmosphere
JP2008053402A (ja) 2006-08-24 2008-03-06 Sony Corp 半導体装置の製造方法
JP4364258B2 (ja) * 2007-05-15 2009-11-11 株式会社東芝 半導体装置及び半導体装置の製造方法
JP2009117739A (ja) * 2007-11-09 2009-05-28 Fujitsu Microelectronics Ltd 電子装置及びその製造方法
JP2009152373A (ja) * 2007-12-20 2009-07-09 Axcelis Technologies Inc 低k誘電体膜用の紫外線硬化法
JP2011082308A (ja) * 2009-10-06 2011-04-21 Panasonic Corp 半導体装置の製造方法
KR20110119399A (ko) * 2010-04-27 2011-11-02 삼성전자주식회사 반도체 소자의 제조장치 및 이를 이용한 반도체 소자의 제조방법
JP2012004401A (ja) * 2010-06-18 2012-01-05 Fujitsu Semiconductor Ltd 半導体装置の製造方法
WO2012087493A2 (en) * 2010-12-20 2012-06-28 Applied Materials, Inc. In-situ low-k capping to improve integration damage resistance

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050250346A1 (en) * 2004-05-06 2005-11-10 Applied Materials, Inc. Process and apparatus for post deposition treatment of low k dielectric materials
US20060205232A1 (en) * 2005-03-10 2006-09-14 Lih-Ping Li Film treatment method preventing blocked etch of low-K dielectrics

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103839871A (zh) * 2012-11-21 2014-06-04 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN103839871B (zh) * 2012-11-21 2017-09-08 中芯国际集成电路制造(上海)有限公司 一种半导体器件的制造方法
CN109545784A (zh) * 2017-09-22 2019-03-29 联华电子股份有限公司 半导体元件及其制作方法
US11355619B2 (en) 2017-09-22 2022-06-07 Marlin Semiconductor Limited Semiconductor device and method for fabricating the same
US11901437B2 (en) 2017-09-22 2024-02-13 Marlin Semiconductor Limited Semiconductor device and method for fabricating the same

Also Published As

Publication number Publication date
JP2012004401A (ja) 2012-01-05
US20110312191A1 (en) 2011-12-22
US8716148B2 (en) 2014-05-06

Similar Documents

Publication Publication Date Title
CN102290351A (zh) 半导体器件制造方法
JP3456391B2 (ja) 半導体装置の製造方法
US8445377B2 (en) Mechanically robust metal/low-k interconnects
US6569785B2 (en) Semiconductor integrated circuit device having internal tensile and internal compression stress
US6838300B2 (en) Chemical treatment of low-k dielectric films
JP5380797B2 (ja) 半導体デバイスの製造方法
KR100489456B1 (ko) 반도체장치및그제조방법
US7217648B2 (en) Post-ESL porogen burn-out for copper ELK integration
JP2001223269A (ja) 半導体装置およびその製造方法
US6358830B1 (en) Method for manufacturing semiconductor device having interlayer dielectric film layers with like etch speeds
JP2000188332A (ja) 半導体装置及びその製造方法
US20050095828A1 (en) Process for sealing plasma-damaged, porous low-k materials
JP5217272B2 (ja) 配線の形成方法及び半導体装置の製造方法
JP3480416B2 (ja) 半導体装置
JP5154907B2 (ja) 半導体装置の製造方法
US8440580B2 (en) Method of fabricating silicon nitride gap-filling layer
JP5396837B2 (ja) 半導体装置の製造方法
KR20070030454A (ko) 반도체 소자 제조방법
JP2002170881A (ja) 半導体装置及びその製造方法
JP2000269330A (ja) 半導体装置の製造方法
JP3562357B2 (ja) 半導体装置の製造方法
JP3456392B2 (ja) 半導体装置の製造方法
JP4273268B2 (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C02 Deemed withdrawal of patent application after publication (patent law 2001)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20111221