CN102339716A - 环形低场活性气体和具有绝缘真空容器的等离子体源 - Google Patents

环形低场活性气体和具有绝缘真空容器的等离子体源 Download PDF

Info

Publication number
CN102339716A
CN102339716A CN201110288983XA CN201110288983A CN102339716A CN 102339716 A CN102339716 A CN 102339716A CN 201110288983X A CN201110288983X A CN 201110288983XA CN 201110288983 A CN201110288983 A CN 201110288983A CN 102339716 A CN102339716 A CN 102339716A
Authority
CN
China
Prior art keywords
container
plasma
fin
hot interface
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201110288983XA
Other languages
English (en)
Other versions
CN102339716B (zh
Inventor
W·M·霍尔伯
陈星�
A·B·考韦
M·M·贝森
小R·W·柯林斯
S·C·特鲁利
邵寿潜
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
MKS Instruments Inc
Original Assignee
MKS Instruments Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by MKS Instruments Inc filed Critical MKS Instruments Inc
Publication of CN102339716A publication Critical patent/CN102339716A/zh
Application granted granted Critical
Publication of CN102339716B publication Critical patent/CN102339716B/zh
Anticipated expiration legal-status Critical
Expired - Lifetime legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/32091Radio frequency generated discharge the radio frequency energy being capacitively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • H01J37/32449Gas control, e.g. control of the gas flow
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32522Temperature

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Plasma Technology (AREA)
  • Physical Or Chemical Processes And Apparatus (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Sampling And Sample Adjustment (AREA)

Abstract

本申请涉及环形低场活性气体和具有绝缘真空容器的等离子体源,描述了用于等离子体系统的等离子体点火和冷却装置和方法。装置(300)包括容器(390)以及与该容器相邻的至少一个点火电极(330)。该至少一个点火电极的尺寸(D)的总长度大于容器通道长度的10%。该装置可包括绝缘环形容器、具有由装有弹簧的装置压向容器的多个部分的散热片、以及在容器和散热片之间的热界面。方法可包括提供具有流速和压力的气体,并将气体流速的一部分引入容器通道。该气体在通道中点燃,同时流速的剩余部分被引离该通道。

Description

环形低场活性气体和具有绝缘真空容器的等离子体源
本申请是PCT国际申请号为PCT/US2004/011183、PCT国际申请日为2004年4月12日、中国国家申请号为200480010066.3的、题为“环形低场活性气体和具有绝缘真空容器的等离子体源”的发明专利申请的分案申请。
发明领域
本发明一般涉及等离子体产生和处理装置,尤其涉及用于等离子体点火和等离子体容器冷却的方法和装置。
背景技术
等离子体放电可用来分离气体以生成包含离子、自由基、原子和分子的活性化气体。活性气体可用于许多工业和科学应用,包括处理诸如半导体晶片、粉末和其它气体的材料。等离子体的参数和等离子体照射所处理材料的条件取决于应用而有很大的变化。
例如,某些应用需要使用低动能(即几个电子伏特)的离子,因为所处理材料对损害敏感,或者因为需要对一种材料作相对于另一种材料的选择性蚀刻。其它应用,诸如各向异性蚀刻或平面绝缘沉积,需要使用高动能的离子。
某些应用需要所处理材料直接高密度的等离子体。这样的应用包括激活离子的化学反应以及将材料蚀刻并沉识成高长宽比的结构。其它应用需要将所处理材料与等离子体屏蔽开,因为该材料对离子造成的损害敏感,或因为该处理具有较高的选择性要求。
等离子体可用各种方法生成,包括直流(DC)放电、射频(RF)放电、以及微波放电。DC放电通过在气体中两个电极之间施加电势来获得。RF放电通过电容性地或电感性地将电源的能量耦合到等离子体来获得。
平行板可用来电容性地将能量耦合到等离子体。感应线圈可用来将电流感应到等离子体。微波放电可通过将微波能量源耦合到包含气体的放电室来生成。
等离子体放电可以这样的方式生成,从而构成等离子体的充电核素以及可由等离子体激活的中性核素都与所处理的材料紧密接触。或者,等离子体放电可远离所处理材料生成,从而相对较少的充电核素会与所处理材料接触,而中性核素则仍然能接触它。
这样的等离子体放电通常称为远程或下游等离子体放电。取决于其结构、相对于所处理材料的位置、以及操作条件(气体核素、压力、流速、以及注入等离子体的功率),等离子体源可具有这两种基本类型之一或两者都有的特征。
现有的远程等离子体源一般利用RF或微波功率来生成等离子体。尽管现有的源成功支持了许多应用,这些源的实际使用仍然具有若干技术限制。
基于微波的远程等离子体源一般比RF源昂贵,因为微波功率通常产生、传递、并与负载相匹配更化钱。微波源和功率传递系统通常也比RF源庞大,并需要周期性地替换生成微波功率的管。
具有一定程度电容性以及电感性耦合的RF远程等离子体源可比相应的微波源便宜一些并小一些。然而,有助于等离子体点火处理的电容性耦合也会因等离子体所产生的具有能量的离子对等离子体容器的受照射的壁的轰击而导致这些受照射的壁的退化。利用电感性RF耦合而最小化相关联电容性耦合的RF远程等离子体源,可显示较小的离子所导致的等离子体容器表面的退化。然而,电容性耦合的减少或消除可使得等离子体点火更难获得,特别是在大范围处理条件下。
现有的远程等离子体源的第二个困难是去除在等离子体内产生的并传到等离子体容器壁上的热量。特别是在等离子体容器具有复杂的形状时,且它由绝缘材料组成时的情形,用与绝缘容器接触的大量流体直接冷却是不需要或不实用的。这具有限制能可靠地耦合到等离子体的功率的效果。
例如,现有的环形等离子体系统可用使RF能量与等离子体耦合的方式来高度地感应。例如,等离子体可通过电容耦合的RF点火放电或通过紫外线辐射来点燃。等离子体系统可根据特定的气体核素、压力和流速要求来要求各个等离子体点火步骤。特定的要求可因操作条件要求而不同。这些约束会在结合等离子体系统使用的真空和气体处理系统的元件中增加复杂性,并增加用于处理所需的全部时间。
发明内容
本发明表征为能部分地提供容器内等离子体的可靠点火,并提供容器的有效和可靠的冷却的装置和方法。结果,本发明表征为:比现有等离子体系统更大的区域和/或更长的点火电极,在点火期间将输入空气旁通到等离子体容器中,在等离子体容器的空气输入端附近点火,以及布置在散热片和容器之间的散热结构。本发明的特征使先前等离子体系统中不可用的有了应用范围。
本发明各特征的某些实现包括绝缘容器。绝缘容器可使各表面浸润在具有更高纯度的等离子体中,该等离子体向诸如O、N、H、F、Cl和Br的活性中性核素提供比从金属容器或包镀金属容器中可获得的更低的复合率。
本发明可在比例如先前环形等离子体系统中可用的更大处理范围上提供等离子体的点火。填充容器中通道的比先前系统更大的体积部分的电容性放电可在较大范围的气体参数上(例如气体类型、流速、以及气体压力)提供点火。经改进的点火可支持比例如具有更困难和/或更多点火步骤的先前系统更多的可重复处理以及更短的处理结果。
本发明部分地提供填充大部分等离子体容器体积以帮助点火的分布式电容性放电。较简便的点火可支持更快和更稳定的点火处理。在操作条件上或接近操作条件地点燃等离子体的能力对例如具有诸如原子层沉积(ALD)的较短周期的处理是有利的。
本发明某些实施例表征为与容器相邻的较大的和/或空间分布的电容性耦合电极,以提供分布式电容性放电。电极可通过在容器内的大部分通道上施加电离电场来点燃等离子体。因而可在气体核素中以某些先前等离子体产生系统中不可用的流速获得点火。点火电极可以是冷却外壳和绝缘容器之间的冷却组件和/或热界面组件的一部分。
本发明某些实现包括位于和/或接近于容器的一个或多个输入端的一个或多个点火电极。这些电极可单独或结合其它电极和/或其它点火装置放电,用电离核素使容器中气体活化(seed)以点燃等离子体。
本发明可在高达100千瓦的功率级和以上水平提供绝缘容器的冷却,而无需鼓风机或绝缘容器的直接流体冷却。冷却外壳形式的散热片可接近容器放置,其间有包含热传导和/或可变形材料的间隙,这些材料包括例如金属、聚合体、和/或合成或片状材料。
填充间隙的材料在冷却外壳和绝缘容器之间提供一热传导路径。该材料可具有变化的弹性,且冷却外壳可装有紧密接触绝缘容器的外面和冷却外壳的表面的填充间隙材料。这可有助于确保绝缘容器、填充间隙材料和冷却外壳之间的良好热接触。
在一优选实现中,环形低场活性气体源用绝缘材料构成的真空容器来构建。该容器的冷却在广泛的负载功率范围上提供可靠的操作。该源的应用包括半导体处理和处理室清洗。其它应用包括,例如,提供化学处理中的活化气体核素,并从排出气流中转换或移除有害或不需要的气体核素。
在某些优选实施例中,本发明表征为被冷却外壳包围的环形绝缘容器。冷却外壳可由诸如上述填充间隙材料的热界面与容器分隔开,该热界面提供热感应尺寸变化的机械调节。
该热界面可包括一种或多种具有弹性和/或可塑性机械属性的材料,以吸收因冷却外壳和绝缘容器之间热-机械配合不当所引起的尺寸变化。该热界面可限定容器和外壳之间很小的间隙。
在某些实施例中,冷却外壳通过装有弹簧的装置被有效地压在绝缘容器的外表面上,以保持热界面紧密接触绝缘容器和冷却外壳的外面。与装有弹簧的装置彼此相连的具有多个分段的冷却外壳可支持使用具有诸如环形的复杂形状容器,并可提供调节容器和外壳之间热失配应力的自承重冷却结构。
在本发明某些实施例中,绝缘真空容器的外表面可涂上一层,该层阻止由等离子体产生的紫外线通过绝缘容器进入间隙,在那里它可导致热界面材料的退化和/或产生臭氧。该涂层可以是不太透明的,和/或具有反射和/或吸收属性。外部涂层可作为放热层,因而有助于冷却绝缘真空容器。
在某些实施例中,真空容器的内表面加以涂层,以抵抗高能粒子或活性中性核素的轰击。涂层可提供降低的等离子体组分复合率。内部涂层的示例包括氮化硅、二氧化硅、氧化铝、氮化铝、钻石以及聚合体材料。
根据本发明原理,等离子体源和系统对例如处理诸如固体表面、粉尘和气体等的许多材料是有用的。该系统还对清洗诸如薄膜式沉积和蚀刻系统的半导体(加工设备)中的净化处理室有用。等离子体源还可用于为离子注入和离子铣削系统提供离子。
此外,等离子体源可支持用来制造半导体器件的蚀刻系统(以蚀刻例如硅、二氧化硅、氮化硅、铝、钼、钨以及诸如光致抗蚀剂的有机材料、聚酰亚胺以及其它聚合体材料)。等离子体源还可支持由例如钻石、二氧化硅、氮化硅、以及氮化铝构成的薄膜材料的等离子体增强沉积。高介电常数材料和低介电常数材料以及导电和半导电材料可通过等离子体增强沉积来沉积。
该系统还可用于产生活性气体,诸如原子氟、原子氯、原子溴、原子氢、原子氮、以及原子氧。这样的活性气体可用于还原、转换、安定或钝化各种半导体材料,诸如硅、锗和砷化镓、以及诸如二氧化硅、氧化钽、二氧化铪、氧化锡、氧化锌、氧化钌以及铟锡氧化物。应用包括无焊剂焊接、从硅表面去除二氧化硅、在晶片处理之前钝化硅表面、以及沉积或蚀刻各种材料。
其它应用包括更改聚合体、金属、陶瓷和纸张的表面属性。系统还可支持消除有害或不合环境需要的气体,包括诸如CF4、NF3、C2F6、CHF3、SF6的含氟化合物、诸如二氧(杂)芑和呋喃的有机化合物、以及其它易挥发的有机化合物。系统可产生用于杀菌的高流量的原子氧、原子氯、或原子氟。系统可支持大气压力下放电。
因此,在第一方面,本发明表征为等离子体点燃装置。该装置包括一容器和与该容器相邻的至少一个点火电极。该电极具有与容器所包围通道的相邻部分对准的尺寸。至少一个点火电极的总长度大于通道长度的10%该至少一个点火电极可对通道内气体施加一电场以启动气体的等离子体放电。
该装置可包括结合点火电极工作的参考电极。该参考电极和/或点火电极还可作为从容器去热的散热片。
在第二方面中,本发明表征为包括至少一个点火电极的等离子体点火装置,该点火电极具有比容器的总外表面面积大1%的面积。该装置可包括一参考电极,其中该参考电极和至少一个点火电极的总表面面积在容器总的外表面面积的2%~100%范围内。涉及这些特征的某些实施例包括绝缘等离子体容器;其它实施例包括金属等离子体容器,且至少一个点火电极具有比该金属容器的总外表面面积大10%的面积。
在第三方面中,本发明表征为包括至少三个点火电极的等离子体点火装置。
在第四方面中,本发明表征为等离子体点火装置,包括具有气体输入端和气体输出端的容器,以及与气体输入端相邻的点火电极,用于对接近容器输入端的流动气体施加电场。该点火电极可位于气体输入端的上游。
在第五方面中,本发明表征为等离子体装置。该装置包括由绝缘材料、与容器相邻的散热片、以及热界面构成的环形容器。该热界面置于容器和散热片之间并与其具有机械连接。热界面限定散热片和容器之间的间隙,该间隙响应热感应尺寸变化而调节热界面、散热片、和/或容器的移动。散热片可包括一个以上部分,且各部分可通过装有弹簧的装置来连接,以调节容器的形状和尺寸变化。
可在容器的外表面加一涂层以阻挡等离子体中产生的紫外线进入散热片和容器之间的空隙。该涂层还可有助于绝缘容器的冷却。
在第六方面中,本发明表征为用于点燃等离子体的方法。该方法包括提供具有气体输入端和输出端的一容器,通过输入端使气体流入通道,并在气体流入通道时通过对接近气体输入端的气体施加电离电场来点燃通道中的气体。
在第七方面中,本发明表征为用于点燃等离子体的方法。该方法包括提供具有流速和压力的气体,并将气体流速的一部分引入容器通道。气体在通道中点燃,同时流速的至少一部分被引离通道。部分或全部的流速可在点燃气体之后被引入通道。
附图说明
本发明用所附权利要求书中的特殊性来进行描述。本发明的以上和其它优点可通过以下参阅附图的描述得到更好的理解,其中:
图1是环形等离子体系统的实施例横截面视图。
图2A是等离子体系统一部分的实施例的横截面的顶视图。
图2B是图2A的那部分等离子体系统的实施例的横截面的侧视图。
图3是等离子体系统一部分的实施例的横截面的顶视图。
图4A、4B和4C分别是等离子体系统一部分的实施例的顶视图、横截面(沿平面B)的侧视图、以及横截面(沿平面C)的端视图。
图5A、5B、5C和5D是等离子体系统一部分的四个实施例的顶视图。
图6是包括类似于图5所示的容器的系统实施例的一部分横截面视图。
图7是用于分离气体的方法实施例的流程图。
图8是包括具有气体输入端和气体输出端的直线形状容器的等离子体系统的实施例的顶视图。
图9是包括具有气体输入端和气体输出端的环形容器的等离子体系统的实施例的顶视图。
图10是用于分离气体的方法实施例的流程图。
图11是可实现图10方法的等离子体系统的实施例的框图。
图12是包括有助于等离子体容器散热的组件的实施例的一部分横截面视图。
图13是图12容器和冷却相关组件的分解图。
图14A和14B是可包括在热界面的弹性部分中的弹性体实施例的侧视图。
图14C是可用作热界面弹性部分的弹簧部分实施例的斜三维视图。
图15是包括正方形横截面容器和四个通过装有弹簧的装置彼此相连的散热部分的等离子体系统的实施例的一部分横截面视图。
图16是等离子体处理系统的实施例的框图。
图17A和17B是进气口喷头实施例的平面视图。
图18A和18B是等离子体系统的点火电极和相邻部分的实施例的横截面视图。
具体实施方式
定义-“等离子体系统”是包括等离子体发生组件的装置,并可包括材料处理组件。等离子体系统可包括一个或多个容器、电源组件、测量组件、控制组件和其它组件。处理可在一个或多个容器中和/或在与一个或多个容器相连的一个或多个处理室中进行。等离子体系统可以是等离子体或在等离子体中产生的活性气体核素的源,或者可以是一种完全处理工具。
“容器”是包含气体和/或等离子体的容器或容器的一部分,且在其中可点燃和/或维护等离子体。环形容器包括至少一个绝缘部分,或者完全由绝缘材料构成。容器还可称为等离子体。容器可与诸如功率产生和冷却组件的其它组件组合来构成等离子体处理系统。容器可限定具有各种形状的通道。例如,通道可具有直线形状,或可具有环形形状(例如,以支持环形等离子体)。
“通道”是容器所限定和包围的体积。通道可包含气体和/或等离子体,并可与容器的一个或多个输入端和一个或多个输出端相连,用于收放气体和等离子体核素。等离子体系统可包括在通道内施加DC或振荡电场的装置。该电场可在通道内维护等离子体,并可独立地或结合其它装置点燃通道内的等离子体。
“通道长度”是等离子体可驻留的总路径长度。对于环形通道,长度可定义为围绕通道一圈的距离。因而,圆形通道具有等于与通道中心相关联的圆的周长的长度。
“等离子体”是包括与气体相关的带电粒子集合的物态。当在此使用时,等离子体可包括中性原子和/或与电离核素相关联的分子。容器内的物质在点火后在此被泛指为等离子体,而并非旨在将这种物质限于完全由等离子态中的核素组成。
“环形等离子体”是闭合回路形式的等离子体,且在该闭合回路中有等离子流在其中循环。环形等离子体可驻留于由“环形容器”所包围的“环形通道”中。
“点火”是导致气体中的初始分解以形成等离子体的过程。
“点火电极”是与容器电容性耦合的电极,并可对其施加用于点火容器中气体的电压。点火电压可在例如点火电极和参考电极之间或在点火电极和容器的导电部分之间施加。一个或多个点火电极可与容器的内或外表面相邻(在此所述的说明性实施例包括与外表面相邻的点火电极)。
术语“参考电极”取决于此处的上下文应当被广泛解释为结合一个或多个点火电极动作的一个或多个电极和/或容器的一个或多个导电部分。
“惰性气体”是在许多环境中非活性的或具有较低活性率的气体,包括氩气或其它稀有气体。
“稀有气体”是一组包括氦、氖、氩、氪、氙、以及有时包括氡的呈现化学稳定性和低活性率的稀有气体。
“活性气体”是包含倾向于参与一个或多个化学反应的某些核素的气体。活性气体包括不是惰性气体的气体。
“活化气体”包括离子、自由基、中性活性原子以及分子的任一种。
“散热片”是包括用于吸收、消散和/或去除热的一个或多个部分和/或组件的结构。散热片还可以是例如点火电极和参考电极的电极。
本发明的上述和其它特征将在首先参照图1和2描述环形等离子体系统的某些结构和操作方面之后进行更详细的描述。
图1是环形等离子体系统100的实施例的一部分横截面视图。该部分包括限定通道114、磁芯102、104、106和108、气体进口118、出口119、以及配合面116的容器110。
容器110可完全由一种或多种绝缘材料构成,或可由导电和绝缘材料构成。适当的导电材料包括诸如铝、铜、镍和钢的金属。容器100还可由加涂层的材料构成,诸如阳极氧化铝或镀镍铝。在本发明某些实施例中,如下详述,容器110由绝缘材料构成,并且用散热材料环绕以有助于绝缘材料的散热。
容器110包括在例如配合面116上的至少一个绝缘区域,它电隔离容器110的一部分,使得容器110周围的电连续性得以间断。如果容器完全由绝缘材料构成,则容器110可由不具有结合面的单片材料构成,因而也不包括配合面116。
容器110的结合面可提供高真空密封。该密封可包括橡胶密封垫或可以是诸如铜焊的永久密封。
如图所示,磁芯102、104、106和108围绕容器110的各个部分,即通道114的各个部分。磁芯102、104、106和108与变压器的主线圈(未示出)一起导致与通道114对齐的电场和电流,如在Smith等人的专利号为6,150,628的美国专利中所述。通道114中的等离子体完成变压器的次级电路。
变压器可包括构成附加次级电路的附加磁芯和导电主线圈。主线圈可由具有在例如小于10千赫到大于20兆赫的范围内频率的AC电源来提供电源。频率的选择可取决于所需功率和要施加到等离子体上的电压。
最优工作频率的选择可取决于应用、AC电源、以及磁芯材料。例如具有1~10乇范围压力的诸如氧和氮的气体,可在从50千赫到14兆赫的频率上使用具有特定优点。
磁芯102、104、106和108可具有可调的主绕组。这可允许例如最优化施加于等离子体上的电压和电流,用于点火和特定的处理操作条件(例如用于特定压力、流速和气体核素条件)。
电子组件可在AC电源的输出以及磁芯102、104、106和108之间的电路路径中。这些组件可包括电阻器、电容器和/或电感器。例如,一系列电感器可用来平缓施加在等离子体上的电压波形,从而提高等离子体的稳定性。
组件可以是固定或可变的,其可变性通过例如电子或机械装置来控制。各组件可形成阻抗可变电路或阻抗匹配网路。
AC电源输出端或内置于AC电源的谐振电路可用来增大点火电压和用于点火目的的回路电压(即通道上的电压降)。谐振电路还可减小因AC线路波动引起的等离子体的不稳定性。
与AC电源输入端相连的DC电源可通过整流和过滤AC线电压来获取。DC电源电压可通过其它电路来调节,以稳定施加在等离子体上的电压,并提供与AC线电压的可变性相关的调节。DC电源电压和电流也可用来控制传递给等离子体的功率。
需要监视各个参数,诸如功率、电流和电压。传递给等离子体的功率可通过例如测量由DC电源输出的功率来估算。功率测量可通过测量或估算置于DC电源输出端和等离子体之间的电子元件的电损耗来改进。功率也可在例如AC电源的输出端上测量。
传递给等离子体的功率可通过若干手段控制,例如通过改变:DC电源电压的幅度;施加在等离子体上的峰值电流;施加在等离子体上的AC电源的负载周期;施加在等离子体上的AC电压的幅度;以及施加在等离子体上的AC电源的频率。可改变在AC电源的输出端和等离子体之间的功率传送效率,来改变施加在等离子体上的功率。
为了降低成本并减少等离子源及其AC电源和控制系统的复杂性,这些组件可集合到单个外壳内。或者,为了增加灵活性,等离子体源可与以下任一个分离:AC电源、DC电源、以及控制系统。绝缘等离子体容器和相关的冷却和安装组件可独立于其它组件以有助于组件在场内的移位。
容器110的形状可更改。例如,容器110可以是正方形的环状(如图所示)、长方形的环状、圆形环状等。
在操作中,送进气流进入气体入口118。气体可注入通道114中,直到达到例如0.001乇和1000乇之间的压力。气体可包括惰性气体、活性气体、或至少一种惰性气体和至少一种活性气体的混合物。气体化合物可例如通过提供用于点火的一种化合物以及用于处理操作条件的第二种化合物而变化。等离子体的各部分可通过出口119从通道114传递。
在某些实施例中,等离子体系统被配置成使很少或没有电离核素离开等离子体容器。在其它实施例中,某些电离核素从容器中传递以例如有助于在与容器相连的腔室中进行处理。在另外的实施例中,容器结合有处理腔室使得等离子体可在腔室内生成。
一旦气体被电离,等离子体形成并完成变压器的次级电路。等离子体中的电场可在小于1伏/厘米到大于100伏/厘米的范围内。如果在容器110中仅存在稀有气体,则等离子体中的电场会低到1伏/厘米甚至更低。然而,如果在该腔室中出现了电负性气体,则等离子体中的电场可比1伏/厘米大许多。
因为等离子体和腔室之间的低电势差可降低因高能离子导致的腔室腐蚀和所处理材料的相关污染,操作在通道114中具有较低电场的容器110是有利的。
容器110可包括用于提供点燃容器110内等离子体的初始电离事件的自由电荷的装置。初始电离事件可以是施加在等离子体腔室上的短暂的高压脉冲。该脉冲可具有约500~20,000伏的电压,并可持续约0.1~10微秒。初始电离事件也可利用持续时间较长(约10微秒~3秒)的高压脉冲(可能是RF脉冲)来产生。诸如氩气的惰性气体可注入通道以降低点燃等离子体所需的电压。紫外线也可用来在容器110中生成自由电荷,该自由电荷提供在容器110中点燃等离子体的初始电离事件。
在一实现中,短暂的高压电脉冲被施加在磁芯的主线圈上以提供初始电离事件。在另一实现中,短暂的高压电脉冲被施加在位于容器110之中或之上的电极上。点火参照本发明的其它说明性实施例进行如二更详细地描述。
现在参照图2A和2B,在此所述的大多数实施例都涉及对称的环状绝缘容器,尽管本发明的各原理可应用于具有各种容器配置和材料组件的等离子体系统。因此,各说明性实施例不应解释为将本发明的实现限制在对称的或包括环状容器的系统,或限制于具有完全由绝缘材料构成的容器的系统。
图2A和2B分别是等离子体系统200一部分的实施例的横截面的顶视图和侧视图。系统200包括容器210和磁芯220d(三个可任选磁芯220a、220b和220c用虚线示出)。图2B示出通过磁芯220d和容器210的部分。系统200的可选实施例包括两个、三个、或四个以上磁芯。
容器210围住通道215,该通道215具有正方形的横截面形状,且在容器内可维护等离子体。容器210可由单件绝缘材料构成。绝缘材料可以是例如石英、兰宝石、氧化铝、氮化铝或其它陶瓷材料。用来构成容器210的材料可基于系统200的计划应用来选择。例如,可基于计划的操作功率、等离子体核素和/或所需纯度来选择材料。
容器210支持环形等离子体,并具有正方形横截面的圆环状。支持环形等离子体的其它容器可具有各种形状。这种形状包括例如以下轮廓形状的任一种:椭圆形环状、正方形环状、长方形环状、以及多边形环状,并可具有圆形横截面或椭圆横截面。
容器210可包括一个或多个气体入口端以及一个或多个出口端。可包括多个端口在容器210中对等离子体提供其它控制。气流的控制,特别是在点火期间的控制如下进行更详细地描述。
现在参看图3到图11,描述了涉及改进的点火装置和方法的本发明的各方面。图3是等离子系统300实施例的一部分的顶视图。该系统300包括容器310(所示部分)和与容器310相邻的点火电极330。
在系统300的不同实现中,容器310的形状可定形以限定例如直线通道或环形通道。系统300可包括其它点火电极330(如虚线所画电极330所示),并可包括向电极330提供电压的互连331。以下描述可应用于具有一个点火电极330或一个以上电极330的系统300。
电极330具有与限定于容器310内的通道对齐的尺寸D(由虚线示出)。电极330的尺寸D具有长度。在图3示例中,电极330具有长度与通道对齐的长方形形状。因而,在该示例中,电极330的尺寸D的长度与长方形的长度相等。当包括一个以上电极330时,与通道对齐的尺寸的总长度在此被理解为每个电极330的组合尺寸长度。
可想像比图3所示更为复杂的点火电极的分布。例如,除图3的线性分布之外,点火电极可并列或交错放置。因而,点火电极的尺寸的总长度对应于由一个或多个点火电极覆盖的通道的长度。
电压施加在电极330上以点燃通道中的气体或支持其点燃。系统300可包括结合点火电极330使用的参考电极,以对通道中的气体施加电场。该参考电极可以是接地电极。或者,点火电极330可以是接地电极,而电压施加在参考电极上。该参考电极可包括一个或多个部分。在容器内包括导电部分的实现可利用容器的导电部分,作为参考电极或点火电极。
点火电极330可具有提供与以前等离子体系统中所用相关的改进的点火的形状、尺寸和/或位置。电极330支持电容性点火放电,它沿着容器310限定的通道分布。长电极330和/或多个电极330可沿着通道同时点燃连续或接近连续的等离子体。
该一个或多个电极330沿着通道具有例如约大于通道长度的5%的总长度。点火性能可通过增大总长度来改进。例如,大于10%的总长度可提供改进的点火。
本发明的某些优选实现包括点火电极尺寸在总长度的10%~80%或更多的范围内。总长度可以是容器长度的100%(在环形容器的情形中,只要点火电极具有至少一个电中断点,总长度就可以是容器长度的100%,即容器中间通道的圆周)。对本领域技术人员而言,电极长度与通道长度之比取决于对通道长度的选是显然的。为了方便,通道长度在此被选定为与最大电极长度相对应。显然,通道长度无需选定为与中间通道相对应,且电极可置于中间通道上的任何地方。或者,根据本发明原理,点火电极可根据面积来限定(在此点火电极的面积被理解为面向容器的电极表面的面积)。
因此,一个或多个点火电极330可具有与容器310的尺寸相关的较大总面积。点火电极330的面积与容器尺寸之间的关系可被表达为例如电极330的总面积与容器310的外或内表面的总面积之比。
在大多数实现中,点火电极330的总面积可以是容器310的外表面的总面积最多100%。然而,显然本发明的各个原理可用不与容器外表面相邻地驻留的点火电极部分来实现,从而使得面积比大于100%。此外,例如,具有不规则表面的点火电极可提供大于100%的面积比。
通常并不需要用点火电极完全覆盖容器310,因为有点火电极面积和参考电极面积的最佳比例。因此,点火电极330的总面积可小于容器310的外表面面积的50%,同时仍然用电极覆盖容器310的大部分。在某些实现中,点火和参考电极的总面积在容器310内表面的总面积的1%~99%的范围内。
在系统300的某些优选实现中,点火电极330和参考电极的组合总面积在相邻容器的内表面面积的3%~75%的范围内。总之,点火电极330面积和/或参考电极面积中的增大可增大系统300的点火阶段的操作范围。
根据本发明各原理,优点可通过包括尽可能多的两个、三个、或多个点火电极330来提供。电极330可沿着容器的长度分布,并可沿容器310均匀间隔。
在某些实现中,RF电压或脉冲电压被施加在点火电极330上以点燃通道中的气体。较大点火电极330的面积可增加电容性耦合度,以提供初始等离子体分解的较大密度,并通过等离子体容器的较大部分提供初始等离子分解,从而简化环形等离子体的形成。
施加在电极330的峰值电压可在500伏到2万伏的范围内,或为较低或较高的电压。因而,容器310的操作可从电容性耦合放电开始,随后是由一个或多个磁芯施加的电感性耦合放电。
点火步骤期间的通道压力可在从0.001乇到1000乇的范围内。紫外线(UV)可通过创建与容器310的内表面相邻的光电子来帮助点火。
容器310可具有各种配置。某些可选配置的示例参照图4到6中所示的本发明各实施例来描述。
图4A、4B和4C分别是等离子体系统400的一部分的实施例的顶视图、横截面侧视图(通过平面B的横截面)、以及横截面端视图(通过平面C的横截面)。系统400包括限定通道415的容器410,以及与容器410相邻的一个或多个点火电极430。容器410具有气体输入端441和输出端442。
容器410具有直线形状并限定直线形通道415。电极430具有正方形形状。根据本发明各原理的系统的可选实施例可包括混合尺寸、形状、和/或沿容器间隔的点火电极。
然后描述包括环形容器的等离子体系统的某些实现。图5A、5B、5C和5D是等离子体系统500A、500B、500C和500D的各部分的四个实施例的顶视图。如图所示,每个系统500A、500B、500C和500D包括容器510a、510b、510c、510d以及与容器510a、510b、510c、510d相邻的至少一个点火电极530a、530b、530c、530d。容器510a、510b、510c、510d具有限定环形等离子体的圆形通道的圆形形状。容器510a、510b、510c、510d可完全由绝缘材料构成,以获得例如上述优点。
点火电极530a、530b、530c、530d具有与通道对齐的尺寸D,如以上图3所述。这些实施例中点火电极530a、530b、530c、530d的形状遵从圆形通道的曲率。因此,每个点火电极530a、530b、530c、530d的尺寸D如图所示遵从其关联电极530a、530b、530c、530d的曲率。因此,尺寸D的长度沿着尺寸D的弯曲路径被测量。
系统500A、500B、500C和500D在每一情形中可包括一个以上点火电极530a、530b、530c、530d,由虚线所示。如上所述,点火电极530a、530b、530c、530d的面积、数量和分布的增加可改进系统500A、500B、500C和500D的点火性能。
图6是系统600实施例的一部分横截面的示意图,该系统600包括与图5中所示相似的容器610。系统600还包括与容器610的上表面相邻的点火电极630,以及与容器610的下表面和侧面相邻的参考电极640。电压V在点火电极630和参考电极640之间施加,以启动容器610中气体的放电。因而,在点火期间,电离电场在点火电极630和参考电极640之间出现(由电场线650所示)。
点火电极630可由例如金属薄片构成,并置于与容器610相邻的位置上。或者,电极630可例如通过厚膜或薄膜沉积技术在容器610上构成。
参考电极640可包括在容器610的下表面、内表面和外表面上的独立部分。或者,各部分可相连(参见虚线部分)以提供包围在容器610外面的单个部分。参考电极640具有位于容器610周围的一个或多个断点,如上述相同原因为点火电极所需。
在系统的可选实施例中,一个或多个点火电极可放置为与正方形横截面的环状容器的侧面相邻。类似地,参考电极可在该容器的上或下表面附近放置。
根据本发明各原理,使用较大的点火电极可改进点火电极和等离子体之间的耦合效率,因而可提供更为强烈的初始气体分解。如下所述,改进的初始气体分解对各种处理都有利。
等离子体在大多数情形中都呈现负阻抗,即等离子体的阻抗随着等离子体密度和电子温度的增加而下降。增加的初始等离子体密度和电子温度可降低构成和/或维护等离子体所需的感应电场的电平。在具有线性或环状的较大或较长等离子通道的等离子体容器中,沿等离子体通道创建强烈的基本连续的初始分解可简化主等离子体的形成。本发明的点火优点在点燃诸如氟或氧的带负电气体时有帮助,其中由于电子附于气体分子而使电子的损耗较为严重。
容器表面的等离子体轰击可通过形成主要感应等离子体之后快速移除对电容性耦合点火电极的功率来减少。为此,根据本发明各原理的系统的某些实施例包括一启动等离子体就从点火电极移除功率的控制电路。表面腐蚀可因点燃等离子体之后,而在移除点火电极的电压之前的高能离子的轰击而导致。因而,控制电路包括为通过测量例如电源的电压和/或电流波形或测量从等离子体的光线发射来检测等离子体的点燃。然后控制电路在等离子体形成之后移除点火电极的功率,以限制表面腐蚀。
诸如电极630的点火电极,可由沉积或层压在绝缘等离子体容器的表面的金属膜构成。该金属膜可包括例如铜或铝,或者在关注金属扩散或电迁移时,可由耐热材料制成,诸如钛、钽、或钨、或者诸如TiN的合金或化合物导电材料。
图7是用于点燃气体中放电的可启动等离子体的方法实施例的流程图。该方法包括提供具有气体输入端和输出端的容器(步骤710)。该容器限定用于包含气体的通道。该方法包括通过输入端使气体流入通道(步骤720),并通过将电离电场施加在接近气体输入端的气体而点燃通道中的气体,同时该气体流入通道(步骤730)。
参照图8和9,描述了可实现方法700的说明性装置。
图8是包括线性形状容器810的等离子体系统800的一个实施例,该容器800限定用于包含气体的通道并具有气体输入端841和输出端842。系统800包括与容器810的输入端相连的流体中的上游部分880。系统800还包括与气体输入端841相邻的至少一个点火电极890,以将电场施加于接近容器810的输入端841的流动气体上(点火电极890的两个可选位置由虚线示出)。
如图所示,点火电极890可接近容器810的表面或接近上游部分880的表面放置。该上游部分880可以是例如用于配合气体传送管的法兰。该上游部分880和容器810可由单片材料构成,例如单片熔化石英。
一个或多个点火电极890可在输入端841的上游或下游,或与输入端841交迭。用系统800实现的方法700可提供经改进的点火。与气体输入端841相邻的点火电极890可点燃在容器810的气体入口处附近的流动气体。点火处结合电离组件的流动,可有助于沿整个通道来活化等离子。
图9是包括具有气体入口端941和输出端942的容器910的系统900的一个实施例。容器910限定用于包含气体的通道。该系统包括与气体的输入端941相连的流体中的上游部分980。系统900还包括与气体输入端941相邻的至少一个点火电极990,以将电场施加于接近容器910的输入端941的流动气体上。
系统900具有与系统800相似的特征,但是用环形容器910替代了线性容器810。系统900可实现方法700,并提供参照图8所述的优点。
如上所述,上游点火处可将电子播撒到输入气流中。然后电子可与气体一起沿通道流动,并有助于例如等离子体的感应式点燃。方法700和系统800、900可提供等离子体系统制造成本的降低、较简便的现场服务,并提供对容器810、910内表面的减少腐蚀。
现在参看图10和11,描述了涉及因等离子体系统的气体旁路而便于点火的本发明各方面。
图10是用于点燃气体以启动等离子体的方法1000的一个实施例的流程图。方法1000包括提供限定封闭通道的容器(步骤1010),在通道外提供具有流动速率和压力的气体(步骤1020),将气体流速的一部分引入通道(步骤1030),并在通道中点燃气体,同时将流速的剩余部分引离通道(步骤1040)。
在点火期间一部分气流的旁路可扩展能成功点燃的流速和压力的范围。点燃通道中的气体通过限制在点火期间进入通道的流速部分来简化。例如,该流速部分可小至零(即通道中不流动的气体)。
同时,通道中的压力可与通道外的气体压力相同。例如,压力可以是点燃等离子体后所需的操作压力。
方法1000可包括在点燃气体之前使得流速固定为一个值,用于操作容器(步骤1025)。将流速设置为在通道中形成等离子体后操作所需的流速可提供改进的系统稳定性。因而,例如,在点火之前,由气源提供的气体流速可在使用条件下稳定,且使用压力也可在通道内稳定。
方法1000还可包括在点燃通道中的气体之后,将流速的增加部分或全部引入通道(步骤1050)。因而,与以前方法相比,从点火到操作等离子体条件的转换可以是快速并稳定的。
图11是可实现方法1000的等离子体系统1100的一个实施例的框图。系统110包括气体输入管1151、控制流经管1151的气流的旁路阀1171、以及旁路气管1152。为了实现方法1000,旁路阀1171可例如将气体流速的部分或全部从输入管1151引入旁路气管1152。
输入管1151可与容器1110流体相连。系统1100可包括处理室1190,以及与容器1110和室1190相连的等离子体产出管1153。
系统1100可包括限制瞬态和稳态气流的孔1173。该孔1173可有助于将旁路管1152中的一部分气流重新导入气体输入管1151。
系统可包括旁路管1152中的阀1172。该旁路管1152可与等离子体产出管1153、室1190和/或排气管的流体相连。
对本领域技术人员而言,显然可使用各种数量、类型以及位置的阀和孔来实现方法1000。例如,与系统1100相关的可选实现可包括:单个旁路阀、单个旁路阀和一个孔、两个旁路阀、以及两个旁路阀和一个孔。
方法1000可提供当气体输入管1151正在传送例如高流速和高压力使用条件下的处理气体时的点火。例如,气体输入管可提供压力为2乇的气体,其中该气体包括O2和N2的混合体,O2为6slm(标准升每分钟)和N2为0.6slm的混合流速。方法1000可提供在例如这些条件下的可靠点火。
参照图12到14,接着描述用于等离子体系统的改进冷却的方法和装置(诸如以上所述)。根据本发明各原理的冷却特征,在应用于绝缘等离子体容器时特别有利。
图12是包括有助于从等离子体容器中散热的各组件的系统1200的一个实施例的一部分横截面示图。系统1200包括容器1210、与容器1210相邻的散热片1220、以及置于容器1210和散热片1220之间的热界面1230(在此也称为机械调节层)。散热片1220还可用作电极,例如点火电极630和/或参考电极640(参见图6)。散热片可通过流经散热片(或附近)的冷却液来冷却,诸如水、油或空气。
系统1200可任选地包括装有弹簧的装置1290,它可控制地将压力施加在散热片1220上。装有弹簧的装置1290的某些实现如下所述。
热界面1230可包括具有均匀含量的材料。例如,热界面1230的材料可以是单一材料或混合材料,例如合成或层压材料。热界面1230可以是不连续的,或可均匀地填充散热片1220和容器1210之间的空隙。在某些可选实施例中,一个或多个通路可包括在热界面1230中,以提供与位于热界面1230和容器1210之间的点火电极的电接触途经。
热界面1230具有一个或多个机械属性,这些属性可允许热界面调节由散热片1220施加的压力以及压力中的变化。属性可包括弹性和塑性变形性能。该热界面1230可具有变化的弹性和灵活性程度。例如,热界面1230是可变形的。可变形性能可帮助调节系统1200的热感应尺寸变化。
热界面1230可以是包括具有不同热和机械性能的材料的合成物或层压物。例如,热界面1230可包括聚合体和金属成分,以获取例如前者的变形性能和后者的热传导性能。
在系统1200的某些可选实施例中,热界面1230包括一个以上层。在这样的实施例中,热界面1230包括在多个接触点与散热片1220和容器1210机械联系的可变形部分1231。可变形部分1231刚性或弯曲的,并且可弹性或塑性变形。例如,可变形部分1231可变形以帮助调节组装件和/或调节因例如热效应引起的系统1200的尺寸变化。
热界面1230可包括其它部分1232、1233、1234,它们可部分地作为可变形部分1230和散热片1220和/或容器1210之间的中间接触。以下描述其它部分1232、1233、1234的某些实现。
热界面1230、散热片1220以及容器1210的弹性部分限定散热片1220和容器1210之间的间隙。该间隙可调节因热效应引起的组件移动。该间隙可增强热界面1230的弹性部分的变形范围。
参照图13和14,可变形部分1231可包括各种材料和结构。图13是图12的等离子体系统1200的更详细实现的容器1220和冷却相关组件的部分分解图。在该实现中,可变形层1231是橡胶层1231a。橡胶层包括橡胶材料的间隔部分或者,可变形层1231可以是依从相邻材料表面的适应层。
热界面1230还可包括挡光层1234a,以阻挡例如由等离子体发射的UV线抵达并破坏橡胶材料。UV线还可引起不需要的臭氧的形成。挡光层1234a还可有助于冷却容器1210。
挡光层1234a可以是例如一薄片材料,或可以是施加在例如容器1210上的薄层。挡光层1234a可由诸如铜的金属或其它适当材料来构成。
橡胶材料可部分地用陶瓷或金属材料来填充。这样的材料可包括例如氧化铝、氮化铝、氮化硼、钻石、以及石墨,它们可更改橡胶材料的热和机械属性。
橡胶层1231a的配置可允许响应于张力、压力和/或剪切应力而变形。橡胶层1231a可有选择地包括裂缝和/或空洞。
橡胶层1231a可得自薄片材料,或可由密封化合物来构成。橡胶层1231a的厚度可在25微米或小于2毫米的范围(或更大)内。在优选实现中,橡胶层1231a覆盖了容器1210的外表面面积的约25%~100%。
在图12的系统1200的另一个实现中,热界面1230包括诸如铜丝绒的纤维、烧结金属、压花金属、和/或弹簧。金属材料可提供比许多橡胶材料更大的热传导性和更大的温度额定值。
参照图14A、14B和14C,描述可由金属构成的弹性部分1231的某些实现。
图14A和14B是可包括在热界面1230的可变形部分1231内的弹性体1300a、1300b的实施例的侧视图。如图所示或各种其它形状的弹性体1300a、1300b,可响应于所述的热感应组件移动而弯曲。弹性体1300a、1300b可由金属材料构成。
图14C是可用作热界面1230的可变形部分1231的弹簧部分1231b的一个实施例的三维侧视图。部分1231b包括多个悬臂指。这些悬臂指可由例如铜或铍铜构成。这些悬臂指可通过例如电化学蚀刻形成,随后悬臂指塑性变形来给出最后的形状。可变形部分1231的塑性变形可在最后装到容器1210期间进行。弹簧部分1231b可以铜焊或焊接或粘结到散热片1220和/或容器1210上,以例如有助于传热。在某些实现中,部分1231b提供约为0.25到25毫米的间隙厚度。
或者,热界面1230可包括橡胶和金属成分。例如,其它部分1232、1233的任一个或全部可以是橡胶层,它们被包括为中间媒介来传递可变形部分1230和散热片1220和容器1210之间的接触。这种配置可提供至少两个优点:(1)某些金属材料的热传导性和高温耐受力;以及(2)某些橡胶材料的柔性、弹性和界面属性。
热界面1230还可用作垫片,以在容器1210和散热片1220之间提供具有可控厚度的间隙。该间隙可用气体(例如空气或氦)来填充。气体可支持例如通过小于约100微米的厚度的热传递。进一步的改进可得小于25微米甚至小于12微米的厚度。或者,该间隙可用液体或半液体(诸如油脂)来填充,以帮助热传递,液体的量因较小的间隙厚度而较小。
散热片1220(这里也称为冷却外壳)可紧邻于容器1210,并可通过循环液体直接冷却和/或通过另一热界面冷却传导到另一冷却表面。散热片1220也可由空气冷却。散热片1220可由例如金属、陶瓷和合成材料的任何组合(例如铜、铝、黄铜、氮化铝、硅铝合金碳化物、以及金属基质陶瓷合成物)来构成。
如果散热片1220由电传导材料构成,则在散热片1220中应存在至少一个绝缘间隙用于环容器1210。散热片1220中的多个绝缘间隙可被包括为降低绝缘间隙上的电场峰值。由于散热片和等离子体容器1210之间的物理接近,散热片间隙上的电场可耦合到等离子体容器1210,并造成等离子体容器1210内局部高电场,以及相应的等离子体破坏。使用具有一个以上电间隙的散热片1220可将感应电场分布到多个间隙上,从而降低峰值电场并减少等离子体的破坏。由多个散热片部分构成多个散热片部分1220还可简化等离子体系统1200的组装。
散热片1220可由多个刚性分段构成。或者,散热片可由多个柔性部分构成,以进一步有助于调节尺寸和形状的热感应变化。
散热片1220最好包括基本上包围容器1210的全部的至少两个分割的部分。散热片1220的分段可帮助组装系统1200,该系统包括具有诸如环形的复杂形状的容器1210。对于环形绝缘容器,两个部分可以是“C”形,以在组装后的散热片1220中提供间隙。散热片1220可由诸如铜或铝的电传导材料制成。对于具有正方形横截面的环形容器,示例如图6所示,散热片1220最好包括16个部分,即环形的四个“表面”(即环形的上、下、内和外部分)的每一个有4个部分。
再参看图12,并如上所述,系统1200可包括装有弹簧的装置1290,以维持压在等离子体容器1210外面的散热片1220和热界面1230。装有弹簧的装置1290可包括诸如螺丝钉或夹钳的散热触点1291、以及弹簧1292。
弹簧1292通过触点1291将压力加到散热片1220上。所施加的压力可进行选择以维持并控制容器1210、热界面1230和散热片1220之间的机械和热交互。可使用一个或多个夹钳或螺丝钉。
一个或多个弹簧1292调节因热效应引起的尺寸变化。因而,所施加的压力可在温度变化期间维持在有效恒定水平。装有弹簧的装置1290可拉紧以获得所需力,该力然后由一个或多个弹簧1292的作用来保持。
装有弹簧的装置1290能够可控制地将压力施加在例如绝缘环形容器和散热片1220之间的热界面1230上。该热界面1230由装有弹簧的装置1290活动压缩,以维持容器1210和散热片1220之间的热接触。
环形绝缘等离子体容器具有相对复杂的几何形状,并可具有较薄的壁以促进散热。绝缘等离子体容器还可由不具有与散热材料兼容的热-机械属性的材料制成。包括这种容器的等离子体系统1200的实现可有利地包括分段的散热片1220,如上所述。
在系统1200的一个优选实施例中,系统1200具有分段的并覆盖容器1210的大部分或全部表面的散热片1220,该容器1210在该实现中由绝缘材料构成;散热片1220用使用装有弹簧的装置1290控制的力量压在容器1210上;热界面1230依从容器1210。系统1200的该实现提供具有绝缘等离子体容器的可靠的环形等离子体源。
图15是等离子体系统1500a的实施例的一部分横截面示图。系统1500a包括正方形横截面的容器1501a以及通过装有弹簧的装置1290a彼此相连的四个散热分段1530a。装有弹簧的装置1290a包括拧入分段1520a之一的螺丝钉1291a,以及位于螺丝钉1291a的头部和相邻部分1530a之间的弹簧1292a。装有弹簧的装置1290a的可选配置对相关领域的技术人员而言是显然的。
散热部分1530a和装有弹簧的装置1290a的组合提供了自承重的冷却外壳。该自承重的冷却外壳支持容器1501a的有效冷却,同时调节组合的容器1501a和冷却外壳的热错配感应的尺寸变化。
图16是包括所述的本发明结构特征并可实现方法1000的等离子体处理系统1500的一个实施例的框图。系统1500包括由至少一个磁芯1520围绕的绝缘容器1510,该磁芯1520与AC电源1560有电连接。该绝缘容器1510具有气体输入端1541和输出端1542。16个散热分段1530位于绝缘容器1510四周,并可用作电极,例如点火和/或参考电极。或者,点火和/或参考电极可驻留于各分段1530和容器1510之间。
一个或多个磁芯1520具有用作变压器主线圈的线圈,以导致与绝缘容器1510所限定的通道对齐的电场和等离子流。通道中的等离子体完成了变压器的次级电路。可支持环形等离子体的磁芯和相关联AC电源的某些实现在Smith等人的专利号为6,150,628的美国专利中描述。
容器1510具有正方形横截面,且四个散热部分1530与容器1510所限定的四个表面(即上、下、内和外表面)的每一个相邻。散热片的分段有助于组装和调节热感应的尺寸变化。
系统1500还包括与输入端1541相连的气体输入管1551、旁路气管1552、旁路阀1571、以及限定与绝缘容器1510的输出端1542相连的处理室的处理容器1590。为了实现方法1000,在等离子体点火期间,旁路阀1571可将气流的一部分或全部从输入管1551引入旁路气管1552。系统1500可包括在输入端1541中或附近的气体喷头,以调节从管道1151进入输入端1541的气流。
包括气体喷头1551可改进等离子体的稳定性,以及热分布到容器内表面的均匀性。气体喷头1551可改进经输入端1541引入环形通道的气体的分布。
图17A和17B示出被配置成主要沿等离子体容器的表面引导气流的气体喷头的各个示例。图17A示出可用作例如图16的系统1500的喷头1553的气体喷头1553a的一个实施例。该喷头1553a限定成排的孔1610a在较小和/或较少的孔1620a的两侧。大多数进入气体通过成排的孔1610a,并沿着在或接近气体入口端1541的容器的上下内表面通行。
图17B示出可结合例如具有圆形横截面的容器使用的气体喷头1553B的一个实施例。喷头1553b限定在较小和/或较少的孔1620b周围的一圈孔1610b。大多数进入气体通过该圈孔1610b并沿着容器的内表面通行。由一个或多个气体喷头提供的改进气体分布可提供等离子体的改进稳定性以及容器内的更均匀功率分布。限制湍流产生的喷头可减少容器壁的腐蚀。
感应电场在电感性耦合的环形等离子体容器的内径上最大,导致内径壁上较高的热分布。因为等离子体可倾向于留在环形等离子体通道的中央,将进入气体沿着等离子体通道的侧壁注入可减少等离子体向内径壁的移位。在环形等离子体周围使进入气体流向内径壁还可使等离子体从内表面上提升,导致在壁表面上更均匀的热分布,并减少等离子体容器表面上的峰值功率消散。
当等离子体系统1500包括熔融的石英容器时,系统1500最好在将石英等离子体容器内部的最高温度限制在约900~1000℃(例如小于950℃)的条件下操作。在相对较高的温度上,包括破坏的极小变化可在石英的内表面上显现。这样的极小变化可导致不需要的极小微粒和其它污染。
容器的加热在当进入气体尝试将等离子体推向容器的内表面时最大。此外,等离子体与内表面的更大交互可加剧热相关的表面破坏。因此,需要使这些位置保持比容器上其它位置更低的温度。例如,需要将这些重要的壁表面保持在小于950℃的温度,而其它壁表面可达接近或大于950℃的温度。
现在参看图18A和18B,点火电极的可选实现可改进热层1230的性能。图18A示出等离子体系统的实施例的一部分横截面示图。该系统包括容器表面上或接近于容器表面的点火电极630a、热界面1230b、散热片1530b、以及电极垫片632。垫片632可填充由电极630a在热界面1230b和容器表面之间创建的间隙。
图18B示出等离子体系统的一个实施例的一部分横截面示图。该系统包括陶瓷基层635上的点火电极630b。组合的电极630b和陶瓷基层635置于容器表面上或与其相邻。该系统可包括置于散热片1530b和陶瓷基层635之间的热界面1230b。第二个热界面1230b可置于容器表面和陶瓷基层635之间。第二个热界面1230b可具有柔性属性,以允许第二个热界面1230b适合组合的点火电极630b和陶瓷基层635的表面。
点火电极630b可以是沉积或层压在陶瓷基层635上的金属膜。具有较高热传导性的陶瓷材料,诸如AlN或AL2O3,被优选为基层材料。热传导陶瓷基层635可降低绝缘等离子体容器和散热片1530b之间的热梯度,同时提供电极630b和散热片1530b之间的电绝缘。陶瓷基层635也可例如改进热界面1230b的可靠性。
本发明的另一实现表征为包括等离子体源部分、具有绝缘环形容器以及单板AC电源的集成式环形等离子体系统;该等离子体源可从装置中分离。等离子体容器以及相关联的冷却结构被配置成允许从装置底板中移除,而不拆卸单板电源。这就允许等离子体容器能够在系统用户的现场更换系统的消耗组件。
尽管本发明已参照特定优选实施例特别示出并描述,本领域技术人员应理解,可在形式和细节中作各种变化,而不背离由所附权利要求书所定义的本发明的精神和范围。例如,系统可包括任意尺寸的两个或多个点火电极,而不是特定尺寸或长度的点火电极,并沿着容器相间放置以有效地支持分布式点火。各电极可这样间隔放置,例如,一个电极的边缘到相邻电极的边缘之间的最大间距不大于五厘米。

Claims (25)

1.一种等离子体装置,包括:
容器,所述容器由绝缘材料形成并且限定用于容纳气体的通道;
与所述容器相邻的散热片;
热界面,所述热界面置于所述容器和所述散热片之间并与它们机械连接,以及
置于所述容器和所述热界面之间的紫外线阻挡层,
其中所述热界面限定所述散热片和所述容器之间的间隙,所述间隙响应于热感应的尺寸变化来调节热界面、散热片以及所述容器中至少之一的移动。
2.如权利要求1所述的装置,其中所述通道具有环形形状。
3.如权利要求1所述的装置,其中所述散热片包括基本上围绕所述容器的至少两个部分,并且所述至少两个部分通过至少一个装有弹簧的装置连接在一起。
4.如权利要求3所述的装置,其中所述容器具有环形形状,并且所述散热片包括由至少两个装有弹簧的装置连接的至少四个部分,以将所述至少四个部分压向所述容器,以调节所述容器和散热片之间的热错配。
5.如权利要求3所述的装置,其中所述散热片和所述至少一个装有弹簧的装置限定一自承重冷却外壳。
6.如权利要求1所述的装置,其中所述热界面包括合成材料、纤维材料和层压材料中至少之一。
7.如权利要求1所述的装置,其中所述热界面包括多个悬臂指。
8.如权利要求7所述的装置,其中所述多个悬臂指至少包括铜和铍的至少之一。
9.如权利要求1所述的装置,其中所述容器由所述绝缘材料组成。
10.如权利要求1所述的装置,其中所述热界面包括多个线圈。
11.如权利要求1所述的装置,其中所述热界面包括保形材料。
12.如权利要求11所述的装置,其中所述保形材料覆盖所述容器的外表面面积的25%~100%。
13.如权利要求1所述的装置,其中所述间隙用气体填充,用于从所述容器热传递到所述散热片,并且所述间隙具有小于100微米的厚度。
14.如权利要求1所述的装置,其中所述间隙的厚度小于25微米。
15.如权利要求1所述的装置,其中所述间隙的厚度小于12微米。
16.如权利要求1所述的装置,其中所述热界面包括一保形层和一弹簧层,且所述保形层具有与所述散热片和所述容器之一接触的第一面,以及与所述弹簧层接触的第二面。
17.如权利要求1所述的装置,还包括一气体入口喷头,所述喷头置于与所述容器的进口相邻处,并且限定沿所述容器的内表面引入大部分进入气流的孔。
18.如权利要求1所述的装置,所述紫外线阻挡层是一薄片材料。
19.如权利要求1所述的装置,所述紫外线阻挡层是涂敷到所述容器的涂层。
20.如权利要求1所述的装置,所述紫外线阻挡层由金属形成。
21.如权利要求1所述的装置,所述紫外线阻挡层阻挡在所述容器中的等离子体内产生的紫外线辐射进入所述散热片和所述容器之间的间隙。
22.如权利要求1所述的装置,所述紫外线阻挡层辅助所述容器的冷却。
23.一种等离子体装置,包括:
绝缘容器,所述容器包括导电部分并且限定用于容纳气体的通道;
与所述容器相邻的散热片;
热界面,所述热界面置于所述容器和所述散热片之间并与它们机械连接,以及
置于所述容器和所述热界面之间的紫外线阻挡层,
其中所述热界面限定所述散热片和所述容器之间的间隙,所述间隙响应于热感应的尺寸变化来调节热界面、散热片以及所述容器中至少之一的移动。
24.一种等离子体装置,包括:
容器,所述容器由绝缘材料构成并且限定用于容纳气体的通道;
与所述容器相邻的散热片;
热界面,所述热界面置于所述容器和所述散热片之间并与它们机械连接,以及
置于所述容器和所述热界面之间的紫外线阻挡层,
其中所述热界面限定所述散热片和所述容器之间的间隙,所述间隙响应于热感应的尺寸变化来调节热界面、散热片以及所述容器中至少之一的移动。
25.一种等离子体装置,包括:
容器,所述容器包括绝缘材料并且限定用于容纳气体的通道;
与所述容器相邻的散热片;
热界面,所述热界面置于所述容器和所述散热片之间并与它们机械连接,以及
置于所述容器和所述热界面之间的紫外线阻挡层,
其中所述热界面限定所述散热片和所述容器之间的间隙,所述间隙响应于热感应的尺寸变化来调节热界面、散热片以及所述容器中至少之一的移动。
CN201110288983.XA 2003-04-16 2004-04-12 环形低场活性气体和具有绝缘真空容器的等离子体源 Expired - Lifetime CN102339716B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US10/417,408 US6872909B2 (en) 2003-04-16 2003-04-16 Toroidal low-field reactive gas and plasma source having a dielectric vacuum vessel
US10/417,408 2003-04-16
CN2004800100663A CN1774787B (zh) 2003-04-16 2004-04-12 环形低场活性气体和具有绝缘真空容器的等离子体源

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2004800100663A Division CN1774787B (zh) 2003-04-16 2004-04-12 环形低场活性气体和具有绝缘真空容器的等离子体源

Publications (2)

Publication Number Publication Date
CN102339716A true CN102339716A (zh) 2012-02-01
CN102339716B CN102339716B (zh) 2016-02-24

Family

ID=33158894

Family Applications (3)

Application Number Title Priority Date Filing Date
CN201110289004.2A Expired - Lifetime CN102339717B (zh) 2003-04-16 2004-04-12 环形低场活性气体和具有绝缘真空容器的等离子体源
CN201110288983.XA Expired - Lifetime CN102339716B (zh) 2003-04-16 2004-04-12 环形低场活性气体和具有绝缘真空容器的等离子体源
CN2004800100663A Expired - Lifetime CN1774787B (zh) 2003-04-16 2004-04-12 环形低场活性气体和具有绝缘真空容器的等离子体源

Family Applications Before (1)

Application Number Title Priority Date Filing Date
CN201110289004.2A Expired - Lifetime CN102339717B (zh) 2003-04-16 2004-04-12 环形低场活性气体和具有绝缘真空容器的等离子体源

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2004800100663A Expired - Lifetime CN1774787B (zh) 2003-04-16 2004-04-12 环形低场活性气体和具有绝缘真空容器的等离子体源

Country Status (7)

Country Link
US (3) US6872909B2 (zh)
EP (3) EP2296166B1 (zh)
JP (5) JP5301096B2 (zh)
KR (1) KR100810258B1 (zh)
CN (3) CN102339717B (zh)
TW (3) TWI359628B (zh)
WO (1) WO2004095499A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110114863A (zh) * 2016-12-20 2019-08-09 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
CN111052300A (zh) * 2017-09-15 2020-04-21 Mks 仪器公司 用于等离子体系统的点火以及用于监测等离子系统的健康状况的设备和方法

Families Citing this family (166)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7569790B2 (en) * 1997-06-26 2009-08-04 Mks Instruments, Inc. Method and apparatus for processing metal bearing gases
US8779322B2 (en) 1997-06-26 2014-07-15 Mks Instruments Inc. Method and apparatus for processing metal bearing gases
US7238266B2 (en) * 2002-12-06 2007-07-03 Mks Instruments, Inc. Method and apparatus for fluorine generation and recirculation
US8053700B2 (en) * 2003-04-16 2011-11-08 Mks Instruments, Inc. Applicators and cooling systems for a plasma device
US7199384B2 (en) * 2004-07-09 2007-04-03 Energetiq Technology Inc. Inductively-driven light source for lithography
US7948185B2 (en) * 2004-07-09 2011-05-24 Energetiq Technology Inc. Inductively-driven plasma light source
US7307375B2 (en) * 2004-07-09 2007-12-11 Energetiq Technology Inc. Inductively-driven plasma light source
GB0516695D0 (en) * 2005-08-15 2005-09-21 Boc Group Plc Microwave plasma reactor
US7572741B2 (en) 2005-09-16 2009-08-11 Cree, Inc. Methods of fabricating oxide layers on silicon carbide layers utilizing atomic oxygen
US7842355B2 (en) * 2005-11-01 2010-11-30 Applied Materials, Inc. System and method for modulation of power and power related functions of PECVD discharge sources to achieve new film properties
US20070267143A1 (en) * 2006-05-16 2007-11-22 Applied Materials, Inc. In situ cleaning of CVD system exhaust
KR101253751B1 (ko) * 2006-07-21 2013-04-11 주성엔지니어링(주) 기판처리장치
TWI435376B (zh) * 2006-09-26 2014-04-21 Applied Materials Inc 用於缺陷鈍化之高k閘極堆疊的氟電漿處理
US20080083701A1 (en) * 2006-10-04 2008-04-10 Mks Instruments, Inc. Oxygen conditioning of plasma vessels
JP4926653B2 (ja) * 2006-10-31 2012-05-09 京セラ株式会社 プラズマ発生体、反応装置及び光源装置
JP4721230B2 (ja) * 2006-10-31 2011-07-13 京セラ株式会社 プラズマ発生体、反応装置及び光源装置
US7550927B2 (en) * 2006-11-09 2009-06-23 Applied Materials, Inc. System and method for generating ions and radicals
US7969096B2 (en) 2006-12-15 2011-06-28 Mks Instruments, Inc. Inductively-coupled plasma source
US7605008B2 (en) * 2007-04-02 2009-10-20 Applied Materials, Inc. Plasma ignition and complete faraday shielding of capacitive coupling for an inductively-coupled plasma
WO2008154222A1 (en) * 2007-06-06 2008-12-18 Mks Instruments, Inc. Particle reduction through gas and plasma source control
KR20100072316A (ko) * 2007-10-19 2010-06-30 엠케이에스 인스트루먼츠, 인코포레이티드 높은 가스 유량 공정을 위한 환형 플라즈마 챔버
US7914603B2 (en) * 2008-06-26 2011-03-29 Mks Instruments, Inc. Particle trap for a plasma source
US20100037824A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Plasma Reactor Having Injector
US20100037820A1 (en) * 2008-08-13 2010-02-18 Synos Technology, Inc. Vapor Deposition Reactor
US8770142B2 (en) * 2008-09-17 2014-07-08 Veeco Ald Inc. Electrode for generating plasma and plasma generator
US8851012B2 (en) * 2008-09-17 2014-10-07 Veeco Ald Inc. Vapor deposition reactor using plasma and method for forming thin film using the same
US8871628B2 (en) * 2009-01-21 2014-10-28 Veeco Ald Inc. Electrode structure, device comprising the same and method for forming electrode structure
WO2010095901A2 (en) 2009-02-23 2010-08-26 Synos Technology, Inc. Method for forming thin film using radicals generated by plasma
US8758512B2 (en) * 2009-06-08 2014-06-24 Veeco Ald Inc. Vapor deposition reactor and method for forming thin film
US8742665B2 (en) * 2009-11-18 2014-06-03 Applied Materials, Inc. Plasma source design
US8771538B2 (en) * 2009-11-18 2014-07-08 Applied Materials, Inc. Plasma source design
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
US8771791B2 (en) 2010-10-18 2014-07-08 Veeco Ald Inc. Deposition of layer using depositing apparatus with reciprocating susceptor
DE102010062349A1 (de) * 2010-12-02 2012-06-06 Robert Bosch Gmbh Zündspule mit integrierter Elektronik
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8877300B2 (en) * 2011-02-16 2014-11-04 Veeco Ald Inc. Atomic layer deposition using radicals of gas mixture
US9163310B2 (en) 2011-02-18 2015-10-20 Veeco Ald Inc. Enhanced deposition of layer on substrate using radicals
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
KR101325137B1 (ko) * 2011-05-31 2013-11-06 주식회사 뉴파워 프라즈마 아크 방지 기능을 갖는 플라즈마 전원공급 시스템 및 이를 갖는 플라즈마 처리 장치
KR101296723B1 (ko) * 2011-05-31 2013-08-20 주식회사 뉴파워 프라즈마 플라즈마 점화를 위한 점화회로
US20130118589A1 (en) 2011-11-15 2013-05-16 Mks Instruments, Inc. Toroidal Plasma Channel with Varying Cross-Section Areas Along the Channel
KR101314666B1 (ko) * 2011-11-28 2013-10-04 최대규 하이브리드 플라즈마 반응기
US10115565B2 (en) * 2012-03-02 2018-10-30 Panasonic Intellectual Property Management Co., Ltd. Plasma processing apparatus and plasma processing method
CN102705082A (zh) * 2012-05-29 2012-10-03 哈尔滨工程大学 迎风压差式等离子点火喷嘴
AU2013290093B2 (en) * 2012-07-13 2017-09-21 Peter Morrisroe Torches and methods of using them
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US20140062285A1 (en) 2012-08-29 2014-03-06 Mks Instruments, Inc. Method and Apparatus for a Large Area Inductive Plasma Source
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US8944003B2 (en) * 2012-11-16 2015-02-03 Taiwan Semiconductor Manufacturing Company, Ltd. Remote plasma system and method
CN104025720B (zh) * 2012-12-28 2016-08-24 株式会社新动力等离子体 等离子体反应器及利用该反应器的等离子体点火方法
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US20140272108A1 (en) * 2013-03-15 2014-09-18 Plasmability, Llc Toroidal Plasma Processing Apparatus
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
JP2014185363A (ja) * 2013-03-22 2014-10-02 Hitachi Kokusai Electric Inc 基板処理装置、処理容器および半導体装置の製造方法
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US9155184B2 (en) * 2013-11-18 2015-10-06 Applied Materials, Inc. Plasma generation source employing dielectric conduit assemblies having removable interfaces and related assemblies and methods
US9376455B2 (en) 2013-11-27 2016-06-28 Veeco Ald Inc. Molecular layer deposition using reduction process
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
TWI486996B (zh) 2013-12-04 2015-06-01 Ind Tech Res Inst 電漿裝置及電漿裝置的操作方法
KR101406696B1 (ko) * 2013-12-27 2014-06-11 (주)제이오션 원격 플라즈마 소스를 위한 플라즈마 블록
US9305749B2 (en) 2014-02-10 2016-04-05 Applied Materials, Inc. Methods of directing magnetic fields in a plasma source, and associated systems
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9240308B2 (en) * 2014-03-06 2016-01-19 Applied Materials, Inc. Hall effect enhanced capacitively coupled plasma source, an abatement system, and vacuum processing system
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) * 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9736920B2 (en) * 2015-02-06 2017-08-15 Mks Instruments, Inc. Apparatus and method for plasma ignition with a self-resonating device
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
TWI670749B (zh) 2015-03-13 2019-09-01 美商應用材料股份有限公司 耦接至工藝腔室的電漿源
EP3081921B1 (en) * 2015-04-16 2019-08-14 Heraeus Electro-Nite International N.V. Spectrometer calibration method
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
CN109247031B (zh) * 2016-01-19 2023-02-17 辉光能源公司 热光伏发电机
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
NL2017198B1 (en) 2016-07-20 2018-01-26 Jiaco Instr Holding B V Decapsulation of electronic devices
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
JP6872935B2 (ja) * 2016-09-30 2021-05-19 株式会社ダイヘン プラズマ発生装置
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
KR101977702B1 (ko) * 2016-12-09 2019-05-14 에스케이하이닉스 주식회사 이온 소스 헤드 및 이를 포함하는 이온 주입 장치
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) * 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR102113294B1 (ko) * 2018-05-31 2020-06-16 (주) 엔피홀딩스 절연구간이 개선된 플라즈마 발생기
CN112335342B (zh) 2018-06-14 2023-07-14 Mks仪器公司 用于远程等离子源的自由基输出监控器和使用方法
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US11019715B2 (en) 2018-07-13 2021-05-25 Mks Instruments, Inc. Plasma source having a dielectric plasma chamber with improved plasma resistance
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
US10553403B1 (en) 2019-05-08 2020-02-04 Mks Instruments, Inc. Polygonal toroidal plasma source
CN112509899B (zh) * 2019-09-16 2024-02-09 中微半导体设备(上海)股份有限公司 电感耦合等离子体处理装置及其点火控制方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5049777A (en) * 1989-03-29 1991-09-17 Asea Brown Boveri Limited High-power radiator
US5834905A (en) * 1995-09-15 1998-11-10 Osram Sylvania Inc. High intensity electrodeless low pressure light source driven by a transformer core arrangement
WO1999000823A1 (en) * 1997-06-26 1999-01-07 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US5869931A (en) * 1997-02-10 1999-02-09 Stanley Electric Co., Ltd. Fluorescent lamp with heat radiation sheet member
US6167835B1 (en) * 1997-03-27 2001-01-02 Mitsubishi Denki Kabushiki Kaisha Two chamber plasma processing apparatus
US6222647B1 (en) * 1995-10-30 2001-04-24 Seiko Epson Corporation Lamp unit and image reading apparatus using the same
US20020020499A1 (en) * 1991-06-27 2002-02-21 Applied Materials, Inc. Inductively coupled RF plasma reactor and plasma chamber enclosure structure therefor
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JP2003036723A (ja) * 2001-07-19 2003-02-07 Harison Toshiba Lighting Corp 照明装置

Family Cites Families (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US1534251A (en) 1918-01-28 1925-04-21 Charles G Smith Electric light
US2806356A (en) * 1952-08-27 1957-09-17 Theodore Raymond R Bocchio Combustion initiator
US3291715A (en) 1963-08-19 1966-12-13 Litton Systems Inc Apparatus for cathode sputtering including a plasmaconfining chamber
US4431898A (en) * 1981-09-01 1984-02-14 The Perkin-Elmer Corporation Inductively coupled discharge for plasma etching and resist stripping
US4615851A (en) 1981-09-08 1986-10-07 Ford Motor Company Preparation of beta"-alumina tubes by the extrusion process
JP2569078B2 (ja) * 1987-10-19 1997-01-08 株式会社日立製作所 核融合炉の炉壁
JPH01125933A (ja) * 1987-11-11 1989-05-18 Hitachi Ltd 真空処理方法及び装置
US5274306A (en) * 1990-08-31 1993-12-28 Kaufman & Robinson, Inc. Capacitively coupled radiofrequency plasma source
US5273609A (en) * 1990-09-12 1993-12-28 Texas Instruments Incorporated Method and apparatus for time-division plasma chopping in a multi-channel plasma processing equipment
US5074456A (en) * 1990-09-18 1991-12-24 Lam Research Corporation Composite electrode for plasma processes
US5158442A (en) * 1991-05-01 1992-10-27 Guerra Romeo E Flare igniter
AU671416B2 (en) 1991-05-28 1996-08-29 Seppo Taneli Konkola A method for generating and exploiting a plasma ball or a similar phenomenon in a chamber and the chamber
US6063233A (en) * 1991-06-27 2000-05-16 Applied Materials, Inc. Thermal control apparatus for inductively coupled RF plasma reactor having an overhead solenoidal antenna
JPH05166595A (ja) * 1991-12-12 1993-07-02 Fuji Denpa Koki Kk 高気圧高密度プラズマ発生方法
US6190512B1 (en) * 1993-09-07 2001-02-20 Tokyo Electron Arizona Inc. Soft plasma ignition in plasma processing chambers
JP3121486B2 (ja) * 1993-12-13 2000-12-25 日本真空技術株式会社 プラズマ処理装置における放電管冷却機構
JPH07176519A (ja) * 1993-12-17 1995-07-14 Shibaura Eng Works Co Ltd 放電処理装置
JPH07273064A (ja) * 1994-03-28 1995-10-20 Sony Corp 微小構造及びその製造方法、及び接続配線構造の形成方法
JPH07282993A (ja) * 1994-04-14 1995-10-27 Kawasaki Heavy Ind Ltd 電子ビーム励起プラズマ発生用電子ビーム発生装置
JPH07302790A (ja) * 1994-04-28 1995-11-14 Tokyo Electron Ltd 熱処理装置
US5972450A (en) 1995-10-10 1999-10-26 Bundy Corporation Metal tubing coated with multiple layers of polymeric materials
EP0831679B1 (en) * 1995-06-05 2008-10-01 Musashino Kikai Co., Ltd. Power supply for multielectrode discharge
JPH10511806A (ja) * 1995-09-15 1998-11-10 パテント−トロイハント−ゲゼルシャフト フュール エレクトリッシェ グリューラムペン ミット ベシュレンクテル ハフツング 高出力無電極低圧光源
US6440221B2 (en) * 1996-05-13 2002-08-27 Applied Materials, Inc. Process chamber having improved temperature control
EP0909445A1 (en) * 1996-05-31 1999-04-21 Akashic Memories Corporation Recording media having protective overcoats of highly tetrahedral amorphous carbon and methods for their production
US5981899A (en) * 1997-01-17 1999-11-09 Balzers Aktiengesellschaft Capacitively coupled RF-plasma reactor
US6692617B1 (en) * 1997-05-08 2004-02-17 Applied Materials, Inc. Sustained self-sputtering reactor having an increased density plasma
US6815633B1 (en) 1997-06-26 2004-11-09 Applied Science & Technology, Inc. Inductively-coupled toroidal plasma source
US6084199A (en) * 1997-08-01 2000-07-04 Hypertherm, Inc. Plasma arc torch with vented flow nozzle retainer
US6074514A (en) 1998-02-09 2000-06-13 Applied Materials, Inc. High selectivity etch using an external plasma discharge
DE19806519A1 (de) * 1998-02-17 1999-08-19 Ruediger Haaga Gmbh Vorrichtung zum Sterilisieren von Behältern mittels eines Niederdruckplasmas
US6441552B1 (en) 1998-04-30 2002-08-27 Physical Sciences Inc. Apparatus and methods for generating persistent ionization plasmas
US6150835A (en) * 1998-05-08 2000-11-21 Intel Corporation Method and apparatus for fast production programming and low-voltage in-system writes for programmable logic device
JP2000117447A (ja) * 1998-10-20 2000-04-25 Purometoron Technic Kk プラズマトーチ用電極の製造方法、プラズマトーチ用電極のためのインサートの製造方法、およびプラズマトーチ用電極のためのインサート
DE19913614C1 (de) 1999-03-25 2000-05-11 Fraunhofer Ges Forschung Vorrichtung und Verfahren zur Behandlung von strömenden Gasen, insbesondere von Abgasen
JP4193320B2 (ja) * 1999-03-30 2008-12-10 昭和電工株式会社 磁気記録媒体の製造方法
JP3784203B2 (ja) * 1999-04-23 2006-06-07 松下電器産業株式会社 マグネトロンスパッタ方法と装置
US6392351B1 (en) * 1999-05-03 2002-05-21 Evgeny V. Shun'ko Inductive RF plasma source with external discharge bridge
US6466426B1 (en) 1999-08-03 2002-10-15 Applied Materials Inc. Method and apparatus for thermal control of a semiconductor substrate
JP2003506888A (ja) * 1999-08-06 2003-02-18 アドバンスト・エナジー・インダストリーズ・インコーポレイテッド ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP2001150143A (ja) * 1999-11-26 2001-06-05 Komatsu Sanki Kk プラズマ加工用の電極及びプラズマ加工機
US6156667A (en) 1999-12-31 2000-12-05 Litmas, Inc. Methods and apparatus for plasma processing
JP3640376B2 (ja) * 2000-02-14 2005-04-20 富士電機アドバンストテクノロジー株式会社 薄膜製造方法
US6329297B1 (en) 2000-04-21 2001-12-11 Applied Materials, Inc. Dilute remote plasma clean
US6387207B1 (en) * 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6418874B1 (en) 2000-05-25 2002-07-16 Applied Materials, Inc. Toroidal plasma source for plasma processing
JP2002075690A (ja) * 2000-08-24 2002-03-15 Japan Vilene Co Ltd 放電用電極
JP2002072205A (ja) * 2000-09-04 2002-03-12 Hitachi Ltd 液晶表示装置
US6258735B1 (en) 2000-10-05 2001-07-10 Applied Materials, Inc. Method for using bypass lines to stabilize gas flow and maintain plasma inside a deposition chamber
JP2002190475A (ja) * 2000-12-21 2002-07-05 Canon Inc マイクロ波プラズマ処理装置及びそれを用いたプラズマ処理方法並びに物品の製造方法
JP4522003B2 (ja) * 2001-02-26 2010-08-11 株式会社エフオーアイ プラズマ処理装置
JP2002256437A (ja) * 2001-02-27 2002-09-11 Japan Science & Technology Corp ダイヤモンド様炭素多層膜の製造装置
JP3814492B2 (ja) * 2001-04-12 2006-08-30 松下電器産業株式会社 プラズマ処理装置およびプラズマ処理方法
JP3883396B2 (ja) * 2001-05-21 2007-02-21 東京応化工業株式会社 誘導結合プラズマ着火方法
US20030129106A1 (en) 2001-08-29 2003-07-10 Applied Materials, Inc. Semiconductor processing using an efficiently coupled gas source
KR100481313B1 (ko) * 2001-11-09 2005-04-07 최대규 유도결합 플라즈마 반응기
JP2004006699A (ja) * 2002-04-25 2004-01-08 Hitachi Kokusai Electric Inc 半導体装置の製造方法及び基板処理装置
US6759624B2 (en) * 2002-05-07 2004-07-06 Ananda H. Kumar Method and apparatus for heating a semiconductor wafer plasma reactor vacuum chamber
JP4443818B2 (ja) * 2002-10-02 2010-03-31 パナソニック株式会社 プラズマドーピング方法
KR100542740B1 (ko) 2002-11-11 2006-01-11 삼성전자주식회사 가스 플라즈마 생성 방법 및 장치, 플라즈마 생성용 가스조성물 및 이를 이용한 반도체 장치의 제조 방법
JP4579157B2 (ja) * 2003-03-25 2010-11-10 東京エレクトロン株式会社 処理装置及び切り替え機構
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5049777A (en) * 1989-03-29 1991-09-17 Asea Brown Boveri Limited High-power radiator
US20020020499A1 (en) * 1991-06-27 2002-02-21 Applied Materials, Inc. Inductively coupled RF plasma reactor and plasma chamber enclosure structure therefor
US5834905A (en) * 1995-09-15 1998-11-10 Osram Sylvania Inc. High intensity electrodeless low pressure light source driven by a transformer core arrangement
US6222647B1 (en) * 1995-10-30 2001-04-24 Seiko Epson Corporation Lamp unit and image reading apparatus using the same
US5869931A (en) * 1997-02-10 1999-02-09 Stanley Electric Co., Ltd. Fluorescent lamp with heat radiation sheet member
US6167835B1 (en) * 1997-03-27 2001-01-02 Mitsubishi Denki Kabushiki Kaisha Two chamber plasma processing apparatus
WO1999000823A1 (en) * 1997-06-26 1999-01-07 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
US6388226B1 (en) * 1997-06-26 2002-05-14 Applied Science And Technology, Inc. Toroidal low-field reactive gas source
JP2003036723A (ja) * 2001-07-19 2003-02-07 Harison Toshiba Lighting Corp 照明装置

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110114863A (zh) * 2016-12-20 2019-08-09 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
CN110114863B (zh) * 2016-12-20 2024-04-16 朗姆研究公司 使用双气室喷头的亚稳态激活的自由基选择性剥离和蚀刻的系统和方法
CN111052300A (zh) * 2017-09-15 2020-04-21 Mks 仪器公司 用于等离子体系统的点火以及用于监测等离子系统的健康状况的设备和方法
CN111052300B (zh) * 2017-09-15 2023-09-12 Mks 仪器公司 用于等离子体系统的点火以及用于监测等离子系统的健康状况的设备和方法

Also Published As

Publication number Publication date
CN102339717B (zh) 2015-11-25
KR20050103183A (ko) 2005-10-27
US7501600B2 (en) 2009-03-10
CN102339716B (zh) 2016-02-24
US20040206730A1 (en) 2004-10-21
US6872909B2 (en) 2005-03-29
JP5658010B2 (ja) 2015-01-21
CN1774787B (zh) 2011-10-26
TWI359628B (en) 2012-03-01
WO2004095499A2 (en) 2004-11-04
JP2011124226A (ja) 2011-06-23
JP2012151115A (ja) 2012-08-09
EP2296166B1 (en) 2014-01-08
US7659489B2 (en) 2010-02-09
EP2276052A2 (en) 2011-01-19
EP2296166A2 (en) 2011-03-16
TW200505292A (en) 2005-02-01
JP2011124227A (ja) 2011-06-23
TWI448214B (zh) 2014-08-01
JP2013191566A (ja) 2013-09-26
JP2006523934A (ja) 2006-10-19
JP5492060B2 (ja) 2014-05-14
KR100810258B1 (ko) 2008-03-06
EP2276052B1 (en) 2017-06-07
TW201119515A (en) 2011-06-01
TWI404462B (zh) 2013-08-01
WO2004095499A3 (en) 2005-02-10
US20070145023A1 (en) 2007-06-28
TW201130402A (en) 2011-09-01
EP1618588A2 (en) 2006-01-25
EP2276052A3 (en) 2011-05-18
JP5695694B2 (ja) 2015-04-08
EP2296166A3 (en) 2011-10-05
CN1774787A (zh) 2006-05-17
CN102339717A (zh) 2012-02-01
EP1618588B1 (en) 2019-02-13
US20050145173A1 (en) 2005-07-07
JP5301096B2 (ja) 2013-09-25

Similar Documents

Publication Publication Date Title
CN1774787B (zh) 环形低场活性气体和具有绝缘真空容器的等离子体源
US6855906B2 (en) Induction plasma reactor
US7166816B1 (en) Inductively-coupled torodial plasma source
US7854213B2 (en) Modulated gap segmented antenna for inductively-coupled plasma processing system
US8124906B2 (en) Method and apparatus for processing metal bearing gases
CN107210178A (zh) 用于利用自谐振设备的等离子体点火的装置和方法
JP2003506888A (ja) ガスおよび材料を処理する誘導結合環状プラズマ源装置およびその方法
US8097217B2 (en) Atmospheric pressure plasma generating apparatus by induction electrode
US9583313B2 (en) Plasma processing apparatus and plasma processing method
US20210243876A1 (en) Plasma Source Having a Dielectric Plasma Chamber with Improved Plasma Resistance
KR20040010898A (ko) 대기압 마이크로 웨이브 플라즈마 방전시스템의 점화장치

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CX01 Expiry of patent term

Granted publication date: 20160224