CN102569136A - 清洁基板表面的方法和设备 - Google Patents

清洁基板表面的方法和设备 Download PDF

Info

Publication number
CN102569136A
CN102569136A CN201210032669XA CN201210032669A CN102569136A CN 102569136 A CN102569136 A CN 102569136A CN 201210032669X A CN201210032669X A CN 201210032669XA CN 201210032669 A CN201210032669 A CN 201210032669A CN 102569136 A CN102569136 A CN 102569136A
Authority
CN
China
Prior art keywords
substrate
chamber
layer
processing region
gas
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201210032669XA
Other languages
English (en)
Other versions
CN102569136B (zh
Inventor
埃罗尔·安东尼奥·C·桑切斯
乔黑尼斯·斯温伯格
戴维·K·卡尔森
罗伊辛·L·多尔蒂
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102569136A publication Critical patent/CN102569136A/zh
Application granted granted Critical
Publication of CN102569136B publication Critical patent/CN102569136B/zh
Expired - Fee Related legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/20Deposition of semiconductor materials on a substrate, e.g. epitaxial growth solid phase epitaxy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B25/00Single-crystal growth by chemical reaction of reactive gases, e.g. chemical vapour-deposition growth
    • C30B25/02Epitaxial-layer growth
    • C30B25/08Reaction chambers; Selection of materials therefor
    • CCHEMISTRY; METALLURGY
    • C30CRYSTAL GROWTH
    • C30BSINGLE-CRYSTAL GROWTH; UNIDIRECTIONAL SOLIDIFICATION OF EUTECTIC MATERIAL OR UNIDIRECTIONAL DEMIXING OF EUTECTOID MATERIAL; REFINING BY ZONE-MELTING OF MATERIAL; PRODUCTION OF A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; SINGLE CRYSTALS OR HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; AFTER-TREATMENT OF SINGLE CRYSTALS OR A HOMOGENEOUS POLYCRYSTALLINE MATERIAL WITH DEFINED STRUCTURE; APPARATUS THEREFOR
    • C30B29/00Single crystals or homogeneous polycrystalline material with defined structure characterised by the material or by their shape
    • C30B29/02Elements
    • C30B29/06Silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02043Cleaning before device manufacture, i.e. Begin-Of-Line process
    • H01L21/02046Dry cleaning only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/3165Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation
    • H01L21/31654Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself
    • H01L21/31658Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe
    • H01L21/31662Inorganic layers composed of oxides or glassy oxides or oxide based glass formed by oxidation of semiconductor materials, e.g. the body itself by thermal oxidation, e.g. of SiGe of silicon in uncombined form
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67028Apparatus for fluid treatment for cleaning followed by drying, rinsing, stripping, blasting or the like
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02658Pretreatments
    • H01L21/02661In-situ cleaning
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10STECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10S438/00Semiconductor device manufacturing: process
    • Y10S438/976Temporary protective layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Vapour Deposition (AREA)
  • Drying Of Semiconductors (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Recrystallisation Techniques (AREA)

Abstract

本发明大体提供用于在半导体基板上形成干净且无伤害的表面的设备及方法。本发明的一个实施例提供一系统,该系统含有一清洁腔室,该清洁腔室适于在基板表面上形成外延层之前,将基板表面暴露于等离子体清洁处理。在一实施例中,采用一方法以减少在清洁腔室中处理的基板的污染,该方法藉由在基板上进行清洁处理之前,于清洁腔室的内表面上沉积集除物质。在一实施例中,于清洁腔室的基板上重复进行氧化及蚀刻步骤,以在基板上暴露出或产生一干净表面,而可以在干净表面上沉积外延层。在一实施例中,于清洁步骤中使用低能量等离子体。

Description

清洁基板表面的方法和设备
本申请是2008年7月8日申请的申请号为200880100583.8的发明专利申请的分案申请。
技术领域
本发明的实施例大体涉及用于处理半导体基板的方法和设备,特别是用于清洁硅基板表面的方法和设备。
相关技术说明
集成电路形成在硅及其它半导体基板内及上方。在单晶硅的实例中,通过从熔融硅浴生长硅晶锭(ingot),并接着将固化的晶锭锯开成为多个晶圆而制成基板。可接着在单晶硅晶圆上形成外延硅层,以形成掺杂或未掺杂的无缺陷硅层。半导体装置(例如晶体管)由外延硅层制造。所形成的外延硅层的电学特性通常比单晶硅基板的特性要好。
单晶硅及外延硅层的表面当暴露于一般周围条件时容易受到污染。因此,在执行多种半导体工艺(例如形成外延层)之前,需要清洁基板以移除硅晶圆表面上的杂质及微粒。
常规地,利用湿式清洁处理或常规等离子体清洁处理来清洁半导体基板。然而,湿式清洁处理具有“排队时间”的问题,由于一批中的晶圆具有不同的闲置时间,故会造成一批晶圆中的晶圆与晶圆之间产生差异。常规的远程或原位(in situ)等离子体清洁处理极具挑战性,因为以气相形成的或在清洁处理过程中所产生的不期望存在的物种而造成腔室及腔室中待处理基板的污染。该些不期望存在的物种会限制期望物种的清洁作用,或是将其它的困难引入清洁处理中。
因此,需要清洁基板表面的方法及设备,特别是在执行外延沉积处理之前用于清洁基板表面的方法及设备。
发明内容
本发明一般提供一种处理基板的设备,该设备包括低能量清洁腔室、外延层沉积腔室以及传输室,该低能量清洁腔室包括:形成一处理区域的一或多个壁;适于传送电磁能至处理区域的等离子体产生源;适于传送含硅气体至处理区域的第一气源;适于传送氧化气体至处理区域的第二气源,该传输室具有围出一传输区域的一或多个壁,以及适于将基板在低能量清洁腔室中的第一位置以及外延层沉积腔室中的第一位置之间传输的机械手。
本发明的实施例还提供用于执行低能量清洁处理的设备,该设备包括:形成一处理区域的一或多个壁;适于传送电磁能至处理区域的等离子体产生源;设置在处理区域中的屏蔽,其中制成该屏蔽的材料选自由硅、钇、氧化钇、锗、硼、磷及硅锗化合物所组成的组;具有基板支撑表面以及适于被RF功率源所偏压的偏压电极的基板支撑件;适于传送氧化气体至处理区域的第一气源;适于传送惰性气体至处理区域的第二气源;以及适于传送含硅气体至处理区域的第三气源。
本发明的实施例还提供一种形成外延层的方法,该方法包括:在一腔室部件的一表面上沉积一集除层(gettering layer),而该腔室部件设置于一清洁腔室中的处理区域内;将基板放置在清洁腔室的处理区域中的基板支撑件上;将放置在基板支撑件上的基板表面进行氧化;自放置在该基板支撑件上的该基板移除至少一部分的氧化表面;在移除至少一部分的氧化表面之后,藉由将基板表面暴露于含氢气体而钝化该基板表面;将基板由清洁腔室传输至外延层沉积腔室;以及在至少一部分的钝化表面上沉积一外延层。
本发明的实施例还提供一种执行低能量清洁处理的设备,该设备包括:形成处理区域的一或多个壁,其中该一或多个壁的至少其中之一含有热交换装置以控制该一或多个壁的至少其中之一的温度;适于传送电磁能至处理区域的等离子体产生源;设置在处理区域中的屏蔽;具有基板支撑表面和适于被RF功率源所偏压的偏压电极的基板支撑件,以及用于控制基板支撑表面温度的基板支撑件热交换装置;适于传送氧化气体至处理区域的第一气源;以及适于传送蚀刻气体至处理区域的第二气源。
附图简要说明
为了使本发明的上述特征更明显易懂,可配合参考实施例说明,实施例部分绘示如附图。需注意的是,虽然附图公开本发明特定实施例,但附图并非用以限定本发明的精神与范围,任何本领域技术人员,可作各种修改与修饰而得到等效实施例。
图1概要绘示根据本发明一个实施例的清洁腔室的截面侧视图。
图2A概要绘示根据本发明另一实施例的清洁腔室的截面侧视图。
图2B概要绘示根据本发明一个实施例的清洁腔室的截面侧视图。
图3绘示根据本发明一个实施例的用于清洁半导体基板的方法的流程图。
图4概要绘示清洁腔室的一部分截面侧视图。
图5概要绘示根据本发明一个实施例的群集式工具的平面视图。
为了便于了解,附图中相同的组件符号表示相同的组件。某一实施例采用的组件不需特别详述而可应用到其它实施例。
详细说明
本发明一般提供用于在半导体基板(或晶圆)上形成干净且无损害的表面的设备及方法。本发明的一个实施例提供一种系统,所述系统含有一清洁腔室,该清洁腔室适以在基板表面上形成外延层之前,将该基板表面暴露于等离子体清洁处理。在一个实施例中,使用一种方法以减少在清洁腔室中进行处理的基板的污染,该方法藉由在基板上执行清洁处理之前,于清洁腔室的内表面上沉积集除物质(gettering material)。集除物质会倾向捕捉在清洁腔室中的污染物,因而确保所处理的基板为干净的,且即将在清洁腔室中进行处理的基板也具有相同的期望的干净结果。在一个实施例中,于清洁腔室中的基板上重复执行氧化及蚀刻步骤,以在基板上暴露或产生干净表面,而接着可在此表面上设置外延薄膜。在一个实施例中,在蚀刻步骤过程中使用低能量等离子体。等离子体低能量可通过下列方式实现:降低基板RF偏压功率、调整跨越基板施加的偏压电位、将用于在处理腔室中产生等离子体的RF功率进行脉冲、脉冲基板RF偏压功率、形成含有轻(light)原子物种的等离子体、使用等离子体屏蔽以限制等离子体、调整基板相对于等离子体的处理位置和/或所述方式的组合。
清洁腔室
图1概要绘示了根据本发明的一个实施例的清洁腔室100的横截面侧视图。清洁腔室100为感应耦合等离子体处理腔室,所述清洁腔室100能够在处理区域122中清洁基板102。在一个实施例中,清洁腔室100为改良的去耦等离子体氮化(DPN)腔室,该改良的去耦等离子体氮化腔室可购自加州圣克拉拉的应用材料公司(Applied Material),该腔室利用感应耦合射频(RF)源。适以执行此处所述的一或多种处理的DPN腔室的详细描述可参见:美国专利No.6,660,659,专利名称为“Plasma Method and Apparatus for Processing aSubstrate”;以及美国专利No.7,122,454,专利名称为“Method for improvingnitrogen profile in plasma nitrided gate dielectric layers”,在此将所述专利的全文并入以做为参考。
清洁腔室100一般包括RF源组件191、处理腔室组件193及基板支撑组件194。处理腔室组件193通常包括多个用于在处理区域122中形成真空的部件,藉此可在该真空中执行等离子体处理。一般来说,处理腔室组件193包括密封地围绕处理区域122的腔室基座127、腔室壁128及腔室盖129。处理区域122可利用真空泵110抽气至期望的真空压力,而真空泵110穿过腔室基座127和/或腔室壁128而连接至处理区域122。一般来说,腔室壁128及腔室基座127可以由金属形成,例如铝或其它适合金属。
在一个实施例中,腔室壁128及腔室盖129可以为温控的。可使用常规方法和/或热交换装置来加热及冷却各个腔室部件。举例来说,可以藉由设置在处理腔室组件193外的加热器(未示出,例如为灯组)来加热腔室壁128及腔室盖129。在另一实施例中,可将冷却气体循环于处理腔室组件193外侧,藉以冷却腔室壁128及腔室盖129。在另一实施例中,嵌设在腔室壁128及腔室盖129中的加热和/或冷却导管可连接至流体加热器/冷却器装置以控制温度。可用于控制处理腔室组件193的方法及设备可参见美国专利NO.6,083,323,专利名称为“Method for Controlling the Temperature ofthe Walls of aReaction Chamber During Processing”,在此将所述专利并入以做为参考。
在一个实施例中,RF源组件191为感应型(inductive)RF源,该RF源组件191通常包含RF产生器108及RF匹配电路108A,而RF匹配电路108A连接至线圈109。线圈109邻近腔室盖129。在个一实施例中,RF产生器108可以在约400kHz~约60MHz的频率下以约0~约3000W操作。在一个实例中,RF产生器108以13.56MHz的频率操作。在一个实施例中,RF产生器108可以提供RF能量脉冲至线圈109,以产生具有降低的能量层级和/或等离子体密度的等离子体。
腔室盖129通常为介电部件(例如:石英、陶瓷物质(如:氧化铝)),该腔室盖129适以允许来自感应型RF源组件191的RF能量在处理区域122中形成等离子体。
在一个实施例中,处理腔室组件193也含有气体输送系统150,而该气体输送系统150适于将一或多种处理气体输送至处理区域122内,处理区域122由腔室基座127、腔室壁128及腔室盖129所界定。在一个实施例中,处理区域122的周围围绕有一或多个屏蔽130,而该些屏蔽130用于保护腔室壁128和/或腔室盖129免受所产生的等离子体以及在腔室中进行的准备处理的损害。在一个实施例中,气体输送系统150包括臭氧产生器,该臭氧产生器配置以产生含有高浓度臭氧(O3)的气流。在一个实施例中,气体输送系统适于输送反应性气体,例如含硅气体(例如硅烷)、含氢气体(例如H2)、含锗气体、含氯气体、含氧气体(例如O2)、三氟化氮(NF3)、含硼气体(例如二硼烷)和/或含磷气体(例如磷化氢)(仅列出少数)。在一个实施例中,气体输送系统适于输送惰性气体,例如氩气(Ar)、氦气(He)、氪气(Kr)和/或氮气(N2)。可通过调整由气体输送系统150输送的气体的流速以及真空泵110的抽气速率来控制处理区域122中的压力。节流阀111可用于调整真空泵110的抽气速率。
基板支撑组件194一般包括基板支撑件162,该基板支撑件162含有基板支撑构件162A。基板支撑构件162A可以为用于在处理过程中主动支托基板的常规静电吸座,或者是包括简单的基板支撑件。温度控制器161通常适于利用温度控制器161及热交换装置来加热和/或冷却基板支撑构件162A至一期望温度,热交换装置例如为嵌设的电阻加热组件或耦接至常规的热交换器的流体冷却通道(未示出)。在一个实施例中,温度控制器161适以操作并加热设置在基板支撑构件162A上的基板102至介于约20℃~约800℃的温度。
在处理过程中,基板支撑件162可以连接至RF产生器123,以便可以将RF偏压施加至设置在基板支撑件162的一部分中的导电组件,以将处理区域122中所形成的等离子体吸引至基板102的表面。在一个实施例中,RF产生器123适以在基板清洁处理过程的一或多个部分中于基板上产生阴极或阳极偏压以调整基板上所保有的电荷,和/或控制基板表面的离子及等离子体轰击量。在一个实施例中,基板支撑构件162A接地或者为DC(直流)偏压。在另一实施例中,基板支撑构件162A以及基板在等离子体处理过程中为电性浮置,以使得基板102的离子轰击损害降到最低。
参照图1,将来自RF产生器108的RF能量传送至处理区域122会导致处理区域122中的气体原子产生离子化。在清洁处理过程中,当基板暴露于处理区域122中所产生的等离子体时,基板102表面的污染会被击出,或是自表面解吸附(desorb),这是由等离子体中的离子化原子所传输的能量撞击基板102表面所致。在一个实施例中,由于透过基板支撑构件162A而施加至基板102的偏压,可以将等离子体中的离子化气体原子吸引至基板102的表面。
在一个实施例中,藉由RF产生器108而传送至线圈109的RF功率经脉冲以形成低能量等离子体。在一个实施例中,脉冲等离子体处理通常为藉由RF产生器108所作用的线圈109而以时间为函数的一系列传送至处理区域122的连续能量脉冲。将感应RF源进行脉冲,以激发处理区域122中的等离子体,这样会使得通常形成在常规等离子体处理腔室中的等离子体电位(plasma potential)对于基板表面所造成的损害量降至最低。使得对基板表面所造成的任何损害通过清洁处理而最小化或消除的需求,对于制备用于在其上形成外延层单晶基板来说是关键的。需要使对于基板表面的损害最小化,以减少在所形成的外延层中的缺陷数量及应力。因此,对感应RF源功率进行脉冲允许产生并维持低电子温度及低离子能量等离子体。一般来说,由脉冲RF感应等离子体所产生的离子,其所产生的离子具有低离子能量(例如<10eV),而不会对于设置在等离子体中的基板造成损害。可以适用并受益于本发明一或多个实施例的脉冲RF功率的方法的实例进一步讨论于共同受让的美国专利6,831,021(2003年6月12日申请),在此将该专利并入以做为参考。
图2A概要绘示了根据本发明另一实施例的清洁腔室100a的横截面侧视图。清洁腔室100a为电容耦合等离子体腔室。清洁腔室100a包括腔室盖129,该腔室盖129密封地耦接至处理腔室组件196并界定一处理区域133。在此配置中,腔室盖组件139包括气体分配板(也称作喷头)132及基座板131,该基座板131具有一基本平行于气体分配板132的阻隔板134。气体分配板132利用电绝缘体135而与腔室壁128隔离。腔室盖组件139连接至气体输送系统150。来自气体输送系统150的反应物和/或清洁气体可以通过气体信道136流入处理区域133。RF源组件191耦接至基座板131以提供用于等离子体产生的RF功率源。用于电容式(capacitive)等离子体产生的RF源通常包括一射频(RF)功率源,例如13.56MHz的RF产生器。在处理过程中,基板支撑构件162A可以接地。在基板支撑构件162A与基座板131之间的偏压电位可以点燃处理区域133中的等离子体。在等离子体中经激发的物种可以用于处理基板102。在处理过程中,基板支撑构件162A可以连接至RF产生器123,藉此,RF偏压可以施加至设置在部分基板支撑构件162A中的导电组件,以将已在处理区域122中产生的等离子体中存在的离子吸引至基板102表面。针对电容耦合等离子体反应器的更详细描述可以参见美国专利No.6,495,233,专利名称为“Apparatus for distributing gases in a chemical vapordeposition system”,在此将该专利并入以做为参考。
上述清洁腔室100和100a(图1和2)可以用于清洁半导体基板。更特定的说,本发明的清洁腔室100和100a可以用于对硅表面执行无损害的清洁。
在另一实施例中,清洁腔室可以使用微波能量源(未示出)来产生等离子体,而该等离子体可用于执行本发明所述的清洁处理。
清洁基板表面的方法
图3绘示了根据本发明一个实施例而用于清洁半导体基板的方法200的流程图。在一个实施例中,可以在上述清洁腔室100或100a中执行方法200。在一个实施例中,清洁处理通常提供一种使用等离子体清洁处理而形成干净且无损害的半导体基板表面的方法。
在步骤212,可再生(regenerate)清洁腔室(例如清洁腔室100或100a)的内表面。在一个实施例中,步骤212包括执行一蚀刻处理以移除清洁腔室的多个内表面上不期望存在的残留物质和/或污染。可执行常规溅射蚀刻和/或化学辅助蚀刻处理以再生清洁腔室的内表面,例如腔室壁或屏蔽130。
在一个实施例中,反应性气体的远程或原位等离子体可以用于移除清洁腔室的内表面上的污染。反应性气体可以选自广范围的气体,包括普遍使用的卤素及卤素化合物。举例来说,根据待移除的沉积物质,反应性气体可以为氯、氟或它们的化合物,例如三氟化氮(NF3)、四氟化碳(CF4)、六氟化硫(SF6)、六氟乙烷(C2F6)、四氯化碳(CCl4)、六氯乙烷(C2Cl6)或它们的组合。
在一个实施例中,可将诸如氩气、氮气、氦气、氢气或氧气等的载气系传送至清洁腔室的处理区域中,以帮助移除不期望存在的物种和/或协助蚀刻处理,或帮助起始及/或稳定清洁腔室中的等离子体。
在一个实施例中,可以将清洁气体传送至清洁腔室中,以蚀刻包括集除物质(将于下面讨论)的涂层,例如在清洁腔室的内表面上的硅(Si)。清洁气体可以包括加热的三氟化氮(NF3)、氯化氢(HCl)或它们的组合。在一个实施例中,常规的远程等离子体源(RPS)可以耦接至处理腔室的处理区域。RPS通常提供具有反应性的清洁剂,例如解离氟,所述反应性的清洁剂将沉积及其它处理的副产物自腔室部件移除,并利用真空泵110排出。
在步骤214,可使用遮盘或仿真基板(dummy substrate)覆盖住基板支撑构件(例如图1和2A的基板支撑构件162A)的顶表面。遮盘或仿真基板可以用于预防后续的沉积过程中(例如步骤216)在基板支撑构件上的任何沉积,藉此,待处理的基板不会与任何形成在清洁腔室内的涂层接触。覆盖住基板支撑构件也可防止当基板支撑构件为配置成在处理过程中支托基板的静电吸座时会产生的卡紧问题。
在步骤216,一个实施例中,可通过在一或多个清洁腔室部件,例如腔室壁128、屏蔽130、遮蔽环138、腔室盖129上沉积集除涂层而调理(condition)该部件。集除涂层可包括一或多种集除物质。术语“集除物质”通常是指在清洁处理之前或是清洁处理过程中用于固定和/或吸附(即,物理性吸附或化学性吸附)在清洁腔室中的任何杂质的任何物质。集除物质经选择以移除在清洁腔室中不期望存在的副产物,且不会衍生其它问题,例如新副产物的生成、产生微粒、RF功率的不期望损耗或是处理区域122中期望存在的物种的移除。在步骤216所形成的沉积集除涂层的厚度介于约
Figure BSA00000670146600091
~约1μm之间。在内表面上的涂层可用于减少或预防后续处理的基板的污染。涂层可包括纯硅物质(例如外延Si层、多晶Si层、非晶Si层)、含硅层、含锗层、和/或含有期望水平的一或多种常见掺质物质(例如硼(B)、磷(P))的硅或锗层,或它们的组合。相信由纯硅所形成的涂层对于硅基板上常见的多数污染具有强力的集除效应,而此硅基板上即将形成有外延层。硅的使用也可有利于使得微粒污染对于装置产量的效应最小化,而此微粒污染是由在经过清洁的基板表面上后续形成的外延层的金属污染所造成。在一个实例中,利用含硅烷(SiH4)的气体沉积集除涂层,将含硅烷的气体传送至处理腔室的处理区域,该处理区域的压力为约0.1~约5.0托(Torr),RF功率介于约200瓦~约2千瓦之间,而腔室部件温度维持在约200℃~约500℃。
在一个实施例中,可将配置为集除氧气的集除物质层沉积在清洁腔室的内表面上。在一个实施例中,涂层包括厚度为约
Figure BSA00000670146600092
~约1μm的硅(Si)层。可以使用典型的CVD或ALD型处理而沉积硅涂层,该些处理将含硅前驱物传送至设置在腔室的处理区域中的加热部件。用于接收集除物质的部件可以使用外部灯、嵌设的电阻加热组件来进行加热,和/或使用RF等离子体来进行加热。
于步骤216中所沉积的集除物质的涂层能够将清洁处理中产生的不期望存在物种固定、吸收或吸附。最终,随着活性表面被覆盖或是变得反应性较差,涂层的集除能力会降低。为了补偿此问题,可以藉由重复进行步骤212、214、216而在处理区域122的部件上形成集除物质的新涂层。在一个实施例中,可于清洁腔室中处理各个基板之前,重复进行步骤212、214、216。在另一实施例中,可于清洁腔室中处理多个基板之后,重复进行步骤212、214、216。
在沉积集除物质层之后,自清洁腔室中移除遮盘、仿真盘或仿真基板。接着,将待清洁的基板放置在清洁腔室中。由于清洁腔室一般保持在真空状态下,可使基板表面上存在的污染及微粒物质(例如,氧、碳、氟、硅和氯)解吸附或是移开,以便可以利用在清洁腔室的内表面上形成的涂层而集除它们。
接着,执行一或多个氧化及蚀刻步骤(于下面讨论)来清洁基板。氧化处理用于消耗掉基板表面上已污染或已损害的硅。接着移除所形成的氧化层以暴露出新鲜且干净的硅表面。氧化处理描述于步骤220,而蚀刻步骤则描述于步骤222。
在步骤220,将氧化剂传送至清洁腔室,以在被清洁的基板的顶部层上产生氧化物。在一个实施例中,氧化剂包括臭氧(O3),臭氧使得硅的氧化在相对低的温度下进行。在一个实施例中,将氧暴露于等离子体、紫外光(UV)能量或是等离子体与UV能量的组合,而在臭氧产生器中产生臭氧。在一个实施例中,设置UV灯145以在处理过程中,将能量传送至基板表面。在一个实施例中(未示出),设置UV灯以通过形成在腔室壁128其中之一的通口传送UV光。针对氧化方法的详细描述可以参见美国专利申请No.2006/0223315,专利名称为“Thermally Oxidizing Silicon Using Ozone”,以及美国专利申请No.2002/0115266,专利名称为“Method and a System For SealingAn Epitaxial Silicon Layer On A Substrate”,在此将两者并入以做为参考。
在一个实施例中,利用高温氧化处理而氧化基板表面。在此实例中,在基板支撑构件(例如图1的基板支撑构件162A)上加热基板至介于约400℃~约700℃之间。在氧化过程中,清洁腔室维持在低于基板的温度。举例来说,包括有气体输送路径的清洁腔室部件(例如壁、屏蔽)维持在小于400℃,或是基本上低于400℃。在一个实施例中,基板支撑构件/加热器维持在约700℃,而清洁腔室维持在约350℃。在一个实施例中,利用流经处理腔室壁中的通道的流体而对壁进行温度控制。
在步骤222,接着进行蚀刻处理以移除在步骤220所形成的氧化物。可以使用物理、化学或物理及化学蚀刻技术的组合而进行该蚀刻处理。
在化学蚀刻的实例中,可将蚀刻气体传送至清洁腔室,并点燃等离子体以产生会与基板上的物质发生化学反应的反应性物种。反应的挥发性副产物可以藉由连接至清洁腔室的真空系统移除,和/或利用在步骤216中形成在腔室部件表面上的涂层集除。蚀刻气体可包括氯、氟或是其它适于在步骤220中将形成在基板表面的氧化物移除的其它化合物。在一个实施例中,蚀刻气体包括三氟化氮(NF3)、氯离子(Cl-)以及载气(例如氩气)。
物理蚀刻的进行藉由产生用于提供激发物种的等离子体而执行,该激发物种用于轰击基板表面,以自基板表面物理性地移除物质。在部分实例中,期望向基板支撑件提供一偏压,以加速在等离子体中形成的离子朝向基板表面移动。轰击离子藉由溅射蚀刻作用而物理性地移除基板表面上的物质。一般期望基板表面的低能量物理性轰击以减少对于基板表面的硅晶格的损害量。低功率偏压可用于移除氧化层,并且使对于基板表面的损害最小化。常规干式蚀刻处理通常用于快速移除物质,而并不需考虑到等离子体辅助物质移除处理所产生的基板物质晶格损害。因为轰击离子的高能量和/或副产物的存在,所以并不期望在执行外延沉积步骤之前用常规溅射蚀刻技术来清洁基板。更特定的说,本发明的蚀刻处理包括调整在蚀刻处理过程中形成的离子的能量,以使得在步骤222中于基板表面暴露出的结晶物质的损害最小化。在一个实施例中,藉由在具有集除物质的腔室中使用低RF功率物质移除处理,步骤222所进行的物质移除处理会在基板上形成无损害且干净的表面,而这对于在后续的沉积处理步骤中确保形成高质量外延层是十分重要的。在一个实施例中,RF产生器123适于向设置在基板支撑件162中的导电组件传送约25瓦~约500瓦的平均RF偏压功率,以执行蚀刻处理。
在方法200的替代的实施例中,步骤216是在步骤220之后且在步骤222之前进行。在此替代的实施例的一方面中,步骤220及222在不同的腔室中进行,故在执行步骤222之前,不会在氧化基板的表面上沉积集除层(步骤216)。在使用单一腔室的该替代的实施例的另一方面中,步骤220在基板上执行,接着将基板自清洁腔室中移除,以便可以置入仿真基板以允许进行步骤216而不会将集除物质涂覆在氧化基板的表面上,接着将仿真基板移除,并将氧化基板重新置入而可进行步骤222。
图4概要绘示了清洁腔室300的部分截面侧视图,该侧视图大体绘示了用于进行物理蚀刻处理的机构。清洁腔室300具有界定出处理区域302的腔室主体301。可在基板支撑件304上而位于处理区域302中设置待清洁的基板303。线圈305设置在腔室主体301的上方部分的外侧,藉以在处理区域302的上方部分中产生等离子体308。可将RF源306连接至线圈305,以提供用于产生等离子体的RF能量。偏压源307可耦接至基板支撑件304,以向基板303和/或基板支撑件304提供偏压电位。可将在等离子体308中产生的活化物种309或离子310吸引至基板303的顶表面303A,以移除在顶表面303A上的物质。
在一个实施例中,可调整活化物种309和/或离子310的能量,藉此在物质移除的过程中,不会对顶表面303A造成物理性的损害。上述调整可藉由下列方式实现:降低基板RF偏压功率;调整跨越基板施加的偏压电位;将传送至等离子体产生部件(例如感应耦合装置(例如线圈)、电容耦合装置(例如喷头、微波源))的RF功率进行脉冲;脉冲基板RF偏压功率;形成在蚀刻气体中含有轻原子物种的等离子体;使用等离子体屏蔽以限制等离子体;调整基板相对于等离子体的处理位置;和/或上述的组合。
在一个实施例中,可以藉由使用传送至基板支撑件的较低的RF偏压功率来降低活化物种的能量。在一个实施例中,可将偏压源(例如图4中的偏压源307)的功率设定为约50瓦,以将基板顶表面上的二氧化硅移除。
在一个实施例中,可将偏压源(例如图4中的偏压源307)的电位调整为较少阴极性(less cathodic),以降低基板上的偏压。在一个实施例中,可省略偏压源,且基板设置在接地的基板支撑件上。在另一实施例中,可施加逆向偏压(reversed bias),藉以对等离子体中的离子及反应性离子物种施加排斥力。举例来说,当清洁绝缘层上覆硅(silicon-on-insulator)基板时,可以使用逆向偏压。
在一个实施例中,可藉由脉冲RF源和/或基板偏压源来降低等离子体能量。可以藉由调整传送至等离子体产生部件(例如,线圈、喷头、微波源)的RF脉冲的占空比(duty cycle)来控制能量降低的程度。脉冲该RF源会降低由RF源产生的等离子体中的活化物种的密度。在一个实施例中,RF源经脉冲以在清洁处理过程中维持清洁腔室中的低能量等离子体。脉冲该RF源会降低在等离子体处理区域中的总等离子体及活化物种密度,因而降低轰击物种的能量及数量,以避免对基板造成损害。针对脉冲等离子体处理的详细讨论可参照美国专利申请序号NO.11/614,019(代理人案号No.APPM10983),于2007年12月20日申请,专利名称为“Method and Apparatus forFabricating a High Dielectric Constant Transistor Gate Using a Low EnergyPlasma Apparatus”,在此将所述专利申请并入以做为参考。
在一个实施例中,蚀刻气体包括一或多种较轻的物种,所述较轻的物种用于产生低能量等离子体,以使得由物理蚀刻处理而在基板表面上产生的任何损害降低或最小化。在一个实施例中,较轻的气体物种,例如氦气(He)、氖气(Ne)、氢气(H2)或它们的组合,可以添加至包含有其它处理气体(例如氩气(Ar))的蚀刻气体中。在一个实施例中,蚀刻气体包括氩气和氦气。在另一实施例中,蚀刻气体实质包括氩气、氦气和氢气。在另一实施例中,蚀刻气体包括氩气和氢气。在另一实施例中,蚀刻气体包括氩气和氮气。在又另一实施例中,蚀刻气体实质包括氦气(He)、氖气(Ne)或氢气(H2)。
在另一实施例中,等离子体屏蔽140(图2B)在处理过程中设置在基板表面附近。图2B概要绘示了清洁腔室100的一个实施例的横截面侧视图,而该清洁腔室100包含一等离子体屏蔽140。等离子体屏蔽用于使得基板表面附近的轰击物种的数量和/或能量降低或最小化。等离子体屏蔽140为经穿孔的或是多孔的物质,所述等离子体屏蔽140允许部分的等离子体和/或处理气体在处理过程中通过。在一个实施例中,穿孔为穿过等离子体屏蔽的多个孔洞141。在一个实施例中,等离子体屏蔽由介电材料制成,或是涂覆有介电材料,而所述介电材料与等离子体及处理气体兼容(例如,石英、SiO2)。在一个实施例中,等离子体屏蔽由与腔室其它部件相同的材料制成,例如制成腔室盖129或腔室壁128的材料。在一个实施例中,等离子体屏蔽由选自由硅、钇、氧化钇、锗、硼、磷及硅锗化合物所组成组的材料制成。
在另一实施例中,可调整被处理的基板与清洁腔室中所产生的等离子体的相对位置,以调整等离子体中的离子或活化物种对基板表面轰击的量。相似的调整方式描述于共同受让的美国专利申请No.2006/0105114,专利名称为“Multi-Layer High Quality Gate Dielectric for Low-Temperature Poly-SiliconTFTs”,在此将该专利申请并入以做为参考。
回到图3,步骤222的示范性蚀刻处理可以在类似于图1的清洁腔室100的清洁腔室中进行,以移除在基板的顶表面上形成的氧化硅。在处理过程中,腔室压力维持在约1mTorr~约1Torr。在一个实施例中,腔室压力维持在约20mTorr~约800mTorr。可向处理区域提供包括氦气及氩气的蚀刻气体。在一个实施例中,腔室压力为约5mTorr~约20mTorr,且蚀刻气体主要包括氦气。也可将被处理的基板加热至高达约700℃。清洁腔室可以维持在约20℃~约400℃。在一个实施例中,腔室维持在约30℃的温度。相信将腔室壁维持在较低温度下可以协助减少腔室壁的腐蚀。
回到图3,可以重复步骤220、222一或多次直到基板干净为止。一旦清洁完基板表面,则接着在经过清洁的基板表面上进行步骤224和/或步骤226。
在步骤224,在经过清洁的基板上执行钝化处理,以便在后续外延沉积处理前基板会保持干净。在一个实施例中,钝化处理包括流入钝化气体并产生钝化气体的等离子体。在一个实施例中,钝化气体包括具有稀释浓度的氢气(H2),所述钝化气体利用氢来终结(terminate)经过清洁的硅表面。在一个实施例中,钝化处理包括传送包含约1%氢气的含氢气体,且同时基板维持在约50~500℃的温度。
在步骤226,于外延腔室中,在经过清洁的基板上生长外延硅层。为了使用CVD工艺生长硅外延层,基板放置在设定于升高温度(例如约500℃~800℃)以及降低压力状态或大气压力下的外延腔室中。在维持于升高温度及降低压力状态下的同时,将含硅气体(例如单硅烷气体或二氯硅烷气体)供应至外延腔室中,并利用气相生长以生长硅外延层,而形成具有与基板(半导体层生长在该基板上)相同的结晶取向的半导体层。该工艺可以在压力介于约0.1Torr~约760Torr之间操作。用于沉积含硅薄膜的硬件包括购自加州圣克拉拉的应用材料公司(Applied Materials,Inc.)的Epi Centura RTM系统以及Poly Gen RTM系统。针对外延腔室的详细描述可参照美国专利申请序号No.11/767,619,专利名称为“Modular CVD EPI 300mm Reactor”,于2007年6月25日申请,在此将所述专利申请并入以做为参考。
方法200可以在包括有清洁腔室及外延腔室的群集式工具(cluster tool)中进行。在常规配置中,基板在进行常规的清洁处理(例如湿式清洁处理)之后,必须等待一段时间,然后才可进入外延沉积腔室以形成外延层。等待的过程会影响基板表面的洁净度,因而对晶圆与晶圆之间的处理结果造成影响。在一个实施例中,安排完成步骤224以及后续外延层沉积处理(即,步骤226)之间的时间,以便基板可以在完成钝化处理之后立即转移至外延腔室。使用控制处理时间或时间安排的控制器147可以增进处理的可重复性及装置产量。在一个实施例中,可以于步骤224之前加入排队步骤(queuingstep),以排除钝化之后的等待。在另一实施例中,直到控制器147确认外延沉积室已准备好在步骤222和/或步骤224完成时接收基板之后,才会开始步骤222和/或步骤224。
在一个实施例中,步骤220在第一清洁腔室100中进行,而步骤222在第二清洁腔室100中进行,以减少步骤220与步骤222对彼此之间的影响。在一个实施例中,第一清洁腔室100可进行步骤212~220,而第二清洁腔室100可进行步骤212~216及步骤222~224。
控制器147一般设计成促进第一清洁腔室100及系统400(图5)的控制及自动化操作,并典型地包括中央处理单元(CPU)(未示出)、内存(未示出)及支持电路(或I/O)(未示出)。CPU可以为任一形式的计算机处理器,并可用于控制各腔室工艺及硬件(例如:监测器、马达、流体输送硬件等)的工业设定,并监控系统及腔室工艺(例如:基板位置、处理时间、监测器信号等)。内存连接至CPU,并可以为一或多种易于存取的内存,例如随机存取内存(RAM)、只读存储器(ROM)、软盘、硬盘或是其它任何形式的数字存储器,且可为本地的或是远程的。软件指令及数据可经过编码并储存在内存中,以提供CPU指令。支持电路也以常规方式连接至CPU以支持处理器。支持电路可以包括高速缓冲存储器(cache)、电源供应、时钟电路、输入/输出电路、子系统(subsystem)等。可以由控制器147所读取的程序(或计算机指令)决定可在基板上执行何种任务。优选地,程序为可以由控制器147读取的软件,所述程序包括用于产生及储存至少有工艺配方顺序、基板位置信息、多个受控部件的移动顺序、工艺控制、工艺时间、时间安排、排队步骤及它们的任何组合的编码。
群集式工具
图5绘示了根据本发明一个实施例的用于半导体处理的群集式工具400的平面视图。群集式工具为一模块式的系统,所述系统包括多个腔室,以在半导体制造过程中执行多项功能。群集式工具400包括中央传输室401,该中央传输室401透过一对加载锁定真空室405(load-lock chamber)而连接至前端环境404。工厂界面机械手408A、408B设置在前端环境404中,并配置以将基板传送于加载锁定真空室405及多个装设在前端环境404的舱(pod)403之间。
多个腔室407、408、409及410装设至中央传输室401以执行期望的工艺。中央机械手406设置在中央传输室401中,并配置以将基板传送于加载锁定真空室405及腔室407、408、409、410之间,或是腔室407、408、409、410之间。
在一个实施例中,群集式工具400包括清洁腔室(例如图1的清洁腔室100)以及二个外延腔室407、408。腔室410可以为清洁腔室,例如图1所述的清洁腔室100。腔室410配置以在外延生长工艺之前用于清洁基板。腔室407、408为能够进行外延生长工艺的外延腔室。示范性的外延腔室描述于美国专利申请序号NO.11/767,619(代理人案号No.10394),专利名称为“Modular CVD EPI 300mm Reactor”,于2007年6月25日申请,在此将所述专利申请并入以做为参考。
群集式工具400可以用于执行上述方法200。在处理过程中,待处理的基板到达群集式工具400的舱403。接着利用工厂界面机械手408A或408B而将基板由舱403传送至真空兼容的加载锁定真空室405。接着传输室401中的中央机械手406拾取基板,而传输室401一般保持在真空状态下。中央机械手406将基板装载至清洁腔室410中,而清洁腔室410的内表面已按方法200的步骤212、214、216所述进行再生并涂覆。可以在清洁腔室410中对基板进行包括方法200的步骤220、222、224的清洁处理。中央机械手406接着自清洁腔室410拾取基板,并将该基板装载至外延腔室407或408(视可利用的腔室而定)。在外延腔室407或408中于已经过清洁的基板上生长外延层。
在一个实施例中,配置群集式工具400而包含有位于腔室409及腔室410的位置(图5)的二个清洁腔室100(或100a),和位于腔室407或腔室408的位置的二个外延腔室。如上所提及,在此配置中,于外延腔室407或408中进行外延层沉积步骤226之前,期望在一个清洁腔室(例如腔室410)中执行步骤220,并且在另一个清洁腔室(例如腔室409)中执行步骤222及224。
在另一实施例中,群集式工具包括等离子体浸没离子注入(P3I)腔室。举例来说,腔室409为P3I腔室,该P3I腔室配置以将一或多种掺质注入基板上的外延层中。示范性的P3I腔室描述于美国专利NO.6,939,434,专利名称为“Externally Excited Torroidal Plasma Source with Magnetic Control of IonDistribution”,以及美国专利No.6,893,907号,专利名称为“Fabrication ofSilicon-on-Insulator Structure Using Plasma Immersion Ion Implantation”,在此皆将所述专利并入以做为参考。
本发明虽然以较佳实施例说明如上,然而所述实施例并非用以限定本发明,任何熟练技术人员,在不脱离本发明的精神和范围内所作的修改与修饰,仍应属本发明的技术范畴,而本发明的技术范畴由下附权利要求所界定。

Claims (25)

1.一种处理半导体基板的方法,包括:
将基板放置在处理腔室的处理区域内;
将设置在所述处理腔室中的基板的表面暴露于含氧气体中以在所述表面上形成第一含氧层;
自所述基板的至少一部分表面移除所述第一含氧层的至少一部分;以及
将所述基板的所述表面暴露于含氧气体中以在所述表面上形成第二含氧层。
2.根据权利要求1所述的方法,其中形成所述第一含氧层、移除所述第一含氧层的至少一部分以及形成所述第二含氧层全部是在所述处理腔室中执行。
3.根据权利要求1所述的方法,进一步包括:
自所述基板的表面移除所述第二含氧层的至少一部分;以及
在所述基板的至少一部分表面上沉积外延层。
4.根据权利要求3所述的方法,进一步包括:
自所述基板的表面移除所述第二含氧层的至少一部分,其中形成所述第一含氧层、移除所述第一含氧层的至少一部分、形成所述第二含氧层以及移除所述第二含氧层的至少一部分都是在将所述基板自所述处理腔室移除之前执行。
5.根据权利要求1所述的方法,进一步包括:
在将所述基板放置在所述处理区域之前,在设置在所述处理腔室的处理区域中的腔室部件的表面上形成集除层。
6.根据权利要求5所述的方法,其中所述集除层包括选自由硅和锗所组成组的材料。
7.根据权利要求1所述的方法,其中所述含氧气体包括氧气。
8.根据权利要求1所述的方法,其中所述含氧气体包括臭氧。
9.根据权利要求1所述的方法,其中形成所述第一含氧层或形成所述第二含氧层包括将所述基板暴露于紫外光。
10.一种处理半导体基板的方法,包括:
将基板放置在处理腔室的处理区域内;
将设置在所述处理腔室中的基板的表面暴露于含氧气体中以在所述表面上形成第一含氧层;
自所述基板的至少一部分表面移除所述第一含氧层的至少一部分;以及
将所述基板的所述表面暴露于含氧气体中以在所述表面上形成第二含氧层,其中形成所述第一含氧层、移除所述第一含氧层的至少一部分以及形成所述第二含氧层全部是在所述处理腔室中执行。
11.根据权利要求10所述的方法,进一步包括:
自所述基板的表面移除所述第二含氧层的至少一部分;以及
在所述基板的至少一部分表面上沉积外延层。
12.根据权利要求10所述的方法,进一步包括:
在将所述基板放置在处理区域之前,在设置在所述处理腔室的处理区域中的腔室部件的表面上形成集除层。
13.根据权利要求10所述的方法,其中所述含氧气体包括氧气。
14.根据权利要求10所述的方法,其中所述含氧气体包括臭氧。
15.根据权利要求10所述的方法,其中形成所述第一含氧层或形成所述第二含氧层包括将所述基板暴露于紫外光。
16.一种处理半导体基板的方法,包括:
将基板放置在处理腔室的处理区域内;
通过将设置在所述处理腔室的基板的表面暴露于含氧气体中以在所述基板上形成第一氧化层来氧化所述基板的表面;
自所述基板的至少一部分表面移除所述第一氧化层的至少一部分;以及
再氧化所述基板的表面以在所述基板的所述表面上形成第二氧化层,其中形成所述第一氧化层、移除所述第一氧化层的至少一部分以及再氧化所述表面全部是在所述处理腔室中执行。
17.根据权利要求16所述的方法,其中形成所述第一氧化层或形成所述第二氧化层包括将所述基板暴露于紫外光。
18.根据权利要求17所述的方法,其中所述含氧气体包括氧气。
19.权利要求17所述的方法,其中所述含氧气体包括臭氧。
20.根据权利要求17所述的方法,进一步包括:
自所述基板的表面移除所述第二氧化层的至少一部分;以及
在所述基板的至少一部分表面上沉积外延层。
21.一种处理基板的装置,包括:
低能量清洁腔室,所述低能量清洁腔室包括:
形成处理区域的一或多个壁;
适于传送电磁能至所述处理区域的等离子体产生源;
适于传送含硅气体或含锗气体至所述处理区域的第一气源;
适于传送氧化气体至所述处理区域的第二气源;
适于传送蚀刻气体至所述处理区域的蚀刻气体源;以及
基板支撑件,具有基板支撑表面、适于被RF功率源所偏压的偏压电极以及用于控制基板支撑表面温度的基板支撑件热交换装置;
外延层沉积腔室,包括:
形成处理区域的一或多个壁;以及
适于传送含硅气体至所述处理区域的第一气源;
传输室,所述传输室具有围出传输区域的一或多个壁以及所述传输室具有适于在低能量清洁腔室中的第一位置和外延层沉积腔室中的第一位置之间传输基板的机械手。
22.根据权利要求21所述的装置,进一步包括设置在所述基板支撑表面和在处理区域中产生的等离子体的实质部分之间的屏蔽,其中所述屏蔽中形成有多个孔洞并且所述屏蔽是由选自由硅、钇、氧化钇、锗、硼、磷及硅锗组成的组的材料形成。
23.根据权利要求21所述的装置,其中自所述第二气体源传送的所述蚀刻气体包括氦气、氢气或氖气。
24.根据权利要求21所述的装置,进一步包括紫外光源,所述紫外光源被设置为将一或多种波长的紫外光传送给设置在所述基板支撑表面上的基板。
25.根据权利要求21所述的装置,其中所述等离子体产生源适于将多种RF能量脉冲传送给所述处理区域。
CN201210032669.XA 2007-07-26 2008-07-08 清洁基板表面的方法和设备 Expired - Fee Related CN102569136B (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US95223007P 2007-07-26 2007-07-26
US60/952,230 2007-07-26
US12/146,177 US8008166B2 (en) 2007-07-26 2008-06-25 Method and apparatus for cleaning a substrate surface
US12/146,177 2008-06-25
CN2008801005838A CN101765900B (zh) 2007-07-26 2008-07-08 清洁基板表面的方法和设备

Related Parent Applications (1)

Application Number Title Priority Date Filing Date
CN2008801005838A Division CN101765900B (zh) 2007-07-26 2008-07-08 清洁基板表面的方法和设备

Publications (2)

Publication Number Publication Date
CN102569136A true CN102569136A (zh) 2012-07-11
CN102569136B CN102569136B (zh) 2015-08-19

Family

ID=40281712

Family Applications (2)

Application Number Title Priority Date Filing Date
CN201210032669.XA Expired - Fee Related CN102569136B (zh) 2007-07-26 2008-07-08 清洁基板表面的方法和设备
CN2008801005838A Expired - Fee Related CN101765900B (zh) 2007-07-26 2008-07-08 清洁基板表面的方法和设备

Family Applications After (1)

Application Number Title Priority Date Filing Date
CN2008801005838A Expired - Fee Related CN101765900B (zh) 2007-07-26 2008-07-08 清洁基板表面的方法和设备

Country Status (6)

Country Link
US (3) US8008166B2 (zh)
JP (2) JP2010534935A (zh)
KR (3) KR101087925B1 (zh)
CN (2) CN102569136B (zh)
TW (2) TWI455194B (zh)
WO (1) WO2009014894A1 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107919298A (zh) * 2016-10-08 2018-04-17 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
CN110249417A (zh) * 2017-02-10 2019-09-17 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
CN111279453A (zh) * 2017-08-25 2020-06-12 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备

Families Citing this family (523)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7371467B2 (en) 2002-01-08 2008-05-13 Applied Materials, Inc. Process chamber component having electroplated yttrium containing coating
DE102006051550B4 (de) * 2006-10-30 2012-02-02 Fhr Anlagenbau Gmbh Verfahren und Vorrichtung zum Strukturieren von Bauteilen unter Verwendung eines Werkstoffs auf der Basis von Siliziumoxid
KR100954116B1 (ko) * 2006-11-06 2010-04-23 주식회사 하이닉스반도체 반도체 소자의 리세스패턴 형성방법
US8008166B2 (en) 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface
JP5665289B2 (ja) * 2008-10-29 2015-02-04 株式会社日立国際電気 半導体装置の製造方法、基板処理方法および基板処理装置
US10378106B2 (en) 2008-11-14 2019-08-13 Asm Ip Holding B.V. Method of forming insulation film by modified PEALD
TWI449092B (zh) * 2009-01-08 2014-08-11 United Microelectronics Corp 半導體機台的清潔方法
JP5350043B2 (ja) * 2009-03-31 2013-11-27 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US9394608B2 (en) 2009-04-06 2016-07-19 Asm America, Inc. Semiconductor processing reactor and components thereof
US8110889B2 (en) * 2009-04-28 2012-02-07 Applied Materials, Inc. MOCVD single chamber split process for LED manufacturing
US8802201B2 (en) 2009-08-14 2014-08-12 Asm America, Inc. Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species
FR2949237B1 (fr) * 2009-08-24 2011-09-30 Ecole Polytech Procede de nettoyage de la surface d'un substrat de silicium
EP2390906A1 (en) * 2010-05-26 2011-11-30 Applied Materials, Inc. Apparatus and method for electrostatic discharge (ESD) reduction
US9324576B2 (en) 2010-05-27 2016-04-26 Applied Materials, Inc. Selective etch for silicon films
WO2012018473A2 (en) * 2010-08-04 2012-02-09 Applied Materials, Inc. Method of removing contaminants and native oxides from a substrate surface
US8926788B2 (en) * 2010-10-27 2015-01-06 Lam Research Ag Closed chamber for wafer wet processing
US8741778B2 (en) 2010-12-14 2014-06-03 Applied Materials, Inc. Uniform dry etch in two stages
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8465811B2 (en) * 2011-01-28 2013-06-18 Asm Japan K.K. Method of depositing film by atomic layer deposition with pulse-time-modulated plasma
US8771539B2 (en) 2011-02-22 2014-07-08 Applied Materials, Inc. Remotely-excited fluorine and water vapor etch
US8999856B2 (en) 2011-03-14 2015-04-07 Applied Materials, Inc. Methods for etch of sin films
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9512520B2 (en) * 2011-04-25 2016-12-06 Applied Materials, Inc. Semiconductor substrate processing system
US8758638B2 (en) * 2011-05-10 2014-06-24 Applied Materials, Inc. Copper oxide removal techniques
US9312155B2 (en) 2011-06-06 2016-04-12 Asm Japan K.K. High-throughput semiconductor-processing apparatus equipped with multiple dual-chamber modules
US9793148B2 (en) 2011-06-22 2017-10-17 Asm Japan K.K. Method for positioning wafers in multiple wafer transport
US10364496B2 (en) 2011-06-27 2019-07-30 Asm Ip Holding B.V. Dual section module having shared and unshared mass flow controllers
US10854498B2 (en) 2011-07-15 2020-12-01 Asm Ip Holding B.V. Wafer-supporting device and method for producing same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US8771536B2 (en) 2011-08-01 2014-07-08 Applied Materials, Inc. Dry-etch for silicon-and-carbon-containing films
US8679982B2 (en) 2011-08-26 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and oxygen
US8679983B2 (en) 2011-09-01 2014-03-25 Applied Materials, Inc. Selective suppression of dry-etch rate of materials containing both silicon and nitrogen
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
US9250178B2 (en) * 2011-10-07 2016-02-02 Kla-Tencor Corporation Passivation of nonlinear optical crystals
US8808563B2 (en) 2011-10-07 2014-08-19 Applied Materials, Inc. Selective etch of silicon by way of metastable hydrogen termination
JP2013093489A (ja) * 2011-10-27 2013-05-16 Mitsubishi Electric Corp 半導体装置の製造方法
US9017481B1 (en) 2011-10-28 2015-04-28 Asm America, Inc. Process feed management for semiconductor substrate processing
WO2013070436A1 (en) 2011-11-08 2013-05-16 Applied Materials, Inc. Methods of reducing substrate dislocation during gapfill processing
US8946830B2 (en) 2012-04-04 2015-02-03 Asm Ip Holdings B.V. Metal oxide protective layer for a semiconductor device
US8853070B2 (en) * 2012-04-13 2014-10-07 Oti Lumionics Inc. Functionalization of a substrate
US9698386B2 (en) * 2012-04-13 2017-07-04 Oti Lumionics Inc. Functionalization of a substrate
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9558931B2 (en) 2012-07-27 2017-01-31 Asm Ip Holding B.V. System and method for gas-phase sulfur passivation of a semiconductor surface
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9659799B2 (en) 2012-08-28 2017-05-23 Asm Ip Holding B.V. Systems and methods for dynamic semiconductor process scheduling
US9021985B2 (en) 2012-09-12 2015-05-05 Asm Ip Holdings B.V. Process gas management for an inductively-coupled plasma deposition reactor
US9034770B2 (en) 2012-09-17 2015-05-19 Applied Materials, Inc. Differential silicon oxide etch
US9023734B2 (en) 2012-09-18 2015-05-05 Applied Materials, Inc. Radical-component oxide etch
US9390937B2 (en) 2012-09-20 2016-07-12 Applied Materials, Inc. Silicon-carbon-nitride selective etch
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US9324811B2 (en) 2012-09-26 2016-04-26 Asm Ip Holding B.V. Structures and devices including a tensile-stressed silicon arsenic layer and methods of forming same
US10714315B2 (en) 2012-10-12 2020-07-14 Asm Ip Holdings B.V. Semiconductor reaction chamber showerhead
US8765574B2 (en) 2012-11-09 2014-07-01 Applied Materials, Inc. Dry etch process
US8969212B2 (en) 2012-11-20 2015-03-03 Applied Materials, Inc. Dry-etch selectivity
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9064816B2 (en) 2012-11-30 2015-06-23 Applied Materials, Inc. Dry-etch for selective oxidation removal
US20140151331A1 (en) * 2012-12-05 2014-06-05 Applied Materials, Inc. Deposition shield for plasma enhanced substrate processing
US9111877B2 (en) 2012-12-18 2015-08-18 Applied Materials, Inc. Non-local plasma oxide etch
US8921234B2 (en) 2012-12-21 2014-12-30 Applied Materials, Inc. Selective titanium nitride etching
US9640416B2 (en) 2012-12-26 2017-05-02 Asm Ip Holding B.V. Single-and dual-chamber module-attachable wafer-handling chamber
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9040422B2 (en) 2013-03-05 2015-05-26 Applied Materials, Inc. Selective titanium nitride removal
US8801952B1 (en) 2013-03-07 2014-08-12 Applied Materials, Inc. Conformal oxide dry etch
US10170282B2 (en) 2013-03-08 2019-01-01 Applied Materials, Inc. Insulated semiconductor faceplate designs
US9589770B2 (en) 2013-03-08 2017-03-07 Asm Ip Holding B.V. Method and systems for in-situ formation of intermediate reactive species
US9484191B2 (en) 2013-03-08 2016-11-01 Asm Ip Holding B.V. Pulsed remote plasma method and system
US20140271097A1 (en) 2013-03-15 2014-09-18 Applied Materials, Inc. Processing systems and methods for halide scavenging
US8895449B1 (en) 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9114438B2 (en) 2013-05-21 2015-08-25 Applied Materials, Inc. Copper residue chamber clean
US9493879B2 (en) 2013-07-12 2016-11-15 Applied Materials, Inc. Selective sputtering for pattern transfer
US8993054B2 (en) 2013-07-12 2015-03-31 Asm Ip Holding B.V. Method and system to reduce outgassing in a reaction chamber
US9018111B2 (en) 2013-07-22 2015-04-28 Asm Ip Holding B.V. Semiconductor reaction chamber with plasma capabilities
US9683308B2 (en) 2013-08-09 2017-06-20 Applied Materials, Inc. Method and apparatus for precleaning a substrate surface prior to epitaxial growth
US9793115B2 (en) 2013-08-14 2017-10-17 Asm Ip Holding B.V. Structures and devices including germanium-tin films and methods of forming same
US9443728B2 (en) 2013-08-16 2016-09-13 Applied Materials, Inc. Accelerated relaxation of strain-relaxed epitaxial buffers by use of integrated or stand-alone thermal processing
US9773648B2 (en) 2013-08-30 2017-09-26 Applied Materials, Inc. Dual discharge modes operation for remote plasma
US8956980B1 (en) 2013-09-16 2015-02-17 Applied Materials, Inc. Selective etch of silicon nitride
US9240412B2 (en) 2013-09-27 2016-01-19 Asm Ip Holding B.V. Semiconductor structure and device and methods of forming same using selective epitaxial process
US9556516B2 (en) 2013-10-09 2017-01-31 ASM IP Holding B.V Method for forming Ti-containing film by PEALD using TDMAT or TDEAT
US8951429B1 (en) 2013-10-29 2015-02-10 Applied Materials, Inc. Tungsten oxide processing
US9236265B2 (en) 2013-11-04 2016-01-12 Applied Materials, Inc. Silicon germanium processing
US9576809B2 (en) 2013-11-04 2017-02-21 Applied Materials, Inc. Etch suppression with germanium
US9520303B2 (en) 2013-11-12 2016-12-13 Applied Materials, Inc. Aluminum selective etch
US10179947B2 (en) 2013-11-26 2019-01-15 Asm Ip Holding B.V. Method for forming conformal nitrided, oxidized, or carbonized dielectric film by atomic layer deposition
US9245762B2 (en) 2013-12-02 2016-01-26 Applied Materials, Inc. Procedure for etch rate consistency
US9117855B2 (en) 2013-12-04 2015-08-25 Applied Materials, Inc. Polarity control for remote plasma
US9287095B2 (en) 2013-12-17 2016-03-15 Applied Materials, Inc. Semiconductor system assemblies and methods of operation
US9263278B2 (en) 2013-12-17 2016-02-16 Applied Materials, Inc. Dopant etch selectivity control
US9190293B2 (en) 2013-12-18 2015-11-17 Applied Materials, Inc. Even tungsten etch for high aspect ratio trenches
KR101455263B1 (ko) 2014-01-06 2014-10-31 연세대학교 산학협력단 기판의 산화물 제거 방법 및 이를 이용한 반도체 소자 제조 방법
US9287134B2 (en) 2014-01-17 2016-03-15 Applied Materials, Inc. Titanium oxide etch
US9396989B2 (en) 2014-01-27 2016-07-19 Applied Materials, Inc. Air gaps between copper lines
US9293568B2 (en) 2014-01-27 2016-03-22 Applied Materials, Inc. Method of fin patterning
US9385028B2 (en) 2014-02-03 2016-07-05 Applied Materials, Inc. Air gap process
US10683571B2 (en) 2014-02-25 2020-06-16 Asm Ip Holding B.V. Gas supply manifold and method of supplying gases to chamber using same
US9499898B2 (en) 2014-03-03 2016-11-22 Applied Materials, Inc. Layered thin film heater and method of fabrication
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
US10167557B2 (en) 2014-03-18 2019-01-01 Asm Ip Holding B.V. Gas distribution system, reactor including the system, and methods of using the same
US9447498B2 (en) 2014-03-18 2016-09-20 Asm Ip Holding B.V. Method for performing uniform processing in gas system-sharing multiple reaction chambers
US11015245B2 (en) 2014-03-19 2021-05-25 Asm Ip Holding B.V. Gas-phase reactor and system having exhaust plenum and components thereof
US9299537B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9299538B2 (en) 2014-03-20 2016-03-29 Applied Materials, Inc. Radial waveguide systems and methods for post-match control of microwaves
US9136273B1 (en) 2014-03-21 2015-09-15 Applied Materials, Inc. Flash gate air gap
US9903020B2 (en) 2014-03-31 2018-02-27 Applied Materials, Inc. Generation of compact alumina passivation layers on aluminum plasma equipment components
US9269590B2 (en) 2014-04-07 2016-02-23 Applied Materials, Inc. Spacer formation
US9404587B2 (en) 2014-04-24 2016-08-02 ASM IP Holding B.V Lockout tagout for semiconductor vacuum valve
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9847289B2 (en) 2014-05-30 2017-12-19 Applied Materials, Inc. Protective via cap for improved interconnect performance
US9378969B2 (en) 2014-06-19 2016-06-28 Applied Materials, Inc. Low temperature gas-phase carbon removal
US9406523B2 (en) 2014-06-19 2016-08-02 Applied Materials, Inc. Highly selective doped oxide removal method
US9425058B2 (en) 2014-07-24 2016-08-23 Applied Materials, Inc. Simplified litho-etch-litho-etch process
US10858737B2 (en) 2014-07-28 2020-12-08 Asm Ip Holding B.V. Showerhead assembly and components thereof
US9653282B2 (en) 2014-07-29 2017-05-16 Applied Materials, Inc. Silicon-containing substrate cleaning procedure
US9496167B2 (en) 2014-07-31 2016-11-15 Applied Materials, Inc. Integrated bit-line airgap formation and gate stack post clean
US9159606B1 (en) 2014-07-31 2015-10-13 Applied Materials, Inc. Metal air gap
US9378978B2 (en) 2014-07-31 2016-06-28 Applied Materials, Inc. Integrated oxide recess and floating gate fin trimming
US9543180B2 (en) 2014-08-01 2017-01-10 Asm Ip Holding B.V. Apparatus and method for transporting wafers between wafer carrier and process tool under vacuum
US9165786B1 (en) 2014-08-05 2015-10-20 Applied Materials, Inc. Integrated oxide and nitride recess for better channel contact in 3D architectures
US9659753B2 (en) 2014-08-07 2017-05-23 Applied Materials, Inc. Grooved insulator to reduce leakage current
US9553102B2 (en) 2014-08-19 2017-01-24 Applied Materials, Inc. Tungsten separation
US9890456B2 (en) 2014-08-21 2018-02-13 Asm Ip Holding B.V. Method and system for in situ formation of gas-phase compounds
US9355856B2 (en) 2014-09-12 2016-05-31 Applied Materials, Inc. V trench dry etch
US9368364B2 (en) 2014-09-24 2016-06-14 Applied Materials, Inc. Silicon etch process with tunable selectivity to SiO2 and other materials
US9478434B2 (en) 2014-09-24 2016-10-25 Applied Materials, Inc. Chlorine-based hardmask removal
US9613822B2 (en) 2014-09-25 2017-04-04 Applied Materials, Inc. Oxide etch selectivity enhancement
US9657845B2 (en) 2014-10-07 2017-05-23 Asm Ip Holding B.V. Variable conductance gas distribution apparatus and method
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
TWI607492B (zh) * 2014-11-14 2017-12-01 漢辰科技股份有限公司 離子佈植過程中控制壓力的方法及其離子佈植裝置
KR102300403B1 (ko) 2014-11-19 2021-09-09 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US9299583B1 (en) 2014-12-05 2016-03-29 Applied Materials, Inc. Aluminum oxide selective etch
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
KR102263121B1 (ko) 2014-12-22 2021-06-09 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 및 그 제조 방법
US9502258B2 (en) 2014-12-23 2016-11-22 Applied Materials, Inc. Anisotropic gap etch
US9474163B2 (en) * 2014-12-30 2016-10-18 Asm Ip Holding B.V. Germanium oxide pre-clean module and process
US9343272B1 (en) 2015-01-08 2016-05-17 Applied Materials, Inc. Self-aligned process
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
KR20160090698A (ko) * 2015-01-22 2016-08-01 주식회사 엘지실트론 에피택셜 웨이퍼의 성장을 위한 리액터의 재가동 준비 방법
KR102189137B1 (ko) * 2015-01-22 2020-12-09 에스케이실트론 주식회사 에피택셜 웨이퍼의 성장을 위한 리액터의 재가동 준비 방법
US9373522B1 (en) 2015-01-22 2016-06-21 Applied Mateials, Inc. Titanium nitride removal
US9449846B2 (en) 2015-01-28 2016-09-20 Applied Materials, Inc. Vertical gate separation
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9478415B2 (en) 2015-02-13 2016-10-25 Asm Ip Holding B.V. Method for forming film having low resistance and shallow junction depth
US9881805B2 (en) 2015-03-02 2018-01-30 Applied Materials, Inc. Silicon selective removal
US10529542B2 (en) 2015-03-11 2020-01-07 Asm Ip Holdings B.V. Cross-flow reactor and method
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
US9397011B1 (en) * 2015-04-13 2016-07-19 Lam Research Corporation Systems and methods for reducing copper contamination due to substrate processing chambers with components made of alloys including copper
US20160362782A1 (en) * 2015-06-15 2016-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gas dispenser and deposition apparatus using the same
US10458018B2 (en) 2015-06-26 2019-10-29 Asm Ip Holding B.V. Structures including metal carbide material, devices including the structures, and methods of forming same
US10600673B2 (en) 2015-07-07 2020-03-24 Asm Ip Holding B.V. Magnetic susceptor to baseplate seal
US9899291B2 (en) 2015-07-13 2018-02-20 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10043661B2 (en) 2015-07-13 2018-08-07 Asm Ip Holding B.V. Method for protecting layer by forming hydrocarbon-based extremely thin film
US10083836B2 (en) 2015-07-24 2018-09-25 Asm Ip Holding B.V. Formation of boron-doped titanium metal films with high work function
US10087525B2 (en) 2015-08-04 2018-10-02 Asm Ip Holding B.V. Variable gap hard stop design
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US9647114B2 (en) 2015-08-14 2017-05-09 Asm Ip Holding B.V. Methods of forming highly p-type doped germanium tin films and structures and devices including the films
US9711345B2 (en) 2015-08-25 2017-07-18 Asm Ip Holding B.V. Method for forming aluminum nitride-based film by PEALD
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US9960072B2 (en) 2015-09-29 2018-05-01 Asm Ip Holding B.V. Variable adjustment for precise matching of multiple chamber cavity housings
CN106571293A (zh) * 2015-10-09 2017-04-19 北京北方微电子基地设备工艺研究中心有限责任公司 一种硅片刻蚀方法
US9909214B2 (en) 2015-10-15 2018-03-06 Asm Ip Holding B.V. Method for depositing dielectric film in trenches by PEALD
US10211308B2 (en) 2015-10-21 2019-02-19 Asm Ip Holding B.V. NbMC layers
US10322384B2 (en) 2015-11-09 2019-06-18 Asm Ip Holding B.V. Counter flow mixer for process chamber
US9455138B1 (en) 2015-11-10 2016-09-27 Asm Ip Holding B.V. Method for forming dielectric film in trenches by PEALD using H-containing gas
WO2017091327A1 (en) * 2015-11-25 2017-06-01 Applied Materials, Inc. Method for modifying epitaxial growth shape
US9905420B2 (en) 2015-12-01 2018-02-27 Asm Ip Holding B.V. Methods of forming silicon germanium tin films and structures and devices including the films
US9905412B2 (en) 2015-12-04 2018-02-27 Applied Materials, Inc. Method and solution for cleaning InGaAs (or III-V) substrates
TWI692799B (zh) * 2015-12-18 2020-05-01 美商應用材料股份有限公司 清潔方法
US9607837B1 (en) 2015-12-21 2017-03-28 Asm Ip Holding B.V. Method for forming silicon oxide cap layer for solid state diffusion process
US9735024B2 (en) 2015-12-28 2017-08-15 Asm Ip Holding B.V. Method of atomic layer etching using functional group-containing fluorocarbon
US9627221B1 (en) 2015-12-28 2017-04-18 Asm Ip Holding B.V. Continuous process incorporating atomic layer etching
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10468251B2 (en) 2016-02-19 2019-11-05 Asm Ip Holding B.V. Method for forming spacers using silicon nitride film for spacer-defined multiple patterning
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US9754779B1 (en) 2016-02-19 2017-09-05 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10501866B2 (en) 2016-03-09 2019-12-10 Asm Ip Holding B.V. Gas distribution apparatus for improved film uniformity in an epitaxial system
US10343920B2 (en) 2016-03-18 2019-07-09 Asm Ip Holding B.V. Aligned carbon nanotubes
US9892913B2 (en) 2016-03-24 2018-02-13 Asm Ip Holding B.V. Radial and thickness control via biased multi-port injection settings
US10096473B2 (en) * 2016-04-07 2018-10-09 Aixtron Se Formation of a layer on a semiconductor substrate
US10087522B2 (en) 2016-04-21 2018-10-02 Asm Ip Holding B.V. Deposition of metal borides
US10865475B2 (en) 2016-04-21 2020-12-15 Asm Ip Holding B.V. Deposition of metal borides and silicides
US10190213B2 (en) 2016-04-21 2019-01-29 Asm Ip Holding B.V. Deposition of metal borides
US10367080B2 (en) 2016-05-02 2019-07-30 Asm Ip Holding B.V. Method of forming a germanium oxynitride film
US10032628B2 (en) 2016-05-02 2018-07-24 Asm Ip Holding B.V. Source/drain performance through conformal solid state doping
KR102592471B1 (ko) 2016-05-17 2023-10-20 에이에스엠 아이피 홀딩 비.브이. 금속 배선 형성 방법 및 이를 이용한 반도체 장치의 제조 방법
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10388509B2 (en) 2016-06-28 2019-08-20 Asm Ip Holding B.V. Formation of epitaxial layers via dislocation filtering
US9865484B1 (en) 2016-06-29 2018-01-09 Applied Materials, Inc. Selective etch using material modification and RF pulsing
CN107591314A (zh) * 2016-07-08 2018-01-16 上海新昇半导体科技有限公司 一种形成氧化层和外延层的方法
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
US9793135B1 (en) 2016-07-14 2017-10-17 ASM IP Holding B.V Method of cyclic dry etching using etchant film
US10714385B2 (en) 2016-07-19 2020-07-14 Asm Ip Holding B.V. Selective deposition of tungsten
KR102354490B1 (ko) 2016-07-27 2022-01-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
KR102532607B1 (ko) 2016-07-28 2023-05-15 에이에스엠 아이피 홀딩 비.브이. 기판 가공 장치 및 그 동작 방법
US10395919B2 (en) 2016-07-28 2019-08-27 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10177025B2 (en) 2016-07-28 2019-01-08 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US10090316B2 (en) 2016-09-01 2018-10-02 Asm Ip Holding B.V. 3D stacked multilayer semiconductor memory using doped select transistor channel
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062575B2 (en) 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10438828B2 (en) 2016-10-03 2019-10-08 Applied Materials, Inc. Methods and apparatus to prevent interference between processing chambers
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US9721789B1 (en) 2016-10-04 2017-08-01 Applied Materials, Inc. Saving ion-damaged spacers
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US10410943B2 (en) 2016-10-13 2019-09-10 Asm Ip Holding B.V. Method for passivating a surface of a semiconductor and related systems
US10643826B2 (en) 2016-10-26 2020-05-05 Asm Ip Holdings B.V. Methods for thermally calibrating reaction chambers
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10229833B2 (en) 2016-11-01 2019-03-12 Asm Ip Holding B.V. Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures
US10643904B2 (en) 2016-11-01 2020-05-05 Asm Ip Holdings B.V. Methods for forming a semiconductor device and related semiconductor device structures
US10435790B2 (en) 2016-11-01 2019-10-08 Asm Ip Holding B.V. Method of subatmospheric plasma-enhanced ALD using capacitively coupled electrodes with narrow gap
US10134757B2 (en) 2016-11-07 2018-11-20 Asm Ip Holding B.V. Method of processing a substrate and a device manufactured by using the method
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US10340135B2 (en) 2016-11-28 2019-07-02 Asm Ip Holding B.V. Method of topologically restricted plasma-enhanced cyclic deposition of silicon or metal nitride
KR20180068582A (ko) 2016-12-14 2018-06-22 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11581186B2 (en) 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US9916980B1 (en) 2016-12-15 2018-03-13 Asm Ip Holding B.V. Method of forming a structure on a substrate
KR20180070971A (ko) 2016-12-19 2018-06-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US10269558B2 (en) 2016-12-22 2019-04-23 Asm Ip Holding B.V. Method of forming a structure on a substrate
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10867788B2 (en) 2016-12-28 2020-12-15 Asm Ip Holding B.V. Method of forming a structure on a substrate
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10655221B2 (en) 2017-02-09 2020-05-19 Asm Ip Holding B.V. Method for depositing oxide film by thermal ALD and PEALD
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10529563B2 (en) 2017-03-29 2020-01-07 Asm Ip Holdings B.V. Method for forming doped metal oxide films on a substrate by cyclical deposition and related semiconductor device structures
US10283353B2 (en) 2017-03-29 2019-05-07 Asm Ip Holding B.V. Method of reforming insulating film deposited on substrate with recess pattern
US10103040B1 (en) 2017-03-31 2018-10-16 Asm Ip Holding B.V. Apparatus and method for manufacturing a semiconductor device
USD830981S1 (en) 2017-04-07 2018-10-16 Asm Ip Holding B.V. Susceptor for semiconductor substrate processing apparatus
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
KR102457289B1 (ko) 2017-04-25 2022-10-21 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10446393B2 (en) 2017-05-08 2019-10-15 Asm Ip Holding B.V. Methods for forming silicon-containing epitaxial layers and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US10892156B2 (en) 2017-05-08 2021-01-12 Asm Ip Holding B.V. Methods for forming a silicon nitride film on a substrate and related semiconductor device structures
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10504742B2 (en) 2017-05-31 2019-12-10 Asm Ip Holding B.V. Method of atomic layer etching using hydrogen plasma
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10886123B2 (en) 2017-06-02 2021-01-05 Asm Ip Holding B.V. Methods for forming low temperature semiconductor layers and related semiconductor device structures
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
US10685834B2 (en) 2017-07-05 2020-06-16 Asm Ip Holdings B.V. Methods for forming a silicon germanium tin layer and related semiconductor device structures
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US11018002B2 (en) 2017-07-19 2021-05-25 Asm Ip Holding B.V. Method for selectively depositing a Group IV semiconductor and related semiconductor device structures
US10541333B2 (en) 2017-07-19 2020-01-21 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US11374112B2 (en) 2017-07-19 2022-06-28 Asm Ip Holding B.V. Method for depositing a group IV semiconductor and related semiconductor device structures
US10312055B2 (en) 2017-07-26 2019-06-04 Asm Ip Holding B.V. Method of depositing film by PEALD using negative bias
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10605530B2 (en) 2017-07-26 2020-03-31 Asm Ip Holding B.V. Assembly of a liner and a flange for a vertical furnace as well as the liner and the vertical furnace
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US11139191B2 (en) 2017-08-09 2021-10-05 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10249524B2 (en) 2017-08-09 2019-04-02 Asm Ip Holding B.V. Cassette holder assembly for a substrate cassette and holding member for use in such assembly
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US10236177B1 (en) 2017-08-22 2019-03-19 ASM IP Holding B.V.. Methods for depositing a doped germanium tin semiconductor and related semiconductor device structures
USD900036S1 (en) 2017-08-24 2020-10-27 Asm Ip Holding B.V. Heater electrical connector and adapter
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11056344B2 (en) 2017-08-30 2021-07-06 Asm Ip Holding B.V. Layer forming method
KR102491945B1 (ko) 2017-08-30 2023-01-26 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11164737B2 (en) * 2017-08-30 2021-11-02 Applied Materials, Inc. Integrated epitaxy and preclean system
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10607895B2 (en) 2017-09-18 2020-03-31 Asm Ip Holdings B.V. Method for forming a semiconductor device structure comprising a gate fill metal
KR102630301B1 (ko) 2017-09-21 2024-01-29 에이에스엠 아이피 홀딩 비.브이. 침투성 재료의 순차 침투 합성 방법 처리 및 이를 이용하여 형성된 구조물 및 장치
US10844484B2 (en) 2017-09-22 2020-11-24 Asm Ip Holding B.V. Apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
US10403504B2 (en) 2017-10-05 2019-09-03 Asm Ip Holding B.V. Method for selectively depositing a metallic film on a substrate
US10319588B2 (en) 2017-10-10 2019-06-11 Asm Ip Holding B.V. Method for depositing a metal chalcogenide on a substrate by cyclical deposition
US10128086B1 (en) 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10283324B1 (en) 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10923344B2 (en) 2017-10-30 2021-02-16 Asm Ip Holding B.V. Methods for forming a semiconductor structure and related semiconductor structures
US10910262B2 (en) 2017-11-16 2021-02-02 Asm Ip Holding B.V. Method of selectively depositing a capping layer structure on a semiconductor device structure
KR102443047B1 (ko) 2017-11-16 2022-09-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 방법 및 그에 의해 제조된 장치
US11022879B2 (en) 2017-11-24 2021-06-01 Asm Ip Holding B.V. Method of forming an enhanced unexposed photoresist layer
JP7214724B2 (ja) 2017-11-27 2023-01-30 エーエスエム アイピー ホールディング ビー.ブイ. バッチ炉で利用されるウェハカセットを収納するための収納装置
TWI791689B (zh) 2017-11-27 2023-02-11 荷蘭商Asm智慧財產控股私人有限公司 包括潔淨迷你環境之裝置
US10290508B1 (en) 2017-12-05 2019-05-14 Asm Ip Holding B.V. Method for forming vertical spacers for spacer-defined patterning
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
CN111630203A (zh) 2018-01-19 2020-09-04 Asm Ip私人控股有限公司 通过等离子体辅助沉积来沉积间隙填充层的方法
USD903477S1 (en) 2018-01-24 2020-12-01 Asm Ip Holdings B.V. Metal clamp
US11018047B2 (en) 2018-01-25 2021-05-25 Asm Ip Holding B.V. Hybrid lift pin
US10535516B2 (en) 2018-02-01 2020-01-14 Asm Ip Holdings B.V. Method for depositing a semiconductor structure on a surface of a substrate and related semiconductor structures
USD880437S1 (en) 2018-02-01 2020-04-07 Asm Ip Holding B.V. Gas supply plate for semiconductor manufacturing apparatus
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
JP7124098B2 (ja) 2018-02-14 2022-08-23 エーエスエム・アイピー・ホールディング・ベー・フェー 周期的堆積プロセスにより基材上にルテニウム含有膜を堆積させる方法
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
US10731249B2 (en) 2018-02-15 2020-08-04 Asm Ip Holding B.V. Method of forming a transition metal containing film on a substrate by a cyclical deposition process, a method for supplying a transition metal halide compound to a reaction chamber, and related vapor deposition apparatus
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10658181B2 (en) 2018-02-20 2020-05-19 Asm Ip Holding B.V. Method of spacer-defined direct patterning in semiconductor fabrication
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
TWI766433B (zh) 2018-02-28 2022-06-01 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
US11629406B2 (en) 2018-03-09 2023-04-18 Asm Ip Holding B.V. Semiconductor processing apparatus comprising one or more pyrometers for measuring a temperature of a substrate during transfer of the substrate
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US11114283B2 (en) 2018-03-16 2021-09-07 Asm Ip Holding B.V. Reactor, system including the reactor, and methods of manufacturing and using same
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
US11230766B2 (en) 2018-03-29 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
US11088002B2 (en) 2018-03-29 2021-08-10 Asm Ip Holding B.V. Substrate rack and a substrate processing system and method
US10510536B2 (en) 2018-03-29 2019-12-17 Asm Ip Holding B.V. Method of depositing a co-doped polysilicon film on a surface of a substrate within a reaction chamber
KR102501472B1 (ko) 2018-03-30 2023-02-20 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
KR20190128558A (ko) 2018-05-08 2019-11-18 에이에스엠 아이피 홀딩 비.브이. 기판 상에 산화물 막을 주기적 증착 공정에 의해 증착하기 위한 방법 및 관련 소자 구조
TW202349473A (zh) 2018-05-11 2023-12-16 荷蘭商Asm Ip私人控股有限公司 用於基板上形成摻雜金屬碳化物薄膜之方法及相關半導體元件結構
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US10704141B2 (en) * 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US11270899B2 (en) 2018-06-04 2022-03-08 Asm Ip Holding B.V. Wafer handling chamber with moisture reduction
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US11286562B2 (en) 2018-06-08 2022-03-29 Asm Ip Holding B.V. Gas-phase chemical reactor and method of using same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
CN112292478A (zh) 2018-06-27 2021-01-29 Asm Ip私人控股有限公司 用于形成含金属的材料的循环沉积方法及包含含金属的材料的膜和结构
KR20200002519A (ko) 2018-06-29 2020-01-08 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법 및 반도체 장치의 제조 방법
US10612136B2 (en) 2018-06-29 2020-04-07 ASM IP Holding, B.V. Temperature-controlled flange and reactor system including same
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10767789B2 (en) 2018-07-16 2020-09-08 Asm Ip Holding B.V. Diaphragm valves, valve components, and methods for forming valve components
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10483099B1 (en) 2018-07-26 2019-11-19 Asm Ip Holding B.V. Method for forming thermally stable organosilicon polymer film
US11053591B2 (en) 2018-08-06 2021-07-06 Asm Ip Holding B.V. Multi-port gas injection system and reactor system including same
US10883175B2 (en) 2018-08-09 2021-01-05 Asm Ip Holding B.V. Vertical furnace for processing substrates and a liner for use therein
US10829852B2 (en) 2018-08-16 2020-11-10 Asm Ip Holding B.V. Gas distribution device for a wafer processing apparatus
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11049751B2 (en) 2018-09-14 2021-06-29 Asm Ip Holding B.V. Cassette supply system to store and handle cassettes and processing apparatus equipped therewith
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US11114306B2 (en) * 2018-09-17 2021-09-07 Applied Materials, Inc. Methods for depositing dielectric material
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
WO2020068338A1 (en) * 2018-09-24 2020-04-02 Applied Materials, Inc. Atomic oxygen and ozone device for cleaning and surface treatment
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
US11232963B2 (en) 2018-10-03 2022-01-25 Asm Ip Holding B.V. Substrate processing apparatus and method
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
US10847365B2 (en) 2018-10-11 2020-11-24 Asm Ip Holding B.V. Method of forming conformal silicon carbide film by cyclic CVD
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US10811256B2 (en) 2018-10-16 2020-10-20 Asm Ip Holding B.V. Method for etching a carbon-containing feature
KR102605121B1 (ko) 2018-10-19 2023-11-23 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
USD948463S1 (en) 2018-10-24 2022-04-12 Asm Ip Holding B.V. Susceptor for semiconductor substrate supporting apparatus
US10381219B1 (en) 2018-10-25 2019-08-13 Asm Ip Holding B.V. Methods for forming a silicon nitride film
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US20200144397A1 (en) * 2018-11-05 2020-05-07 Applied Materials, Inc. Methods and apparatus for silicon-germanium pre-clean
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US11031242B2 (en) 2018-11-07 2021-06-08 Asm Ip Holding B.V. Methods for depositing a boron doped silicon germanium film
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
US10847366B2 (en) 2018-11-16 2020-11-24 Asm Ip Holding B.V. Methods for depositing a transition metal chalcogenide film on a substrate by a cyclical deposition process
US10559458B1 (en) 2018-11-26 2020-02-11 Asm Ip Holding B.V. Method of forming oxynitride film
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11217444B2 (en) 2018-11-30 2022-01-04 Asm Ip Holding B.V. Method for forming an ultraviolet radiation responsive metal oxide-containing film
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
KR20200091543A (ko) 2019-01-22 2020-07-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210074326A (ko) * 2019-01-30 2021-06-21 어플라이드 머티어리얼스, 인코포레이티드 진공 시스템을 세정하기 위한 방법, 기판의 진공 프로세싱을 위한 방법, 및 기판을 진공 프로세싱하기 위한 장치
CN111524788B (zh) 2019-02-01 2023-11-24 Asm Ip私人控股有限公司 氧化硅的拓扑选择性膜形成的方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
KR102626263B1 (ko) 2019-02-20 2024-01-16 에이에스엠 아이피 홀딩 비.브이. 처리 단계를 포함하는 주기적 증착 방법 및 이를 위한 장치
TW202104632A (zh) 2019-02-20 2021-02-01 荷蘭商Asm Ip私人控股有限公司 用來填充形成於基材表面內之凹部的循環沉積方法及設備
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108243A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOC 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
US11742198B2 (en) 2019-03-08 2023-08-29 Asm Ip Holding B.V. Structure including SiOCN layer and method of forming same
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
CN110060944A (zh) * 2019-04-03 2019-07-26 长电科技(宿迁)有限公司 一种具有等离子清洗功能的包封预热台
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130118A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 비정질 탄소 중합체 막을 개질하는 방법
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
USD935572S1 (en) 2019-05-24 2021-11-09 Asm Ip Holding B.V. Gas channel plate
USD922229S1 (en) 2019-06-05 2021-06-15 Asm Ip Holding B.V. Device for controlling a temperature of a gas supply unit
KR20200141003A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 가스 감지기를 포함하는 기상 반응기 시스템
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
USD944946S1 (en) 2019-06-14 2022-03-01 Asm Ip Holding B.V. Shower plate
USD931978S1 (en) 2019-06-27 2021-09-28 Asm Ip Holding B.V. Showerhead vacuum transport
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112242296A (zh) 2019-07-19 2021-01-19 Asm Ip私人控股有限公司 形成拓扑受控的无定形碳聚合物膜的方法
TW202113936A (zh) 2019-07-29 2021-04-01 荷蘭商Asm Ip私人控股有限公司 用於利用n型摻雜物及/或替代摻雜物選擇性沉積以達成高摻雜物併入之方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
CN112323048B (zh) 2019-08-05 2024-02-09 Asm Ip私人控股有限公司 用于化学源容器的液位传感器
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
USD940837S1 (en) 2019-08-22 2022-01-11 Asm Ip Holding B.V. Electrode
USD949319S1 (en) 2019-08-22 2022-04-19 Asm Ip Holding B.V. Exhaust duct
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
USD930782S1 (en) 2019-08-22 2021-09-14 Asm Ip Holding B.V. Gas distributor
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
KR20210024420A (ko) 2019-08-23 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 비스(디에틸아미노)실란을 사용하여 peald에 의해 개선된 품질을 갖는 실리콘 산화물 막을 증착하기 위한 방법
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
CN114342057A (zh) * 2019-09-06 2022-04-12 应用材料公司 遮盘
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
US11450529B2 (en) 2019-11-26 2022-09-20 Asm Ip Holding B.V. Methods for selectively forming a target film on a substrate comprising a first dielectric surface and a second metallic surface
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11885013B2 (en) 2019-12-17 2024-01-30 Asm Ip Holding B.V. Method of forming vanadium nitride layer and structure including the vanadium nitride layer
KR20210080214A (ko) 2019-12-19 2021-06-30 에이에스엠 아이피 홀딩 비.브이. 기판 상의 갭 피처를 충진하는 방법 및 이와 관련된 반도체 소자 구조
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
TW202146882A (zh) 2020-02-04 2021-12-16 荷蘭商Asm Ip私人控股有限公司 驗證一物品之方法、用於驗證一物品之設備、及用於驗證一反應室之系統
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
TW202146715A (zh) 2020-02-17 2021-12-16 荷蘭商Asm Ip私人控股有限公司 用於生長磷摻雜矽層之方法及其系統
KR20210116249A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 록아웃 태그아웃 어셈블리 및 시스템 그리고 이의 사용 방법
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
KR20210132605A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 냉각 가스 공급부를 포함한 수직형 배치 퍼니스 어셈블리
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202146699A (zh) * 2020-05-15 2021-12-16 荷蘭商Asm Ip私人控股有限公司 形成矽鍺層之方法、半導體結構、半導體裝置、形成沉積層之方法、及沉積系統
KR20210143653A (ko) 2020-05-19 2021-11-29 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
KR20220010438A (ko) 2020-07-17 2022-01-25 에이에스엠 아이피 홀딩 비.브이. 포토리소그래피에 사용하기 위한 구조체 및 방법
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
US11791141B2 (en) * 2020-07-29 2023-10-17 Taiwan Semiconductor Manufacturing Co., Ltd. System and method for residual gas analysis
TW202212623A (zh) 2020-08-26 2022-04-01 荷蘭商Asm Ip私人控股有限公司 形成金屬氧化矽層及金屬氮氧化矽層的方法、半導體結構、及系統
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
TW202217037A (zh) 2020-10-22 2022-05-01 荷蘭商Asm Ip私人控股有限公司 沉積釩金屬的方法、結構、裝置及沉積總成
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
KR20220076343A (ko) 2020-11-30 2022-06-08 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치의 반응 챔버 내에 배열되도록 구성된 인젝터
US11946137B2 (en) 2020-12-16 2024-04-02 Asm Ip Holding B.V. Runout and wobble measurement fixtures
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
CN113458086A (zh) * 2021-06-03 2021-10-01 广东工业大学 一种火箭发动机零件的清洗装置及清洗方法
US20230009692A1 (en) * 2021-07-07 2023-01-12 Applied Materials, Inc Coated substrate support assembly for substrate processing
KR20240029768A (ko) * 2021-09-03 2024-03-06 어플라이드 머티어리얼스, 인코포레이티드 하나 이상의 압력 안정화 챔버들을 갖는 클러스터 툴들, 시스템들 및 방법들
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0536653A (ja) * 1991-07-25 1993-02-12 Toshiba Corp 基板表面処理方法
US5863602A (en) * 1996-06-03 1999-01-26 Nec Corporation Method for capturing gaseous impurities and semiconductor device manufacturing apparatus
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
US20020119670A1 (en) * 1995-03-16 2002-08-29 Toshio Masuda Plasma etching apparatus and plasma etching method
CN101005031A (zh) * 2006-01-18 2007-07-25 东京毅力科创株式会社 等离子体处理装置和等离子体处理装置的控制方法

Family Cites Families (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPS62149117A (ja) * 1985-12-24 1987-07-03 Hitachi Ltd 気相成長方法
JP2870774B2 (ja) * 1988-12-27 1999-03-17 住友金属工業株式会社 単結晶膜の形成方法
US5338362A (en) * 1992-08-29 1994-08-16 Tokyo Electron Limited Apparatus for processing semiconductor wafer comprising continuously rotating wafer table and plural chamber compartments
US5855677A (en) 1994-09-30 1999-01-05 Applied Materials, Inc. Method and apparatus for controlling the temperature of reaction chamber walls
JP3868020B2 (ja) * 1995-11-13 2007-01-17 キヤノンアネルバ株式会社 遠距離スパッタ装置及び遠距離スパッタ方法
JP3823160B2 (ja) 1997-04-03 2006-09-20 野村マイクロ・サイエンス株式会社 半導体基板内部の洗浄方法
US6022587A (en) * 1997-05-13 2000-02-08 Applied Materials, Inc. Method and apparatus for improving film deposition uniformity on a substrate
US6109206A (en) 1997-05-29 2000-08-29 Applied Materials, Inc. Remote plasma source for chamber cleaning
JPH118226A (ja) * 1997-06-17 1999-01-12 Oki Electric Ind Co Ltd 半導体基板表面の清浄化方法及びその装置
US6042687A (en) 1997-06-30 2000-03-28 Lam Research Corporation Method and apparatus for improving etch and deposition uniformity in plasma semiconductor processing
US6204120B1 (en) 1998-09-28 2001-03-20 Ag Associates (Israel) Ltd. Semiconductor wafer pretreatment utilizing ultraviolet activated chlorine
US6376387B2 (en) 1999-07-09 2002-04-23 Applied Materials, Inc. Method of sealing an epitaxial silicon layer on a substrate
DE69940114D1 (de) * 1999-08-17 2009-01-29 Applied Materials Inc Oberflächenbehandlung von kohlenstoffdotierten SiO2-Filmen zur Erhöhung der Stabilität während der O2-Veraschung
WO2001033618A1 (fr) 1999-10-29 2001-05-10 Matsushita Electric Industrial Co., Ltd. Procede de nettoyage de substrat et procede de fabrication de dispositif semi-conducteur
US6494959B1 (en) 2000-01-28 2002-12-17 Applied Materials, Inc. Process and apparatus for cleaning a silicon surface
US6478924B1 (en) 2000-03-07 2002-11-12 Applied Materials, Inc. Plasma chamber support having dual electrodes
JP3662472B2 (ja) * 2000-05-09 2005-06-22 エム・エフエスアイ株式会社 基板表面の処理方法
US6514886B1 (en) 2000-09-22 2003-02-04 Newport Fab, Llc Method for elimination of contaminants prior to epitaxy
US6821378B1 (en) * 2001-05-25 2004-11-23 Lam Research Corporation Pump baffle and screen to improve etch uniformity
US6878636B2 (en) 2001-08-27 2005-04-12 Applied Materials, Inc. Method for enhancing substrate processing
US6455814B1 (en) 2001-11-07 2002-09-24 Applied Materials, Inc. Backside heating chamber for emissivity independent thermal processes
US6660659B1 (en) 2002-06-12 2003-12-09 Applied Materials, Inc. Plasma method and apparatus for processing a substrate
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
JP2004193396A (ja) * 2002-12-12 2004-07-08 Hitachi Kokusai Electric Inc 半導体デバイスの製造方法
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6878639B1 (en) * 2003-09-19 2005-04-12 Taiwan Semiconductor Manufacturing Company, Ltd. Borderless interconnection process
US7396743B2 (en) 2004-06-10 2008-07-08 Singh Kaushal K Low temperature epitaxial growth of silicon-containing films using UV radiation
US7132372B2 (en) 2004-07-29 2006-11-07 Freescale Semiconductor, Inc. Method for preparing a semiconductor substrate surface for semiconductor device fabrication
US7344951B2 (en) 2004-09-13 2008-03-18 Texas Instruments Incorporated Surface preparation method for selective and non-selective epitaxial growth
US20060105114A1 (en) 2004-11-16 2006-05-18 White John M Multi-layer high quality gate dielectric for low-temperature poly-silicon TFTs
US7141514B2 (en) 2005-02-02 2006-11-28 Applied Materials, Inc. Selective plasma re-oxidation process using pulsed RF source power
KR100632463B1 (ko) 2005-02-07 2006-10-11 삼성전자주식회사 에피택셜 반도체 기판의 제조 방법과 이를 이용한 이미지센서의 제조 방법, 에피택셜 반도체 기판 및 이를 이용한이미지 센서
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
US8008166B2 (en) * 2007-07-26 2011-08-30 Applied Materials, Inc. Method and apparatus for cleaning a substrate surface

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH0536653A (ja) * 1991-07-25 1993-02-12 Toshiba Corp 基板表面処理方法
US20020119670A1 (en) * 1995-03-16 2002-08-29 Toshio Masuda Plasma etching apparatus and plasma etching method
US5863602A (en) * 1996-06-03 1999-01-26 Nec Corporation Method for capturing gaseous impurities and semiconductor device manufacturing apparatus
US6153524A (en) * 1997-07-29 2000-11-28 Silicon Genesis Corporation Cluster tool method using plasma immersion ion implantation
CN101005031A (zh) * 2006-01-18 2007-07-25 东京毅力科创株式会社 等离子体处理装置和等离子体处理装置的控制方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107919298A (zh) * 2016-10-08 2018-04-17 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
CN107919298B (zh) * 2016-10-08 2021-01-29 北京北方华创微电子装备有限公司 气相刻蚀装置及设备
US11107706B2 (en) 2016-10-08 2021-08-31 Beijing Naura Microelectronics Equipment Co., Ltd. Gas phase etching device and gas phase etching apparatus
CN110249417A (zh) * 2017-02-10 2019-09-17 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
CN110249417B (zh) * 2017-02-10 2023-10-24 应用材料公司 用于深沟槽内的低温选择性外延的方法及设备
CN111279453A (zh) * 2017-08-25 2020-06-12 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备
CN111279453B (zh) * 2017-08-25 2023-07-04 艾克斯特朗欧洲公司 用于在外延沉积之前进行表面制备的方法和设备

Also Published As

Publication number Publication date
JP2010534935A (ja) 2010-11-11
CN102569136B (zh) 2015-08-19
KR20110120359A (ko) 2011-11-03
CN101765900A (zh) 2010-06-30
US8008166B2 (en) 2011-08-30
TWI368267B (en) 2012-07-11
WO2009014894A1 (en) 2009-01-29
US8309440B2 (en) 2012-11-13
KR101087925B1 (ko) 2011-11-28
US20090029528A1 (en) 2009-01-29
US20110263103A1 (en) 2011-10-27
TW201207920A (en) 2012-02-16
JP2013012752A (ja) 2013-01-17
CN101765900B (zh) 2012-04-04
KR20100041786A (ko) 2010-04-22
TWI455194B (zh) 2014-10-01
JP5599437B2 (ja) 2014-10-01
KR20130135404A (ko) 2013-12-10
US20130068390A1 (en) 2013-03-21
TW200915402A (en) 2009-04-01
KR101389801B1 (ko) 2014-04-29

Similar Documents

Publication Publication Date Title
CN101765900B (zh) 清洁基板表面的方法和设备
US10837122B2 (en) Method and apparatus for precleaning a substrate surface prior to epitaxial growth
KR101801696B1 (ko) 감소된 계면 오염을 갖는 층들의 증착 방법
KR20100124305A (ko) 기판으로부터 폴리머를 제거하는 방법 및 장치
Gottscho et al. Use of plasma processing in making integrated circuits and flat-panel displays
US20230110474A1 (en) Selective silicon deposition
WO2023150043A1 (en) Sidewall passivation for plasma etching

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant
CF01 Termination of patent right due to non-payment of annual fee

Granted publication date: 20150819

Termination date: 20190708

CF01 Termination of patent right due to non-payment of annual fee