CN102652351A - 在高剂量植入剥除前保护硅的增强式钝化工艺 - Google Patents

在高剂量植入剥除前保护硅的增强式钝化工艺 Download PDF

Info

Publication number
CN102652351A
CN102652351A CN2010800554286A CN201080055428A CN102652351A CN 102652351 A CN102652351 A CN 102652351A CN 2010800554286 A CN2010800554286 A CN 2010800554286A CN 201080055428 A CN201080055428 A CN 201080055428A CN 102652351 A CN102652351 A CN 102652351A
Authority
CN
China
Prior art keywords
workpiece
plasma
seconds
wafer
exposed
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN2010800554286A
Other languages
English (en)
Other versions
CN102652351B (zh
Inventor
大卫·张
浩权·方
杰克·郭
伊利亚·卡利诺夫斯基
李钊
姚谷华
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Novellus Systems Inc
ASM Nutool Inc
Original Assignee
ASM Nutool Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by ASM Nutool Inc filed Critical ASM Nutool Inc
Publication of CN102652351A publication Critical patent/CN102652351A/zh
Application granted granted Critical
Publication of CN102652351B publication Critical patent/CN102652351B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31127Etching organic layers
    • H01L21/31133Etching organic layers by chemical means
    • H01L21/31138Etching organic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • H01L21/30655Plasma etching; Reactive-ion etching comprising alternated and repeated etching and passivation steps, e.g. Bosch process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32357Generation remote from the workpiece, e.g. down-stream
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers

Abstract

本发明提供用于从工件表面剥除光致抗蚀剂并移除离子植入相关残留物的改进的方法及设备。根据各种实施例,使所述工件暴露于钝化等离子体,允许冷却一时间周期,并接着使其暴露于基于氧气或基于氢气的等离子体以移除所述光致抗蚀剂及离子植入相关残留物。本发明的方面包含减小硅损失,从而留下极少或不留下残留物,同时维持可接受的剥除速率。在某些实施例中,方法及设备在高剂量离子植入工艺之后移除光致抗蚀剂材料。

Description

在高剂量植入剥除前保护硅的增强式钝化工艺
相关申请案的交叉参考
本申请案根据34USC§119(e)主张2009年12月11日申请的第61/285,918号美国临时专利申请案的权益,所述临时专利申请案以引用的方式并入本文中。
技术领域
本发明涉及从工件表面移除或剥除光致抗蚀剂材料并移除相关残留物的方法及设备。在某些实施例中,本申请案涉及在离子植入或等离子体辅助掺杂植入之后用于剥除抗蚀剂(低剂量或高剂量植入的抗蚀剂)的方法及设备。
背景技术
光致抗蚀剂是在处理期间在工件表面(例如半导体晶片)上形成图案化涂层的某些制造工艺中所使用的感光材料。在使所述光致抗蚀剂涂覆的表面暴露于高能量辐射的图案之后,移除所述光致抗蚀剂的部分以显露下表面,并使剩余表面受到保护。在未经遮盖表面及所述剩余光致抗蚀剂上执行半导体工艺(例如蚀刻、沉积及离子植入)。在执行一个或一个以上半导体工艺之后,以剥除操作移除所述剩余光致抗蚀剂。
发明内容
本发明提供用于从工件表面剥除光致抗蚀剂并移除离子植入相关残留物的改进的方法及设备。根据各种实施例,使所述工件暴露于钝化等离子体,允许冷却一时间周期,并接着使其暴露于基于氧气或基于氢气的等离子体以移除所述光致抗蚀剂及离子植入相关残留物。本发明的方面包含减小硅损失,从而使残留物极少或无残留物,同时维持可接受的剥除速率。在某些实施例中,方法及设备在高剂量离子植入工艺之后移除光致抗蚀剂材料。
本发明的一方面涉及一种在反应腔室中从工件表面移除材料的方法,且涉及使所述工件暴露于产自成形气体的等离子体;在使所述工件暴露于所述成形气体等离子体之后,允许晶片安置于非等离子体环境中持续至少30秒的时间周期;且在允许所述晶片安置之后,使所述晶片暴露于基于氧气或基于氢气的等离子体以移除所述材料。
根据各种实施例,允许所述工件安置持续至少大约100秒、至少大约150秒、至少大约200秒或至少大约220秒。
在某些实施例中,所述基于氧气或基于氢气的等离子体中的至少一者包含氟物质;在其它实施例中,所述基于氧气或基于氢气的等离子体中的至少一者都不包含氟物质。从所述工件表面移除的材料可为高剂量植入的抗蚀剂。在某些实施例中,所述成形气体等离子体是经远程产生。在某些实施例中,在所述工件暴露于所述成形气体等离子体之后于所述工件的所暴露硅部分上形成保护膜。所述保护膜可为SixNy膜。
本发明的另一方面涉及一种用于从包含反应腔室的工件表面移除材料的设备,所述反应腔室包含等离子体源、置于所述等离子体源下游的喷洒头及所述喷洒头下游的工件支撑件,所述工件支撑件包括底座及控制支撑在所述工件支撑件上的工件的温度的温度控制机构;及用于执行指令集的控制器,所述指令集包含用于使所述工件暴露于产自成形气体的等离子体的指令;在使所述工件暴露于所述成形气体等离子体之后,允许晶片安置于非等离子体环境中持续至少30秒的时间周期;且在允许晶片安置之后,使所述晶片暴露于基于氧气或基于氢气的等离子体以移除材料。
以下将参看相关图式更详细地描述本发明的这些及其它特征及优点。
附图说明
图1A到1D描绘离子植入及剥除操作前后半导体装置制造的各个阶段。
图2是说明依照本发明的某些实施例的操作的工艺流程图。
图3是展示随钝化后等待时间而变的硅损失的图表。
图4是展示适合用于实施本发明的方面的设备的示意图。
图5展示适合用于实施本发明的方面的多站循序架构。
具体实施方式
介绍
在本发明的以下详细描述中,陈述许多具体实施例以提供对本发明的透彻理解。然而,所属领域的技术人员将明白,本发明可在并无这些具体细节的情况下或通过使用替代性元件或工艺来实践。在其它情况中,并未详细描述众所周知的工艺、程序及组件,以免不必要地混淆本发明的方面。
在本申请案中,术语“工件(work piece)”、“半导体晶片(semiconductor wafer)”、“晶片(wafer)”及“经部分制造的集成电路(partially fabricated integrated circuit)”将可互换使用。所属领域的技术人员将了解,术语“经部分制造的集成电路(partially fabricatedintegrated circuit)”在其上集成电路制造的多个阶段的任一阶段期间可表示硅晶片。以下详细描述假设在晶片上实施本发明。然而,本发明并非局限于此。所述工件可为各种形状、大小及材料。除半导体晶片之外,可利用本发明的其它工件包含各种物件(例如显示器、印刷电路板及类似物)。
光致抗蚀剂是在处理期间在工件表面(例如半导体晶片)上形成图案化涂层的某些制造工艺中所使用的感光材料。在使所述光致抗蚀剂涂覆的表面暴露于高能量辐射的图案之后,移除所述光致抗蚀剂的一部分以显露下表面,并使剩余表面受到保护。在未经遮盖表面及剩余光致抗蚀剂上执行半导体工艺(例如蚀刻、沉积及离子植入)。在执行一个或一个以上半导体工艺之后,以剥除操作移除剩余光致抗蚀剂。
在离子植入期间,掺杂剂离子(例如硼离子、二氟化硼离子、铟离子、镓离子、铊离子、磷离子、砷离子、锑离子、铋离子或锗离子)朝向工件目标加速。所述离子植入所述工件的所暴露区域以及剩余光致抗蚀剂表面中。所述工艺可形成阱区域(源极/漏极)及轻微掺杂漏极(LDD)区域及双扩散漏极(DDD)区域。所述离子植入物用植入物质浸渍抗蚀剂并使表面耗尽氢。所述抗蚀剂的外层或外壳形成碳化层,所述碳化层的密度可能比下伏块体抗蚀剂层更大。这两个层具有不同热膨胀速率并在不同速率下对剥除工艺作出反应。
在后高剂量离子植入抗蚀剂中在外层与块体层之间的差别是极显著的。在高剂量植入中,离子剂量可大于1×1015个离子/平方厘米,且能量可从10Kev到大于100Kev。传统高剂量植入剥除(HDIS)工艺采用氧气化学方法,其中远离工艺腔室形成单价氧气等离子体且接着使所述单价氧气等离子体指向工件表面处。活性氧与光致抗蚀剂结合以形成用真空泵移除的气态副产物。对于HDIS,需要额外气体来移除具有氧气的所植入掺杂剂。
主要的HDIS考虑包含剥除速率、残余物量及所暴露下伏膜层的膜损失。残留物通常是在HDIS及剥除之后出现于衬底表面上。残留物可由于在抗蚀剂中的高能量植入、外壳的不完全移除及/或植入原子的氧化期间的溅镀而产生。在剥除之后,表面应无残留物或大致无残留物,以确保高产量并消除对额外残留物移除处理的需要。可由过剥除(即,超过移除所有光致抗蚀剂标称所需的剥除工艺的继续)而移除残留物。不幸地是,在传统HDIS操作中,过剥除有时移除一些下伏功能装置结构。在装置层处,即使来自晶体管源极/漏极区域的硅损失极小,其也可不利地影响装置性能及产量,对于在<32纳米设计规则或更小的条件下制造的极浅结装置而言尤其如此。
如先前提到的,本发明的方法及设备可用以在高剂量离子植入之后高效率并有效地移除光致抗蚀剂材料。本发明并不限于高剂量植入剥除(HDIS)。本发明也并不限于任何特定种类的所植入掺杂剂。举例来说,所描述的方法及设备可在中等或低剂量植入之后与剥除一起有效地使用。虽然已讨论特定掺杂剂离子(例如硼离子、砷离子及亚磷离子),但是所描述的方法及设备可有效地用以剥除经其它掺杂剂(例如氮、氧、碳、锗及铝)浸渍的抗蚀剂。
本发明的方法及设备使用由成形气体生产的钝化等离子体。所述方法及设备还使用光致抗蚀剂剥除及产自含有氧气及/或氢气的等离子体气体的离子移除等离子体。在某些实施例中,所述气体还含有含氟气体、弱氧化剂及一个或一个以上额外成分。所属领域的技术人员将认识到,等离子体中存在的实际物质可为源于用于产生本文中所描述的等离子体的特定气体的不同离子、基团及分子的混合物。举例来说,应注意到:由于等离子体与有机光致抗蚀剂及其它残留物发生反应并将其分解,所以反应腔室中可存在其它物质(例如小碳氢化合物、二氧化碳、水蒸气及其它挥发性成分)。所属领域的技术人员还将认识到,引入等离子体中的初始气体通常不同于等离子体中存在的气体以及在剥除期间接触工件表面的气体。
图1A到1D描绘离子植入及剥除操作前后半导体制造的各个阶段。图1A展示经光致抗蚀剂材料103涂覆的半导体衬底101。衬底101可包含一个或一个以上沉积膜层(例如,氧化物膜、硅化物接触件及/或多晶硅膜),或可为包含例如绝缘体上硅型衬底在内的裸露硅衬底。所述光致抗蚀剂材料最初涂覆整个衬底表面。接着使光致抗蚀剂通过掩模暴露于所产生的图案化辐射并显影以移除所述材料的部分(例如,图1A中所展示在剩余光致抗蚀剂材料130之间的开口104)。
接着,使衬底暴露于离子植入工艺。在离子植入期间,工件的表面或晶片被植入掺杂剂离子。所述工艺可为(例如)等离子体浸渍离子植入(PIII)或离子束植入。离子冲击包含所暴露硅层101及光致抗蚀剂103的衬底表面。随着高能量离子植入,可使少量下伏材料107溅镀到光致抗蚀剂侧壁。参见图1B。此材料可包含一些植入物质、等离子体或离子束中的其它材料及植入的副产物。它们包含硅、铝、碳、氟、钛、其它接触材料(例如钴)及元素与化合物两种形式的氧。实际物质取决于在离子植入之前的衬底的组合物、光致抗蚀剂及所植入物质。
在所暴露硅层101处,产生掺杂区域109。离子能量或冲击强度决定掺杂区域的深度或厚度。离子流密度决定掺杂程度。
离子还浸渍产生外壳层105的光致抗蚀剂表面。外壳层105可为碳化并显著交联聚合物链。所述外壳通常耗尽氢并经植入物质浸渍。外壳层105的密度大于块体抗蚀剂层103的密度。相对密度取决于离子流,而外壳层的厚度取决于离子能量。
此外壳层105比下方的块体光致抗蚀剂103更难以剥除。外壳层的移除速率可比下伏块体慢50%或75%。保护硅的增强式钝化工艺在保护硅的高剂量植入增强式钝化工艺之前,保护硅的高剂量植入增强式钝化工艺在高剂量植入光致抗蚀剂之前。块体光致抗蚀剂含有相对较高水平的经化学结合的氮及一些其初始浇铸溶剂。在升高的晶片温度下(例如,高于150℃到高于200℃),块体抗蚀剂可脱气并相对于外壳层膨胀。接着,整个光致抗蚀剂可随着下伏块体光致抗蚀剂在外壳下增强压力而“爆裂(pop)”。光致抗蚀剂爆裂是微粒及工艺缺陷的原因,这是因为残留物尤其难以从晶片表面及腔室内部部件清除。随着高剂量离子植入,在外壳与下伏块体抗蚀剂层之间的密度差更高。外壳也会较厚。
图1C展示未能完全移除光致抗蚀剂103及侧壁溅镀残留物107的剥除之后的衬底。侧壁溅镀残留物107可包含在传统剥除化学方法下并未形成挥发性化合物的微粒。这些微粒在传统剥除操作之后可保留下来。残留物还可包含由基于氧气的剥除化学方法中所使用的活性氧形成的植入物质的氧化物(例如氧化硼及氧化砷)。外壳105的部分还可保留于衬底上。因为几何形状的缘故,光致抗蚀剂通孔的底部处的外壳侧壁及拐角可能难以剥除。在一些情况中,这些残留物微粒可由过剥除、使用含氟化学物或湿式清洗所述晶片来移除。
硅损失随抗蚀剂厚度、外壳厚度及百分比过剥除而变。移除较厚的抗蚀剂的较长且较具侵蚀性的过剥除也可移除更多的硅。对于具有较厚的外壳的抗蚀剂,外壳层与块体抗蚀剂层之间的差别更明显。较厚的外壳侧壁及拐角更难以剥除。因此,经设计以移除厚的外壳的剥除工艺也往往移除更多的硅。除残留物移除之外,过剥除还可用以解决抗蚀剂均匀性及几何形状问题。过剥除是超过移除所有光致抗蚀剂标称所需的剥除工艺的继续。如果在晶片的一些区域(但非其它)中完全移除光致抗蚀剂,那么所述剥除工艺的继续将产生待从已剥除的区域移除的额外材料(通常是硅及氧化硅)。
图1D展示已移除所有残留物之后的衬底。根据各种实施例,残留物是在无额外硅损失或氧化及最小延迟的情况下移除。在某些实施例中,所述剥除工艺不留下残留物并因此减少工艺步骤的数目。
本文中所提供的是减小高剂量植入剥除(HDIS)工艺的硅损失的方法,但是如上所述,所述方法可在中等或低剂量植入或其它光致抗蚀剂剥除工艺之后与剥除一起有效地使用。本文中所描述的方法提供钝化层以在剥除之前防止硅损失,且并不限于特定剥除化学方法。
图2是说明根据某些实施例的方法中的操作的工艺流程图200。首先,在操作201中提供具有光致抗蚀剂及植入残留材料的晶片。可对能够含有等离子体的腔室提供所述晶片。虽然并未描绘,但任选地将所述晶片预热(操作201之前、期间或之后)到足够低以防止爆裂的固定温度,并在另外操作中将所述晶片预热到足够高以提供用于形成钝化层的能量及可接受的蚀刻速率的固定温度。接着在操作203中使所述晶片暴露于产自成形气体的等离子体。所述成形气体包括氢气及惰性稀释剂(例如,氮气、氦气或类似物)或其组合。在本发明的示范性实施例中,所述成形气体是大约0.5摩尔百分比(%)到大约10摩尔百分比(%)氢气。在本发明的特定实施例中,所述成形气体是大约3%到大约6%氢气(例如,4%氢气)。在某些实施例中,使用纯氮气,其中基本上没有氢气。已发现纯氮气可提供类似于成形气体的钝化效应。
使所述晶片暴露于成形气体等离子体持续一时间周期(例如,大约10秒到90秒(例如,大约20秒到40秒))。在许多实施例中,等离子体是经远程产生的等离子体,但是其可为原位等离子体。在某些实施例中,所述等离子体是产自基本上由成形气体组成的气体。在其它实施例中,可添加其它物质。在某些实施例中,在气体入口到等离子体产生器中大致不存在氧气或氟气。
接着在操作205中,等离子体消失且晶片安置一时间周期。在某些实施例中,允许晶片在此操作期间冷却(例如)到大约35C。在并未由特定理论限制的情况下,相信在所暴露硅上形成保护表面以缓慢防止氧化或蚀刻受可包含氟物质的后继基于氧气或基于氢气的剥除化学物质影响。保护表面可为SixNy膜。
出人意料地发现,在操作203与后继剥除操作之间的等待时间对减小硅损失至关重要。图3是展示随暴露于成形气体等离子体与使用含有氟气的基于氢气的化学物质的后继剥除工艺之间的等待时间(秒)而变的硅损失(埃)的图表。所述硅损失随着等待时间增加而急剧降低,最终稳定在大约220秒。根据各种实施例,所述等待时间是至少大约30秒、至少大约60秒、至少大约100秒、至少大约120秒、至少大约140秒、至少大约160秒、至少大约180秒、至少大约200秒、至少大约220秒、至少大约240秒、至少大约260秒或至少大约280秒。图3中所观察到的效果是出人意料的,因为将期望形成SixNy膜的反应的化学动力学更快速。
一旦完成等待周期,就在操作207中执行剥除工艺。所述剥除工艺可使用一个或一个以上基于氧气或基于氢气的等离子体。在某些实施例中,剥除化学物质在一个或一个以上操作中额外含有氟物质。可馈送到等离子体产生器以产生此物质的氟化合物包含三氟化氮(NF3)、六氟化硫(SF6)、六氟乙烷(C2F6)、四氟甲烷(CF4)、三氟甲烷(CHF3)、二氟甲烷(CH2F2)、八氟丙烷(C3F8)、八氟环丁烷(C4F8)、八氟[1-]丁烷(C4F8)、八氟[2-]丁烷(C4F8)、八氟异丁烯(C4F8)、氟(F2)及类似物。
虽然本文中所描述的方法并不限于任何特定剥除化学方法,但是移除光致抗蚀剂及HDI残留物的实例性等离子体包含产自下列材料的等离子体:
O2/NF3
O2/CF4
O2/N2
H2/CO2/NF3
H2/CO2/CF4
H2/CO2/NF3/CF4
H2/CO2
H2/N2
在许多实施例中,执行具有不同化学过程的多个操作以完全移除光致抗蚀剂及残留物。在某些实施例中,将成形气体添加到那些HDI剥除操作的一者或一者以上。举例来说,在某些实施例中,将成形气体添加到所有不含氟等离子体操作中。已发现在含氟站中使用成形气体或纯氮气可不利地影响硅损失。在并未由特定理论限制的情况下,相信这可能是由于成形气体中的氮气促使NF3的分裂从而释放更多F-离子。通常,在这些操作之后并未赋予显著的等待时间,但是在某些实施例中,可能赋予显著的等待时间。实例如下:
O2/FG与成形气体供应大约14%到25%容积;
H2/CO2/FG与成形气体供应大约40%到60%容积;
应注意到:操作203及205中所描述的钝化工艺可在所述工艺中的其它阶段处(例如,一个或一个以上剥除操作之间)执行或重复。在某些实施例中,仅在这些操作之间插入操作203。
等离子体产生
依照本发明可使用各种类型的等离子体源,其包含RF、DC及基于微波的等离子体源。在优选实施例中,使用下游RF等离子体源。通常,用于300毫米晶片的RF等离子体功率在大约300瓦特到大约10千瓦特之间变化。在一些实施例中,RF等离子体功率是在大约2000瓦特与5000瓦特之间(例如,3500W)。
喷洒头组合件
根据本发明的各种实施例,等离子体气体是经由喷洒头组合件分布于加工表面。喷洒头组合件可经接地或具有所施加电压以吸引某些带电物质同时不影响中性物质到晶片的流动(例如,0瓦特到1000瓦特偏压)。等离子体中的许多带电物质在喷洒头处再组合。所述组合件包含喷洒头自身,其中喷洒头可为具有引导等离子体及惰性气体混合物进入反应腔室的孔的金属板。所述喷洒头经由较大区域重新分布来自等离子体源的活性氢,从而允许使用较小的等离子体源。喷洒头孔的数目及配置可经设定以优化剥除速率及剥除速率均匀性。如果等离子体源是位于晶片中心,那么喷洒头孔在喷洒头的中心优选地为较小且较少,以将反应性气体推向外部区域。所述喷洒头可具有至少100个孔。合适的喷洒头包含可购自加州圣荷西市诺发系统(Novellus Systems)公司的Gamma xPR喷洒头或GxT插入喷洒头。在不存在喷洒头组合件的实施例中,所述等离子体直接进入工艺腔室。
工艺腔室
所述工艺腔室可为用于正执行的剥除操作的任何适合的反应腔室。所述工艺腔室可为多腔室设备的一个腔室或其可仅为单一腔室设备。所述腔室还可包含多个站,其中在所述站中同时处理不同晶片。所述工艺腔室可为其中发生植入、蚀刻或其它抗蚀剂介入工艺的相同腔室。在其它实施例中,单独腔室专供剥除之用。工艺腔室压力可从大约600毫托到2托变化。在某些实施例中,所述压力从大约0.9托到1.5托变化。
所述工艺腔室包含其上执行剥除操作的一个或一个以上的处理站。在某些实施例中,所述一个或一个以上的处理站包含预热站、至少一个剥除站及一个除灰站(overashstation)。晶片支撑件经配置以在处理期间支撑所述晶片。所述晶片支撑件还可在处理期间将热量传送到所述晶片并将热量从所述晶片传送出以视需要调整晶片温度。在某些实施例中,所述晶片是支撑于多个最小接触件上,且并未物理地接触晶片支撑件表面平面。心轴拾取所述晶片并将所述晶片从一站传送到另一站。
图4是展示适合用于在晶片上实践本发明的下游等离子体设备400的方面的示意说明。此设备可用于钝化及剥除操作两者。设备400具有由喷洒头组合件417分隔的等离子体产生部分411及暴露腔室401。在暴露腔室401内部,晶片403倚靠在压板(或平台)405上。压板405装配有加热/冷却元件。在一些实施例中,压板405还经配置以用于对晶片403施加偏压。在暴露腔室401中经由真空泵通孔导管407达到低压。气态氢气源(具有或不具有稀释/载流气体)及二氧化碳(或其它弱氧化剂)经由入口409将气体流提供到所述设备的等离子体产生部分411中。等离子体产生部分411部分由感应线圈413围绕,感应线圈413又连接到电源415。在操作期间,将气体混合物引入等离子体产生部分411中,激励感应线圈413,并在等离子体产生部分411中产生等离子体。喷洒头组合件417可具有所施加电压或经接地引导物质流进入暴露腔室401中。如所提及,晶片403可经受温度控制且/或可被施加RF偏压。可使用各种配置及几何形状的等离子体源411及感应线圈413。举例来说,感应线圈413可以交错的方式环绕等离子体源411。在另一实例中,等离子体源411可成形为圆顶而非圆柱。控制器450可连接到所述工艺腔室的组件,且控制工艺气体组合物、所述剥除操作的压力、温度及晶片转位。机器可读媒体可耦合到所述控制器并含有用于控制这些操作的工艺条件的指令。
合适的等离子体腔室及系统包含美国加州圣荷西市(San Jose)诺发系统(NovellusSystems)公司提供的Gamma 2100,2130I2CP(交叉电感耦合等离子体)、G400及GxT。其它系统包含来自美国马里兰州洛克维尔市(Rockville)亚舍利科技(Axcelis Technologies)的熔合线(Fusion line);来自韩国PSK科技公司的TERA21;及来自美国加州费利蒙市(Fremont)马特森科技(Mattson Technology)公司的Aspen。此外,各种剥除腔室可经配置于群集工具上。举例来说,剥除腔室可添加到可购自美国加州圣克拉拉市(Santa Clara)应用材料(Applied Materials)公司的森特拉(Centura)群集工具。
工件
在优选实施例中,依照本发明的方法及设备使用的工件是半导体晶片。可使用任意大小的晶片。大部分现代晶片制造设施使用200毫米或300毫米晶片。如上所揭示,本文中所揭示的工艺及设备在处理操作(例如蚀刻、离子植入或沉积)之后剥除光致抗蚀剂。本发明适合用于具有极小特征或临界尺寸(例如,100纳米以下,65纳米处或45纳米处或小于45纳米)的晶片。所揭示的HDIS的低硅损失特征尤其适合用于先进逻辑装置的极浅结。本发明还尤其适合用于经历路线前端(FEOL)离子植入(尤其是高剂量离子植入)的晶片。
等离子体活化物质与晶片上的光致抗蚀剂及溅镀残留物发生反应。在所述晶片处,反应性气体可包含许多的等离子体活化物质、基团、带电物质及气体副产物。对于基于氢气的等离子体,各种氢气物质的容积浓度可为大约20%到80%的晶片处的气体,通常大于50%。对于基于氧气的等离子体,各种氧气物质的容积浓度可为大约20%到80%的晶片处的气体,通常大于50%。各种氟物质的容积浓度可为0.01%到大约2%或小于1%。来自弱氧化剂的各种物质的容积浓度可为0.05%到大约5%或大约1.2%。这些物质可包含H2*、H2+、H+、H*、e-、OH、O*、CO、CO2、H2O、HF、F*、F-、CF、CF2及CF3。
工艺条件可根据晶片大小变化。在本发明的一些实施例中,期望使工件在对其表面施加等离子体期间保持在特定温度。晶片温度可在大约110℃与大约500℃之间变化。为减小上述光致抗蚀剂爆裂的可能性,晶片温度优选地缓慢增加直到移除足够的外壳且光致抗蚀剂爆裂不再是关注点。初始站温度可为大约110℃到大约260℃,例如大约240℃。随后站可成功使用较高温度(例如285℃及大约350℃)及良好的剥除速率。在某些实施例中,在NF3掺料期间降低温度以减小与这些掺料关联的Si损失。
实例性工艺
如上所指示,在某些实施例中,多站剥除设备用以执行本文中所描述的光致抗蚀剂及残留物剥除工艺。图5是展示包含站1、站2、站3、站4、站5及站6的此设备的俯视图的简单示意图。晶片经由腔室501进入所述设备站1处,依序将晶片传送到每一站用于所述站处的处理操作,且晶片在完成所述工艺之后经由腔室502从站6退出。所述架构允许在钝化工艺之后暂停或冷却所述晶片以通过HDIS剥除化学方法保护硅不受侵蚀。
实例性工艺1
Figure BDA00001735531100101
实例性工艺2
Figure BDA00001735531100102
在另一实例性工艺中,6个站上的第一次通过经执行以提供成形气体钝化,接着是第二次通过中的站1中的预热及站2到6中的剥除操作。等待操作可在腔室外在非氧化环境中发生。
可在包含用于半导体制造的光刻及/或图案化硬件的系统中实施所揭示的方法及设备。此外,可在所揭示的方法之前或之后在利用光刻及/或图案化工艺的工艺中实施所揭示的方法。
实验
进行各种实验来比较站1中的成形气体钝化先于站2到6中的含F剥除操作的情况下Si损失与并未执行钝化工艺的情况下的Si损失。硅损失减小54%到82%。
虽然已依据少数优选实施例描述了本发明,但是其不应限于上文呈现的具体细节。可使用上述优选实施例的许多变化。因此,应参考所附权利要求书来广义上解释本发明。

Claims (17)

1.一种在反应腔室中从工件表面移除材料的方法,所述方法包括:
使所述工件暴露于从成形气体产生的等离子体;
在使所述工件暴露于所述成形气体等离子体之后,允许晶片安置于非等离子体环境中持续至少30秒的时间周期;
及在允许所述晶片安置之后,使所述晶片暴露于基于氧气或基于氢气的等离子体以移除所述材料。
2.根据权利要求1所述的方法,其中允许所述工件安置至少100秒。
3.根据权利要求1所述的方法,其中允许所述工件安置至少150秒。
4.根据权利要求1所述的方法,其中允许所述工件安置至少200秒。
5.根据权利要求1所述的方法,其中允许所述工件安置至少220秒。
6.根据权利要求1到5中任一权利要求所述的方法,其中所述基于氧气或基于氢气的等离子体包括氟物质。
7.根据权利要求1到6中任一权利要求所述的方法,其中从所述工件表面移除的所述材料包括高剂量植入的抗蚀剂。
8.根据权利要求1到7中任一权利要求所述的方法,其中远程产生所述成形气体等离子体。
9.根据权利要求1到8中任一权利要求所述的方法,其中在所述工件暴露于所述成形气体等离子体之后在所述工件的所暴露硅部分上形成保护膜。
10.根据权利要求9所述的方法,其中所述保护膜是SixNy膜。
11.根据权利要求1所述的方法,其中在光刻操作之后执行暴露所述工件。
12.一种用于从工件表面移除材料的设备,其包括:
反应腔室,其包括:
等离子体源,
喷洒头,其定位于所述等离子体源的下游,及
所述喷洒头的下游的工件支撑件,所述工件支撑件包括基座及控制支撑于所述工件支撑件上的工件的温度的温度控制机构;及
控制器,其用于执行指令集,所述指令集包括如下指令:使所述工件暴露于从成形气体产生的等离子体;在使所述工件暴露于所述成形气体等离子体之后允许所述工件安置于非等离子体环境中持续至少30秒的时间周期;及在允许所述工件安置之后使所述晶片暴露于基于氧气或基于氢气的等离子体以移除所述材料。
13.根据权利要求12所述的设备,其中所述控制器指令包括允许所述工件安置至少100秒的指令。
14.根据权利要求12所述的设备,其中所述控制器指令包括允许所述工件安置至少100秒的指令。
15.根据权利要求12所述的设备,其中所述控制器指令包括允许所述工件安置至少150秒的指令。
16.根据权利要求12所述的设备,其中所述控制器指令包括允许所述工件安置至少200秒的指令。
17.根据权利要求12所述的设备,其中所述控制器指令包括允许所述工件安置至少220秒的指令。
CN201080055428.6A 2009-12-11 2010-12-08 在高剂量植入剥除前保护硅的增强式钝化工艺 Active CN102652351B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US28591809P 2009-12-11 2009-12-11
US61/285,918 2009-12-11
PCT/US2010/059547 WO2011072061A2 (en) 2009-12-11 2010-12-08 Enhanced passivation process to protect silicon prior to high dose implant strip

Publications (2)

Publication Number Publication Date
CN102652351A true CN102652351A (zh) 2012-08-29
CN102652351B CN102652351B (zh) 2016-10-05

Family

ID=44141527

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201080055428.6A Active CN102652351B (zh) 2009-12-11 2010-12-08 在高剂量植入剥除前保护硅的增强式钝化工艺

Country Status (6)

Country Link
US (1) US8721797B2 (zh)
JP (1) JP5770740B2 (zh)
KR (1) KR101770008B1 (zh)
CN (1) CN102652351B (zh)
TW (1) TWI518773B (zh)
WO (1) WO2011072061A2 (zh)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110967925A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 制造和维护光掩摸的方法
CN114823297A (zh) * 2022-04-19 2022-07-29 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺

Families Citing this family (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US8129281B1 (en) 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US8435895B2 (en) * 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
CN102652351B (zh) 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity
WO2014014907A1 (en) 2012-07-16 2014-01-23 Mattson Technology, Inc. Method for high aspect ratio photoresist removal in pure reducing plasma
US9514954B2 (en) 2014-06-10 2016-12-06 Lam Research Corporation Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
US11164876B2 (en) 2019-02-07 2021-11-02 Micron Technology, Inc. Atom implantation for passivation of pillar material
US11501972B2 (en) * 2020-07-22 2022-11-15 Applied Materials, Inc. Sacrificial capping layer for passivation using plasma-based implant process

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020005392A1 (en) * 1997-11-17 2002-01-17 Leroy Luo Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
JP2007019367A (ja) * 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
US20080286697A1 (en) * 2001-08-31 2008-11-20 Steven Verhaverbeke Method and apparatus for processing a wafer
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process

Family Cites Families (181)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US790755A (en) * 1904-06-28 1905-05-23 Max Rockstroh Platen printing-press.
US4201579A (en) * 1978-06-05 1980-05-06 Motorola, Inc. Method for removing photoresist by hydrogen plasma
US4357203A (en) 1981-12-30 1982-11-02 Rca Corporation Plasma etching of polyimide
US4699689A (en) 1985-05-17 1987-10-13 Emergent Technologies Corporation Method and apparatus for dry processing of substrates
US5292393A (en) * 1986-12-19 1994-03-08 Applied Materials, Inc. Multichamber integrated process system
US5158644A (en) 1986-12-19 1992-10-27 Applied Materials, Inc. Reactor chamber self-cleaning process
JPH0770524B2 (ja) 1987-08-19 1995-07-31 富士通株式会社 半導体装置の製造方法
US5354386A (en) 1989-03-24 1994-10-11 National Semiconductor Corporation Method for plasma etching tapered and stepped vias
US5122225A (en) * 1990-11-21 1992-06-16 Texas Instruments Incorporated Selective etch method
JPH05275326A (ja) * 1992-03-30 1993-10-22 Sumitomo Metal Ind Ltd レジストのアッシング方法
US5716494A (en) * 1992-06-22 1998-02-10 Matsushita Electric Industrial Co., Ltd. Dry etching method, chemical vapor deposition method, and apparatus for processing semiconductor substrate
US5522932A (en) * 1993-05-14 1996-06-04 Applied Materials, Inc. Corrosion-resistant apparatus
EP0664347A3 (en) * 1994-01-25 1997-05-14 Applied Materials Inc Plant for the deposition of a uniform layer of a material on a substrate.
US5744049A (en) 1994-07-18 1998-04-28 Applied Materials, Inc. Plasma reactor with enhanced plasma uniformity by gas addition, and method of using same
US5900351A (en) * 1995-01-17 1999-05-04 International Business Machines Corporation Method for stripping photoresist
US5817406A (en) 1995-07-14 1998-10-06 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and brazing material connection
US5633073A (en) * 1995-07-14 1997-05-27 Applied Materials, Inc. Ceramic susceptor with embedded metal electrode and eutectic connection
JP3585591B2 (ja) * 1995-07-29 2004-11-04 株式会社半導体エネルギー研究所 エッチング装置及びエッチング方法
US6045618A (en) * 1995-09-25 2000-04-04 Applied Materials, Inc. Microwave apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6193802B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Parallel plate apparatus for in-situ vacuum line cleaning for substrate processing equipment
US6194628B1 (en) * 1995-09-25 2001-02-27 Applied Materials, Inc. Method and apparatus for cleaning a vacuum line in a CVD system
US6187072B1 (en) * 1995-09-25 2001-02-13 Applied Materials, Inc. Method and apparatus for reducing perfluorocompound gases from substrate processing equipment emissions
US5792269A (en) 1995-10-31 1998-08-11 Applied Materials, Inc. Gas distribution for CVD systems
US5968324A (en) 1995-12-05 1999-10-19 Applied Materials, Inc. Method and apparatus for depositing antireflective coating
US5707485A (en) * 1995-12-20 1998-01-13 Micron Technology, Inc. Method and apparatus for facilitating removal of material from the backside of wafers via a plasma etch
JPH09205130A (ja) 1996-01-17 1997-08-05 Applied Materials Inc ウェハ支持装置
US6013574A (en) 1996-01-30 2000-01-11 Advanced Micro Devices, Inc. Method of forming low resistance contact structures in vias arranged between two levels of interconnect lines
US5660682A (en) 1996-03-14 1997-08-26 Lsi Logic Corporation Plasma clean with hydrogen gas
US5814155A (en) 1996-06-26 1998-09-29 Vlsi Technology, Inc. Plasma ashing enhancement
US6083852A (en) 1997-05-07 2000-07-04 Applied Materials, Inc. Method for applying films using reduced deposition rates
US6156149A (en) 1997-05-07 2000-12-05 Applied Materials, Inc. In situ deposition of a dielectric oxide layer and anti-reflective coating
US6127262A (en) * 1996-06-28 2000-10-03 Applied Materials, Inc. Method and apparatus for depositing an etch stop layer
US6129091A (en) 1996-10-04 2000-10-10 Taiwan Semiconductor Manfacturing Company Method for cleaning silicon wafers with deep trenches
US6562544B1 (en) * 1996-11-04 2003-05-13 Applied Materials, Inc. Method and apparatus for improving accuracy in photolithographic processing of substrates
US5911834A (en) * 1996-11-18 1999-06-15 Applied Materials, Inc. Gas delivery system
US5844195A (en) 1996-11-18 1998-12-01 Applied Materials, Inc. Remote plasma source
US5830775A (en) 1996-11-26 1998-11-03 Sharp Microelectronics Technology, Inc. Raised silicided source/drain electrode formation with reduced substrate silicon consumption
US5811358A (en) 1997-01-03 1998-09-22 Mosel Vitelic Inc. Low temperature dry process for stripping photoresist after high dose ion implantation
US6039834A (en) * 1997-03-05 2000-03-21 Applied Materials, Inc. Apparatus and methods for upgraded substrate processing system with microwave plasma source
US6077764A (en) * 1997-04-21 2000-06-20 Applied Materials, Inc. Process for depositing high deposition rate halogen-doped silicon oxide layer
US6306564B1 (en) 1997-05-27 2001-10-23 Tokyo Electron Limited Removal of resist or residue from semiconductors using supercritical carbon dioxide
US6177023B1 (en) * 1997-07-11 2001-01-23 Applied Komatsu Technology, Inc. Method and apparatus for electrostatically maintaining substrate flatness
JP3317209B2 (ja) 1997-08-12 2002-08-26 東京エレクトロンエイ・ティー株式会社 プラズマ処理装置及びプラズマ処理方法
US5908672A (en) * 1997-10-15 1999-06-01 Applied Materials, Inc. Method and apparatus for depositing a planarized passivation layer
US6797188B1 (en) 1997-11-12 2004-09-28 Meihua Shen Self-cleaning process for etching silicon-containing material
US6098568A (en) 1997-12-01 2000-08-08 Applied Materials, Inc. Mixed frequency CVD apparatus
US6660656B2 (en) 1998-02-11 2003-12-09 Applied Materials Inc. Plasma processes for depositing low dielectric constant films
US6054379A (en) * 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6593247B1 (en) 1998-02-11 2003-07-15 Applied Materials, Inc. Method of depositing low k films using an oxidizing plasma
US6287990B1 (en) 1998-02-11 2001-09-11 Applied Materials, Inc. CVD plasma assisted low dielectric constant films
US6340435B1 (en) * 1998-02-11 2002-01-22 Applied Materials, Inc. Integrated low K dielectrics and etch stops
US6303523B2 (en) 1998-02-11 2001-10-16 Applied Materials, Inc. Plasma processes for depositing low dielectric constant films
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6203657B1 (en) * 1998-03-31 2001-03-20 Lam Research Corporation Inductively coupled plasma downstream strip module
US5980770A (en) 1998-04-16 1999-11-09 Siemens Aktiengesellschaft Removal of post-RIE polymer on Al/Cu metal line
US6432830B1 (en) * 1998-05-15 2002-08-13 Applied Materials, Inc. Semiconductor fabrication process
US6086952A (en) 1998-06-15 2000-07-11 Applied Materials, Inc. Chemical vapor deposition of a copolymer of p-xylylene and a multivinyl silicon/oxygen comonomer
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6277733B1 (en) 1998-10-05 2001-08-21 Texas Instruments Incorporated Oxygen-free, dry plasma process for polymer removal
US6342446B1 (en) * 1998-10-06 2002-01-29 Texas Instruments Incorporated Plasma process for organic residue removal from copper
US6171945B1 (en) * 1998-10-22 2001-01-09 Applied Materials, Inc. CVD nanoporous silica low dielectric constant films
US6245690B1 (en) * 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6107184A (en) 1998-12-09 2000-08-22 Applied Materials, Inc. Nano-porous copolymer films having low dielectric constants
US6417080B1 (en) 1999-01-28 2002-07-09 Canon Kabushiki Kaisha Method of processing residue of ion implanted photoresist, and method of producing semiconductor device
US6130166A (en) 1999-02-01 2000-10-10 Vlsi Technology, Inc. Alternative plasma chemistry for enhanced photoresist removal
US6242350B1 (en) 1999-03-18 2001-06-05 Taiwan Semiconductor Manufacturing Company Post gate etch cleaning process for self-aligned gate mosfets
US6204192B1 (en) * 1999-03-29 2001-03-20 Lsi Logic Corporation Plasma cleaning process for openings formed in at least one low dielectric constant insulation layer over copper metallization in integrated circuit structures
US6709715B1 (en) * 1999-06-17 2004-03-23 Applied Materials Inc. Plasma enhanced chemical vapor deposition of copolymer of parylene N and comonomers with various double bonds
US6030901A (en) 1999-06-24 2000-02-29 Advanced Micro Devices, Inc. Photoresist stripping without degrading low dielectric constant materials
US6177347B1 (en) 1999-07-02 2001-01-23 Taiwan Semiconductor Manufacturing Company In-situ cleaning process for Cu metallization
US6281135B1 (en) 1999-08-05 2001-08-28 Axcelis Technologies, Inc. Oxygen free plasma stripping process
US6313042B1 (en) 1999-09-03 2001-11-06 Applied Materials, Inc. Cleaning contact with successive fluorine and hydrogen plasmas
US6767698B2 (en) 1999-09-29 2004-07-27 Tokyo Electron Limited High speed stripping for damaged photoresist
US6287643B1 (en) * 1999-09-30 2001-09-11 Novellus Systems, Inc. Apparatus and method for injecting and modifying gas concentration of a meta-stable or atomic species in a downstream plasma reactor
JP4221847B2 (ja) 1999-10-25 2009-02-12 パナソニック電工株式会社 プラズマ処理装置及びプラズマ点灯方法
JP3366301B2 (ja) 1999-11-10 2003-01-14 日本電気株式会社 プラズマcvd装置
US6352938B2 (en) 1999-12-09 2002-03-05 United Microelectronics Corp. Method of removing photoresist and reducing native oxide in dual damascene copper process
US6365516B1 (en) * 2000-01-14 2002-04-02 Advanced Micro Devices, Inc. Advanced cobalt silicidation with in-situ hydrogen plasma clean
JP2001308078A (ja) 2000-02-15 2001-11-02 Canon Inc 有機物除去方法、半導体装置の製造方法及び有機物除去装置並びにシステム
US20010027023A1 (en) 2000-02-15 2001-10-04 Shigenori Ishihara Organic substance removing methods, methods of producing semiconductor device, and organic substance removing apparatuses
US6184134B1 (en) * 2000-02-18 2001-02-06 Infineon Technologies North America Corp. Dry process for cleaning residues/polymers after metal etch
US6667244B1 (en) * 2000-03-24 2003-12-23 Gerald M. Cox Method for etching sidewall polymer and other residues from the surface of semiconductor devices
JP4470274B2 (ja) * 2000-04-26 2010-06-02 東京エレクトロン株式会社 熱処理装置
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6426304B1 (en) 2000-06-30 2002-07-30 Lam Research Corporation Post etch photoresist strip with hydrogen for organosilicate glass low-κ etch applications
US20020185226A1 (en) 2000-08-10 2002-12-12 Lea Leslie Michael Plasma processing apparatus
US6562090B1 (en) * 2000-08-28 2003-05-13 Hercules Incorporated Fluid abrasive suspension for use in dentifrices
DE10051380C2 (de) * 2000-10-17 2002-11-28 Advanced Micro Devices Inc Verfahren zur Herstellung eines Halbleiterbauteils unter Anwendung eines Schrumpfprozesses eines Strukturmerkmals
US6569257B1 (en) * 2000-11-09 2003-05-27 Applied Materials Inc. Method for cleaning a process chamber
US6692903B2 (en) 2000-12-13 2004-02-17 Applied Materials, Inc Substrate cleaning apparatus and method
US6733594B2 (en) * 2000-12-21 2004-05-11 Lam Research Corporation Method and apparatus for reducing He backside faults during wafer processing
US6479391B2 (en) 2000-12-22 2002-11-12 Intel Corporation Method for making a dual damascene interconnect using a multilayer hard mask
US20020127853A1 (en) * 2000-12-29 2002-09-12 Hubacek Jerome S. Electrode for plasma processes and method for manufacture and use thereof
US6319842B1 (en) 2001-01-02 2001-11-20 Novellus Systems Incorporated Method of cleansing vias in semiconductor wafer having metal conductive layer
US6589879B2 (en) 2001-01-18 2003-07-08 Applied Materials, Inc. Nitride open etch process based on trifluoromethane and sulfur hexafluoride
US7753546B2 (en) * 2001-02-07 2010-07-13 World Factory, Inc. Umbrella apparatus
US6777344B2 (en) * 2001-02-12 2004-08-17 Lam Research Corporation Post-etch photoresist strip with O2 and NH3 for organosilicate glass low-K dielectric etch applications
JP4133333B2 (ja) * 2001-02-15 2008-08-13 東京エレクトロン株式会社 被処理体の処理方法及びその処理装置
US7186648B1 (en) * 2001-03-13 2007-03-06 Novellus Systems, Inc. Barrier first method for single damascene trench applications
US6764940B1 (en) 2001-03-13 2004-07-20 Novellus Systems, Inc. Method for depositing a diffusion barrier for copper interconnect applications
US6723654B2 (en) 2001-03-30 2004-04-20 Taiwan Semiconductor Manufacturing Co., Ltd Method and apparatus for in-situ descum/hot bake/dry etch photoresist/polyimide layer
US6951823B2 (en) 2001-05-14 2005-10-04 Axcelis Technologies, Inc. Plasma ashing process
US6834656B2 (en) 2001-05-23 2004-12-28 Axcelis Technology, Inc. Plasma process for removing polymer and residues from substrates
US6875702B2 (en) 2001-06-11 2005-04-05 Lsi Logic Corporation Plasma treatment system
US6632735B2 (en) 2001-08-07 2003-10-14 Applied Materials, Inc. Method of depositing low dielectric constant carbon doped silicon oxide
US20030036284A1 (en) 2001-08-16 2003-02-20 Yu-Ren Chou Method for removing the photoresist layer of ion-implanting process
US6872652B2 (en) * 2001-08-28 2005-03-29 Infineon Technologies Ag Method of cleaning an inter-level dielectric interconnect
JP4838464B2 (ja) 2001-09-26 2011-12-14 東京エレクトロン株式会社 処理方法
US6680164B2 (en) * 2001-11-30 2004-01-20 Applied Materials Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
JP4326746B2 (ja) 2002-01-07 2009-09-09 東京エレクトロン株式会社 プラズマ処理方法
US6720132B2 (en) * 2002-01-08 2004-04-13 Taiwan Semiconductor Manufacturing Co., Ltd. Bi-layer photoresist dry development and reactive ion etch method
US7390755B1 (en) 2002-03-26 2008-06-24 Novellus Systems, Inc. Methods for post etch cleans
US6848455B1 (en) * 2002-04-22 2005-02-01 Novellus Systems, Inc. Method and apparatus for removing photoresist and post-etch residue from semiconductor substrates by in-situ generation of oxidizing species
US7074298B2 (en) 2002-05-17 2006-07-11 Applied Materials High density plasma CVD chamber
US6656832B1 (en) 2002-07-25 2003-12-02 Taiwan Semiconductor Manufacturing Co., Ltd Plasma treatment method for fabricating microelectronic fabrication having formed therein conductor layer with enhanced electrical properties
US7833957B2 (en) * 2002-08-22 2010-11-16 Daikin Industries, Ltd. Removing solution
US6900135B2 (en) * 2002-08-27 2005-05-31 Applied Materials, Inc. Buffer station for wafer backside cleaning and inspection
US6693043B1 (en) * 2002-09-20 2004-02-17 Novellus Systems, Inc. Method for removing photoresist from low-k films in a downstream plasma system
JP2004152136A (ja) * 2002-10-31 2004-05-27 Matsushita Electric Ind Co Ltd データ更新システム、データ更新システムの差分データ生成装置及びプログラム、並びに更新後ファイル復元装置及びプログラム
US6837967B1 (en) * 2002-11-06 2005-01-04 Lsi Logic Corporation Method and apparatus for cleaning deposited films from the edge of a wafer
US6787452B2 (en) 2002-11-08 2004-09-07 Chartered Semiconductor Manufacturing Ltd. Use of amorphous carbon as a removable ARC material for dual damascene fabrication
KR100476136B1 (ko) 2002-12-02 2005-03-10 주식회사 셈테크놀러지 대기압 플라즈마를 이용한 표면처리장치
US6780782B1 (en) 2003-02-04 2004-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Bi-level resist structure and fabrication method for contact holes on semiconductor substrates
US20040195208A1 (en) 2003-02-15 2004-10-07 Pavel Elizabeth G. Method and apparatus for performing hydrogen optical emission endpoint detection for photoresist strip and residue removal
US20040237997A1 (en) 2003-05-27 2004-12-02 Applied Materials, Inc. ; Method for removal of residue from a substrate
US7205240B2 (en) 2003-06-04 2007-04-17 Applied Materials, Inc. HDP-CVD multistep gapfill process
JP4278444B2 (ja) * 2003-06-17 2009-06-17 株式会社日立製作所 仮想ポート名の管理装置
US7270237B2 (en) * 2003-07-24 2007-09-18 Stanely T. Mandeltort Waterproof document storage device
US7256134B2 (en) 2003-08-01 2007-08-14 Applied Materials, Inc. Selective etching of carbon-doped low-k dielectrics
US6924239B2 (en) * 2003-10-14 2005-08-02 Texas Instruments Incorporated Method for removal of hydrocarbon contamination on gate oxide prior to non-thermal nitridation using “spike” radical oxidation
US20050106888A1 (en) 2003-11-14 2005-05-19 Taiwan Semiconductor Manufacturing Co. Method of in-situ damage removal - post O2 dry process
US20050158667A1 (en) 2004-01-20 2005-07-21 Applied Materials, Inc. Solvent free photoresist strip and residue removal processing for post etching of low-k films
US7361605B2 (en) 2004-01-20 2008-04-22 Mattson Technology, Inc. System and method for removal of photoresist and residues following contact etch with a stop layer present
CN1914714B (zh) 2004-03-31 2011-09-28 富士通半导体股份有限公司 基板处理装置及半导体装置的制造方法
US7628864B2 (en) 2004-04-28 2009-12-08 Tokyo Electron Limited Substrate cleaning apparatus and method
US7288484B1 (en) 2004-07-13 2007-10-30 Novellus Systems, Inc. Photoresist strip method for low-k dielectrics
US7029967B2 (en) * 2004-07-21 2006-04-18 Texas Instruments Incorporated Silicide method for CMOS integrated circuits
US20060016202A1 (en) * 2004-07-23 2006-01-26 Daniel Lyvers Refrigerator with system for controlling drawer temperatures
US7632756B2 (en) * 2004-08-26 2009-12-15 Applied Materials, Inc. Semiconductor processing using energized hydrogen gas and in combination with wet cleaning
US7597816B2 (en) 2004-09-03 2009-10-06 Lam Research Corporation Wafer bevel polymer removal
US20060051965A1 (en) 2004-09-07 2006-03-09 Lam Research Corporation Methods of etching photoresist on substrates
US20060102197A1 (en) * 2004-11-16 2006-05-18 Kang-Lie Chiang Post-etch treatment to remove residues
US8193096B2 (en) 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US7202176B1 (en) * 2004-12-13 2007-04-10 Novellus Systems, Inc. Enhanced stripping of low-k films using downstream gas mixing
KR100607777B1 (ko) * 2004-12-27 2006-08-01 동부일렉트로닉스 주식회사 반도체 소자의 제조 방법
US7601272B2 (en) 2005-01-08 2009-10-13 Applied Materials, Inc. Method and apparatus for integrating metrology with etch processing
US7344993B2 (en) * 2005-01-11 2008-03-18 Tokyo Electron Limited, Inc. Low-pressure removal of photoresist and etch residue
US7268071B2 (en) 2005-01-12 2007-09-11 Sony Corporation Dual damascene interconnections having low K layer with reduced damage arising from photoresist stripping
US7432172B2 (en) 2005-01-21 2008-10-07 Tokyo Electron Limited Plasma etching method
JP2006221772A (ja) * 2005-02-14 2006-08-24 Fuji Photo Film Co Ltd ディスク状情報媒体の製造方法
US7198677B2 (en) 2005-03-09 2007-04-03 Wafermasters, Inc. Low temperature wafer backside cleaning
US8129281B1 (en) * 2005-05-12 2012-03-06 Novellus Systems, Inc. Plasma based photoresist removal system for cleaning post ash residue
JP2006351594A (ja) * 2005-06-13 2006-12-28 Toshiba Ceramics Co Ltd 半導体ウェーハの電気特性の測定方法
JP5011852B2 (ja) 2005-07-20 2012-08-29 富士通セミコンダクター株式会社 電子デバイスの製造方法
US7411298B2 (en) * 2005-08-17 2008-08-12 Kabushiki Kaisha Kobe Seiko Sho (Kobe Steel, Ltd.) Source/drain electrodes, thin-film transistor substrates, manufacture methods thereof, and display devices
US7468326B2 (en) 2005-08-24 2008-12-23 United Microelectronics Corp. Method of cleaning a wafer
US7465680B2 (en) 2005-09-07 2008-12-16 Applied Materials, Inc. Post deposition plasma treatment to increase tensile stress of HDP-CVD SIO2
US7909960B2 (en) * 2005-09-27 2011-03-22 Lam Research Corporation Apparatus and methods to remove films on bevel edge and backside of wafer
KR100742279B1 (ko) 2005-12-22 2007-07-24 삼성전자주식회사 반도체 소자의 제조 장치 및 방법
KR20070069802A (ko) * 2005-12-28 2007-07-03 엘지.필립스 엘시디 주식회사 평판표시소자의 제조장치 및 그를 이용한 기판파손방지방법
US7432209B2 (en) 2006-03-22 2008-10-07 Applied Materials, Inc. Plasma dielectric etch process including in-situ backside polymer removal for low-dielectric constant material
US8034176B2 (en) 2006-03-28 2011-10-11 Tokyo Electron Limited Gas distribution system for a post-etch treatment system
US7851369B2 (en) 2006-06-05 2010-12-14 Lam Research Corporation Hardmask trim method
US8124516B2 (en) 2006-08-21 2012-02-28 Lam Research Corporation Trilayer resist organic layer etch
US7740768B1 (en) 2006-10-12 2010-06-22 Novellus Systems, Inc. Simultaneous front side ash and backside clean
US7655571B2 (en) * 2006-10-26 2010-02-02 Applied Materials, Inc. Integrated method and apparatus for efficient removal of halogen residues from etched substrates
US7595005B2 (en) 2006-12-11 2009-09-29 Tokyo Electron Limited Method and apparatus for ashing a substrate using carbon dioxide
DE102006062035B4 (de) * 2006-12-29 2013-02-07 Advanced Micro Devices, Inc. Verfahren zum Entfernen von Lackmaterial nach einer Implantation mit hoher Dosis in einem Halbleiterbauelement
US8435895B2 (en) 2007-04-04 2013-05-07 Novellus Systems, Inc. Methods for stripping photoresist and/or cleaning metal regions
JP5332052B2 (ja) 2007-06-01 2013-11-06 シャープ株式会社 レジスト除去方法、半導体製造方法、及びレジスト除去装置
KR101440282B1 (ko) * 2007-07-11 2014-09-17 주성엔지니어링(주) 플라즈마 세정 방법
US20090061623A1 (en) * 2007-09-05 2009-03-05 United Microelectronics Corp. Method of forming electrical connection structure
JP5759177B2 (ja) 2008-02-08 2015-08-05 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理装置、半導体基板を処理する方法、および軸直角変位ベローズユニット
JP5102653B2 (ja) * 2008-02-29 2012-12-19 東京エレクトロン株式会社 プラズマエッチング方法、プラズマエッチング装置及びコンピュータ記憶媒体
US8791001B2 (en) 2008-09-08 2014-07-29 Taiwan Semiconductor Manufacturing Company, Ltd. N2 based plasma treatment and ash for HK metal gate protection
US8591661B2 (en) 2009-12-11 2013-11-26 Novellus Systems, Inc. Low damage photoresist strip method for low-K dielectrics
US8268722B2 (en) * 2009-06-03 2012-09-18 Novellus Systems, Inc. Interfacial capping layers for interconnects
WO2011008436A2 (en) * 2009-07-13 2011-01-20 Applied Materials, Inc. Method for removing implanted photo resist from hard disk drive substrates
CN102652351B (zh) 2009-12-11 2016-10-05 诺发系统有限公司 在高剂量植入剥除前保护硅的增强式钝化工艺
US20110143548A1 (en) 2009-12-11 2011-06-16 David Cheung Ultra low silicon loss high dose implant strip
US9613825B2 (en) 2011-08-26 2017-04-04 Novellus Systems, Inc. Photoresist strip processes for improved device integrity

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020005392A1 (en) * 1997-11-17 2002-01-17 Leroy Luo Systems and methods for variable mode plasma enhanced processing of semiconductor wafers
US20080286697A1 (en) * 2001-08-31 2008-11-20 Steven Verhaverbeke Method and apparatus for processing a wafer
JP2007019367A (ja) * 2005-07-11 2007-01-25 Ricoh Co Ltd 半導体装置の製造方法
US20090277871A1 (en) * 2008-03-05 2009-11-12 Axcelis Technologies, Inc. Plasma mediated ashing processes that include formation of a protective layer before and/or during the plasma mediated ashing process

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN110967925A (zh) * 2018-09-28 2020-04-07 台湾积体电路制造股份有限公司 制造和维护光掩摸的方法
CN114823297A (zh) * 2022-04-19 2022-07-29 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺
CN114823297B (zh) * 2022-04-19 2023-01-31 度亘激光技术(苏州)有限公司 光刻胶去除工艺及半导体制造工艺

Also Published As

Publication number Publication date
CN102652351B (zh) 2016-10-05
TW201140686A (en) 2011-11-16
WO2011072061A2 (en) 2011-06-16
TWI518773B (zh) 2016-01-21
KR101770008B1 (ko) 2017-08-21
KR20120107487A (ko) 2012-10-02
US20110139175A1 (en) 2011-06-16
WO2011072061A3 (en) 2011-09-22
JP2013513949A (ja) 2013-04-22
JP5770740B2 (ja) 2015-08-26
US8721797B2 (en) 2014-05-13

Similar Documents

Publication Publication Date Title
CN102652351A (zh) 在高剂量植入剥除前保护硅的增强式钝化工艺
US9941108B2 (en) High dose implantation strip (HDIS) in H2 base chemistry
TWI559363B (zh) 極低矽損失高劑量植入剝離
CN102955381B (zh) 用于改善的器件集成的光刻胶剥离方法
US10283615B2 (en) Ultrahigh selective polysilicon etch with high throughput
TWI657482B (zh) 用於多方向裝置加工的方向處理
US20140120733A1 (en) Low damage photoresist strip method for low-k dielectrics
US9514954B2 (en) Peroxide-vapor treatment for enhancing photoresist-strip performance and modifying organic films
JP5586077B2 (ja) 水素ベースの化学反応による高用量注入後の剥離(hdis)
KR20170058282A (ko) 고 쓰루풋을 갖는 초고 선택성 폴리실리콘 에칭
CN117055310A (zh) 一种去除光刻胶的方法

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C14 Grant of patent or utility model
GR01 Patent grant