CN102741989A - 固化无碳可流动cvd膜 - Google Patents

固化无碳可流动cvd膜 Download PDF

Info

Publication number
CN102741989A
CN102741989A CN2010800564165A CN201080056416A CN102741989A CN 102741989 A CN102741989 A CN 102741989A CN 2010800564165 A CN2010800564165 A CN 2010800564165A CN 201080056416 A CN201080056416 A CN 201080056416A CN 102741989 A CN102741989 A CN 102741989A
Authority
CN
China
Prior art keywords
base material
nitrogen
precursor
hydrogen
carbon
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN2010800564165A
Other languages
English (en)
Inventor
梁璟梅
洪锡湲
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN102741989A publication Critical patent/CN102741989A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45563Gas nozzles
    • C23C16/45565Shower nozzles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/34Nitrides
    • C23C16/345Silicon nitride
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/401Oxides containing silicon
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/448Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials
    • C23C16/452Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for generating reactive gas streams, e.g. by evaporation or sublimation of precursor materials by activating reactive gas streams before their introduction into the reaction chamber, e.g. by ionisation or addition of reactive species
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02219Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen
    • H01L21/02222Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and nitrogen the compound being a silazane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02321Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer
    • H01L21/02323Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen
    • H01L21/02326Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment introduction of substances into an already existing insulating layer introduction of oxygen into a nitride layer, e.g. changing SiN to SiON
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02318Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment
    • H01L21/02337Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer post-treatment treatment by exposure to a gas or vapour

Abstract

本发明描述了一种用来形成氧化硅层的方法。所述方法可以包括以下步骤:将无碳的含硅前体与自由基-氮-和/或-氢前体混合,并在基材上沉积含硅-氮-和-氢的层。然后通过在含臭氧的气氛中进行低温退火(“固化”)来引发所述含硅-氮-和-氢的层向含硅和氧的层转化。所述在含臭氧气氛中进行的硅和氮膜向氧化硅的转化可能是不完全的,在含氧气的气氛中补充进行较高温度的退火。

Description

固化无碳可流动CVD膜
相关申请的交叉引用
本申请是2010年9月28日提交的名为“固化无碳可流动CVD膜(CURINGNON-CARBON FLOWABLE CVD FILMS)”的美国专利申请第12/891,937号的PCT申请,要求Jingmei Liang等人在2009年11月12日提交的名为“固化无碳可流动CVD膜(CURING NON-CARBON FLOWABLE CVD FILMS)”的美国临时专利申请第61/260,568号的权益,这些文献全文都参考结合入本文中。
发明背景
自从半导体装置引进数十年以来,其几何尺寸已经显著减小。现代半导体制造设备经常制造特征尺寸为45纳米、32纳米和28纳米的装置,人们正在开发新的设备,用来制造具有更小几何结构的装置,并且将这些新的设备投入使用。特征尺寸的减小导致装置上的结构特征的空间尺度减小。所述装置上的间隙和沟槽的宽度减小到了一定的程度,使得间隙深度和宽度的纵横比高到足以使得用介电材料填充间隙造成困难。在沉积介电材料的时候,容易在间隙被完全填充之前,在顶部造成堵塞,在间隙的中部产生空隙或缝隙。
这些年来,人们开发了许多技术来避免介电材料堵塞间隙顶部,或者“修复”已经形成的空隙或缝隙。一种方法是使用高流动性的前体材料,将液相状态的所述材料施加于旋转的基材表面(例如SOG沉积技术)。这些可流动的前体能够流入极小的基材间隙中并填充这些间隙,同时不会形成空隙或者不牢固的缝隙。但是,一旦这些高流动性的材料沉积,则必须使其硬化,形成固体介电材料。
在许多的情况下,所述硬化过程包括采用热处理从沉积的材料中除去碳和羟基,留下固体电介质如氧化硅。不幸的是,碳和羟基的除去经常会在硬化的电介质中留下孔穴,所述孔穴会降低最终材料的质量。另外,所述硬化电介质操作还容易造成体积收缩,会在电介质与周围基材之间的界面处留下裂纹和空间。在一些情况下,所述硬化电介质的体积可以减小40%或者更多。
因此,人们需要新的沉积方法和材料,在结构化基材上形成介电材料,同时不会在基材的间隙和沟槽中形成空隙和/或缝隙。人们还需要用来对可流动的介电材料进行硬化的材料和方法,同时产生更少的孔穴,并且体积的减小程度更小。本发明解决这些需求以及其它需求。
发明概述
本发明描述了一种用来形成氧化硅层的方法。所述方法可以包括以下步骤:将无碳的含硅前体与自由基-氮-和/或-氢前体混合,并在基材上沉积含硅-氮-和-氢的层。然后通过在含臭氧的气氛中进行低温退火(“固化”)来引发所述含硅-氮-和-氢的层向含硅和氧的层转化。所述在含臭氧气氛中进行的硅和氮膜向氧化硅的转化可能是不完全的,在含氧气氛中补充进行较高温度的退火。
本发明的实施方式包括在基材加工室的无等离子体基材加工区之内,在基材上形成含硅和氧的层的方法。所述方法包括在所述基材上沉积无碳的含硅-氮-和-氢的层。所述方法还包括在含臭氧的气氛中,使得所述含硅-氮-和-氢-的层固化,使得所述含硅-氮-和-氢的层转化为氧化硅层。
在下文中列出了本发明的一部分实施方式和特征,另外,本领域技术人员通过阅读说明书或者实施本发明,可以了解到本发明的另外一部分实施方式和特征。通过说明书中所述的手段、组合和方法能够实现和获得本发明的特征和优点。
附图简要说明
通过参考说明书的剩余部分和附图,可以进一步了解本发明的性质和优点,在图中,相同的编号表示类似的对象。在一些情况下,编号包括用连字号相连的下标,用来表示多种类似的对象。当描述没有下标的编号的时候,表示所有的多种类似的对象。
图1是根据本发明一些实施方式用来制造氧化硅膜的选定步骤的流程图。
图2是根据本发明一些实施方式用来在基材间隙中形成氧化硅膜的选定步骤的另一流程图。
图3是根据本发明一些实施方式用来使得旋涂介电膜固化的选定步骤的另一流程图。
图4显示根据本发明实施方式的基材加工系统。
图5A显示根据本发明实施方式的基材加工室。
图5B显示根据本发明实施方式的气体分布莲蓬头。
具体实施方式
本发明描述了一种用来形成氧化硅层的方法。所述方法可以包括以下步骤:将无碳的含硅前体与自由基-氮-和/或-氢前体混合,并在基材上沉积含硅-氮-和-氢的层。然后通过在含臭氧的气氛中进行低温退火(“固化”)来引发所述含硅-氮-和-氢的层向含硅和氧的层的转化。所述在含臭氧的气氛中进行的硅和氮膜向氧化硅的转化可能是不完全的,在含氧气氛中补充进行较高温度的退火。
虽然不希望用尚不了解正确与否的假想理论来约束权利要求书的范围,但是进行一定的详细讨论总是有益的。与在含氧气的环境中、在较高基材温度下对基材仅进行退火的做法相比,通过在保持较低的基材温度的条件下,使得沉积的含硅-氮-和-氢的膜接触臭氧,可以提高氧含量。这可能是由于通过将自由基氮前体与无碳含硅前体混合来沉积硅-氮膜产生了相对开放的网络。所述开放的网络能够使得臭氧更深地渗入膜内,使得氧化物转化沿着基材的方向延伸。如果在高温条件下进行所述转化,可能会使得表面附近的网络闭合,由此限制转化的物理程度。
臭氧的反应活性介于分子氧和原子氧之间。分子氧需要较高的温度以使得氧化反应活化,这会导致表面附近开放的硅-氮网络闭合。这种闭合会对所述含硅-氮-和-氢的层较深的部分的氧化构成不利的限制。原子氧在低温条件下过于容易反应,也会使得网络闭合。我们发现臭氧能够提供稳定性,以便深深地渗入开放的网络中,同时不需要高温来促进氧化。下面将对形成氧化硅层的方法和体系的其它细节进行描述。
示例性的氧化硅形成工艺
图1是根据本发明一些实施方式,用来制造氧化硅膜的方法100中选定步骤的流程图。所述方法100包括向基材加工区域102提供无碳硅前体。所述无碳硅前体可以是例如硅-氮前体、硅-氢前体、或者含硅-氮前体,以及其它种类的硅前体。所述硅前体除了是无碳的以外,还可以是无氧的。由于缺少氧,会导致由所述前体形成的硅-氮层中硅羟基(Si-OH)的浓度较小。如果沉积的膜中硅羟基过多,会导致在用来从沉积的层中除去羟基(-OH)的沉积后步骤中,孔隙率和收缩程度增大。
无碳硅前体的具体例子可以包括甲硅烷基胺,例如H2N(SiH3),HN(SiH3)2和N(SiH3)3,以及其它的甲硅烷基胺。在不同的实施方式中,甲硅烷基胺的流速可以大于或等于约200sccm,大于或等于约300sccm,或者大于或等于约500sccm。本文给出的所有的流速针对双室基材加工系统。单晶片系统需要将所述流速减半,其它的晶片尺寸需要将流速按照处理面积按比例变化。可以将这些甲硅烷基胺与其它的气体混合,所述其它的气体可以作为载气和/或反应气体。其它的气体的例子包括H2,N2,NH3,He和Ar,以及其它的气体。无碳硅前体的例子还可以包括单独使用或者与其它的含硅(例如N(SiH3)3)气体、含氢(例如H2)气体和/或含氮(例如N2,NH3)气体混合使用的硅烷(SiH4)。无碳硅前体还可以包括乙硅烷、丙硅烷、甚至更高级的硅烷,以及氯代硅烷,这些硅烷单独使用,或者与另外的或者前述的无碳硅前体组合使用。
还可以向所述基材加工区域104提供自由基-氮前体。所述自由基-氮前体是由更稳定的氮前体在所述基材加工区域之外产生的含氮-自由基的前体。例如,包含NH3、肼(N2H4)和/或N2的稳定的氮前体化合物可以在所述加工室之外的室等离子体区域或者远程等离子体系统(RPS)中活化,形成自由基-氮前体,然后将所述自由基-氮前体传输到所述基材加工区域之内。在不同的实施方式中,所述稳定的氮前体还可以是包括以下物质的混合物:NH3&N2,NH3&H2,NH3&N2&H2以及N2&H2。在含N2和H2的混合物中,还可以使用肼代替NH3,或者将肼与NH3组合使用。在不同的实施方式中,稳定的氮前体的流速可以大于或等于约300sccm,大于或等于约500sccm,或者大于或等于约700sccm。在所述室等离子体区域中产生的自由基-氮前体可以是以下的一种或多种:·N,·NH,·NH2等等,还可以同时包含在等离子体中形成的离子化物质。还可以在远程等离子体中,将氧源与更稳定的氮前体合并,用来在减小流动性的同时对膜预加载氧。氧源可以包括以下的一种或多种:O2,H2O,O3,H2O2,N2O,NO或NO2
在利用室等离子体区域的实施方式中,在基材加工区域的与沉积区域隔离的区段内产生所述自由基-氮前体,在所述沉积区域中,所述前体发生混合和反应,在沉积基材(例如半导体晶片)上沉积硅-氮层。所述自由基-氮前体还可以包括载气,例如氢气(H2),氮气(N2),氦气,等等。在本文中,在含硅-氮-和-氢的层的生长过程中,以及低温臭氧固化过程中,可以认为所述基材加工区域是“无等离子体的”。"无等离子体"不一定表示所述区域完全没有等离子体。等离子体在室等离子体区域内的边界很难限定,有可能通过莲蓬头中的孔侵入到基材加工区域上。对于感应耦合等离子体,例如可能会在基材加工区域内直接引发少量的离子化。另外,可以在基材加工区域内产生低强度等离子体,而不消除形成膜的可流动性。在形成自由基氮前体的过程中,等离子体的离子密度远小于室等离子区域的离子密度的所有原因都不会偏离本发明所述的“无等离子体”的范围。
在基材加工区域中,所述无碳硅前体和自由基-氮前体发生混合和反应,在沉积基材106上沉积含硅-氮-和-氢的膜。在一些实施方式中,所述沉积的含硅-氮-和-氢的膜可以与一些设定组合相一致的方式沉积。在其它的实施方式中,所述沉积的含硅-氮-和-氢的膜具有可流动的特性,这与常规氮化硅(Si3N4)膜沉积技术不同。所述成形的可流动性使得膜能够流入基材沉积表面上的窄的间隙沟槽中和其它的结构中。
所述流动性可能是由于将自由基-氮前体与无碳硅前体混合造成的各种性质带来的。这些性质可以包括沉积的膜中大量的氢组分以及/或者存在短链聚硅氮烷聚合物。在成膜过程中和成膜之后,这些短链生长并网络化,形成更致密的介电材料。例如,所述沉积的膜可以具有硅氮烷类的Si-NH-Si主链(即无碳Si-N-H膜)。当硅前体和自由基氮前体均为无碳形式的时候,沉积的含硅-氮-和-氢的膜也是基本不含碳的。当然,“无碳”不一定表示膜中不含痕量的碳。在前体材料中可能存在碳污染物,由此引入沉积的硅-氮前体中。但是,这些碳杂质的量远远小于在包括碳部分的硅前体(例如TEOS,TMDSO等)中发现的量。
在沉积含硅-氮-和-氢的层之后,可以在含臭氧的气氛108中使得沉积基材发生固化。所述固化操作减小膜中(包括沟槽中)氮的浓度,同时增大膜中(包括沟槽中)氧的浓度。所述沉积基材可以保持在基材加工区域中进行固化,或者可以将所述基材转移到不同的室,在所述不同的室引入含臭氧的气氛。在不同的实施方式中,所述基材的固化温度可以约等于或低于600°C,约等于或低于400°C,约等于或低于300°C,约等于或低于250°C,约等于或低于200°C,或者约等于或低于150°C。在不同的实施方式中,所述基材的温度可以约等于或高于室温(25°C),约等于或高于50°C,约等于或高于100°C,约等于或高于150°C,或者约等于或高于200°C。根据本发明其它的实施方式,可以将任意所述上限与任意下限合并,形成基材温度的其它范围。在一些实施方式中,在基材加工区域中不存在等离子体,以避免产生原子氧,所述原子氧会使得表面附近的网络闭合,阻碍表面下方的氧化。在一些所述的实施方式中,在固化步骤过程中,臭氧流入基材加工区域的流速(仅仅由臭氧贡献)可以约等于或大于500sccm,约等于或大于1slm,约等于或大于2slm,或者约等于或大于5slm。在一些所述的实施方式中,在固化步骤过程中,臭氧的分压可以约等于或大于20Torr,约等于或大于30Torr,约等于或大于50Torr,或者约等于或大于100Torr。在一些实施方式中,在一些条件下(例如基材温度为大约100-200℃的条件下),发现所述转化基本完全,因此可能不需要在含氧气氛中进行较高温度的退火。在一些情况下,通过从约等于或低于250℃的温度升高到高于400℃的温度(例如550℃),使得含硅-氮-和-氢的膜进一步向氧化硅膜转化。在升高的温度条件下(高于400℃),通过向所述含臭氧的气氛添加水分(H2O)可以进一步提高向氧化硅膜的转化。
在含硅-氮的层固化之后,沉积基材可以在含氧气氛110中退火。当引入含氧气氛的时候,所述沉积基材可以保留在与用于固化的相同的基材加工区域中,或者可以将所述基材转移到不同的室,向不同的室中引入含氧气氛。所述含氧气氛可以包括一种或多种含氧气体,例如分子氧(O2),臭氧(O3),水蒸气(H2O),过氧化氢(H2O2)和氮的氧化物(NO,NO2,N2O等),以及其它的含氧气体。所述含氧气氛还可以包含自由基氧和氢氧自由基,例如原子氧(O),氢氧基(OH)等,这些自由基可以在远处产生,转移到所述基材室内。还可以包含含氧物质的离子。在不同的实施方式中,所述基材的氧退火温度可以约等于或低于1100°C,约等于或低于1000°C,约等于或低于900°C,或者约等于或低于800°C。在不同的实施方式中,所述基材的温度可以约等于或高于500℃,约等于或高于600℃,约等于或高于700℃,或者约等于或高于800°C。在所述的实施方式中,当所述含氧气氛中包含水蒸气的时候,基材温度约等于或高于100°C,约等于或高于200°C,约等于或高于300°C,或者约等于或高于400°C。类似地,根据本发明其它的实施方式,可以将任意所述上限与任意下限合并,形成基材温度的其它范围。
在氧退火过程中,在基材加工区域中可以存在或者不存在等离子体。进入所述CVD室的含氧气体可以包括一种或多种已经在进入所述基材加工区域之前活化(例如自由基化、离子化等)的化合物。例如,所述含氧气体可以包括自由基氧物质、氢氧自由基物质等,这些物质通过使得更稳定的前体化合物经过远程等离子体源,或者通过用莲蓬头(showerhead)与基材加工区域隔开的室等离子体区域而活化。所述更稳定的前体可以包括能够产生氢氧(OH)自由基和离子的水蒸气(H2O)和过氧化氢(H2O2),以及能够产生原子氧(O)自由基和离子的分子氧和/或臭氧。
所述固化和氧退火的含氧气氛提供氧,用来将含硅-氮-和-氢的膜转化为氧化硅(SiO2)膜。如前文所述,由于所述含硅-氮-和-氢的膜中缺少碳,因此最终氧化硅膜中形成的孔穴的数量少得多。还会导致在转化为氧化硅的过程中,膜体积减小(即收缩)的程度要更小。例如,由含碳的硅前体形成的硅-氮-碳层在转化为氧化硅的时候可能会收缩40体积%或更多,而基本无碳的硅-氮膜可能收缩大约15体积%或更少。
如上文所述,可以通过将自由基氮前体与各种无碳含硅前体组合来制备所述沉积的含硅-氮-和-氢的层。在一些实施方式中,所述无碳含硅前体可以是基本无氮的。在一些实施方式中,所述无碳含硅前体和自由基氮前体都包含氮。另一方面,在一些实施方式中,所述自由基前体可以基本无氮,所述含硅-氮-和-氢的层的氮可以由所述无碳含硅前体提供。因此,最一般来说,在本文中将自由基前体称作“自由基-氮-和/或-氢前体”,这表示所述前体包含氮和/或氢。类似地,将流入等离子体区域形成自由基-氮-和/或-氢前体的前体称作含氮-和/或-氢的前体。这些概括可以适用于本发明的所有实施方式。在一些实施方式中,所述含氮-和/或-氢的前体包括氢气(H2),而自由基-氮-和/或-氢前体包括·H等。
下面来看图2,图2是根据本发明一些实施方式用来在基材间隙中形成氧化硅膜的方法200的选定步骤的另一流程图。所述方法200可以包括将包括间隙的基材转移到基材加工区域(操作202)。所述基材可以包括用于将基材上形成的装置部件(例如晶体管)间隔和构造的多个间隙。所述间隙的高度和宽度可以满足以下条件:高度与宽度的纵横比(AR,即H/W)远远大于1:1(例如等于或大于5:1,等于或大于6:1,等于或大于7:1,等于或大于8:1,等于或大于9:1,等于或大于10:1,等于或大于11:1,等于或大于12:1,等等)。在很多情况下,所述高AR是由于间隙宽度很小造成的,所述间隙宽度约为90-22纳米或更小(例如小于90纳米,65纳米,50纳米,45纳米,32纳米,22纳米,16纳米等)。
在所述基材加工区域中,无碳硅前体与自由基氮前体混合(操作204)。可以在所述基材上沉积可流动的含硅-氮-和-氢的层(操作206)。因为所述层是可流动的,其可以填充具有高纵横比的间隙,同时不会在填充材料中心的周围形成空隙或不牢固的缝隙。例如,如果使用可流动的材料进行沉积,比较不容易在完全填充之前在间隙的顶部造成永久性堵塞,从而在间隙的中部留下空隙。
然后对新沉积的含硅-氮-和-氢的层进行固化(操作208),然后在含氧气氛中退火(操作210),从而将含硅-氮-和-氢的层转化为氧化硅。可以在较高的基材温度条件下、在惰性环境中进一步进行退火(图中未显示),以使得氧化硅层致密化。
通过在含氧气氛中对新沉积的含硅-氮-和-氢的层进行固化和退火,在基材(包括基材间隙208)上形成氧化硅层。在一些实施方式中,所述操作208和210的工艺参数范围与图1中关于操作108和110所述的范围相同。如上文所述,与那些使用含碳前体形成的类似的层相比(在热处理步骤之前,层中包含大量的碳),所述氧化硅层的孔穴更少,体积减小程度更低。在许多的情况下,体积减小足够小(例如约等于或小于15体积%),足以避免通过热处理后步骤对氧化硅收缩导致的间隙内形成的空间进行填充、修复、或者以其它方式进行消除。在一些实施方式中,所述沟槽中的氧化硅层基本不含孔穴。
图3是根据本发明一些实施方式,用来制造氧化硅膜的示例性方法中选定步骤的另一流程图。所述方法300可以包括将具有沟槽的图案化基材转移到旋涂介电(SOD)设备中。将无碳含硅-氮-和-氢的层倾倒在图案化的基材上,使得所述基材旋转,从而均匀地分布所述层(操作304)。新沉积的旋涂介电(SOD)层位于沟槽内,并且可以位于基材的其它区域上。所述SOD层包含硅和氮,在与操作108和208类似的条件下发生固化,从而引发SOD层氧化,形成氧化硅层。所述基材在含臭氧的环境中保持在相同的较低的温度下,以使得在更接近基材和沟槽内的位置发生氧化。在一些实施方式中,随后进行高温氧退火和更高温度的惰性退火,以对SOD层进行进一步氧化和致密化。
示例性的氧化硅沉积系统
用来实施本发明实施方式的沉积室可以包括高密度等离子体化学气相沉积(HDP-CVD)室,等离子体促进的化学气相沉积(PECVD)室,低于大气压的化学气相沉积(SACVD)室,热化学气相沉积室,以及其它种类的室。可以用来实施本发明的实施方式的CVD系统的具体例子包括CENTURA
Figure BDA00001755286200091
HDP-CVD室/系统,以及PECVD室/系统,可以购自美国加利福尼亚州圣克拉拉市(Santa Clara,Calif.)的应用材料有限公司(Applied Materials,Inc.)。
可以用于本发明的示例性方法的基材加工室的例子可以包括Lubomirsky等在2006年5月30日提交的名为“用于电介质间隙填充的加工室(PROCESSCHAMBER FOR DIELECTRIC GAPFILL)”的共同受让的美国临时专利申请第60/803,499号示出并描述的那些,该文献全文参考结合入本文中。其它的示例性系统可以包括美国专利第6,387,207号和第6,830,624号示出并描述那些,这些文献参考结合入本文中。
这些沉积系统的实施方式可以结合入用来制造集成电路芯片的更大型的制造系统中。图4显示根据所述实施方式的沉积、焙烧和固化室的系统400。在图中,一对FOUP(前部开口统一彀罩(unified pod))402供应基材(例如直径300毫米的晶片),所述基材由自动臂404接收,放入低压保持区域406内,然后放入晶片加工室408a-f中的一个之内。可以用第二自动臂410将基材晶片从保持区域406输送到加工室408a-f以及送回。
所述加工室408a-f可以包括用来在基材晶片上沉积可流动介电膜并对该可流动介电膜进行退火、固化和/或蚀刻的一种或多种系统部件。在一种构型中,可以使用两对加工室(例如408c-d和408e-f)在所述基材上沉积可流动的介电材料,第三对加工室(例如408a-b)可以用来对沉积的电介质进行退火。在另一种构型中,可以设置相同的两对加工室(例如408c-d和408e-f),用来在基材上沉积可流动的介电膜,并且对所述基材上的可流动介电膜进行退火,而第三对室(例如408a-b)可以用于沉积的膜的UV或电子束固化。在另一种构型中,全部三对室(例如408a-f)可以设置用来在基材上进行可流动介电膜的沉积和固化。在另一种构型中,两对加工室(例如408c-d和408e-f)可以用于可流动电介质的沉积以及紫外或电子束固化,而第三对加工室(例如408a-b)可以用来对介电膜进行退火。上述任意一种或多种工艺可以在不同实施方式所示的与制造系统隔开的室中实施。
另外,一个或多个加工室408a-f可以设置成湿处理室。这些加工室包括在含有水分的气氛中对可流动的电介质膜进行加热。因此,系统400的实施方式可以包括湿处理室408a-b和退火加工室408c-d,用来对沉积的介电膜进行湿退火和干退火。
图5A显示根据所述实施方式的基材加工室500。远程等离子体系统(RPS)510可以对气体进行处理,然后所述气体通过气体进入组件511。在所述气体进入组件511中可以观察到两个独立的供气通道。第一通道512承载那些通过远程等离子体系统RPS 510的气体,而第二通道513绕过RPS 500。在所述的实施方式中,第一通道502可以用于加工气体,而第二通道513可以用于处理气体。图中显示在盖子(或者传导性顶部部分)521和有孔的隔离件553之间具有绝缘环524,通过该绝缘环524可以相对于所述有孔的隔离件553对盖子521施加AC电势。加工气体通过第一通道512进入室等离子体区域520,可以单独地被室等离子体区域520内的等离子体激发,或者被室等离子体区域520和RPS510的组合内的等离子体激发。在本文中,将所述室等离子体区域520和/或RPS510的组合称为远程等离子体系统。所述有孔的隔离件(也称作莲蓬头)553将室等离子体区域520与所述莲蓬头553下方的基材加工区域570隔开。莲蓬头553使得室等离子体区域520中的等离子体不直接激发基材加工区域570中的气体,同时允许激发的物质通过室等离子体区域520进入基材加工区域570。
莲蓬头553位于室等离子体区域520和基材加工区域570之间,允许在室等离子体区域520中产生的等离子体流出物(前体或其它气体的激发的衍生物)通过横穿板厚度的多个通孔556。所述莲蓬头553还具有一个或多个空心体积551,所述空心体积中可以填充蒸气或者气体形式的前体(例如含硅前体),通过小孔555进入基材加工区域570,而不是直接进入室等离子体区域520。在所述的实施方式中,莲蓬头553的厚度大于通孔556的最小直径550的长度。为了维持相当高浓度的激发物质从室等离子体区域520渗入基材加工区域570,通过形成部分通过莲蓬头553的通孔556的较大直径部分,限制所述通孔的最小直径550的长度526。在所述的实施方式中,所述通孔556的最小直径550的长度可以约等于或小于通孔556的最小直径。
在图中所示的实施方式中,当在室等离子体区域520中用等离子体进行激发的时候,所述莲蓬头553可以(通过通孔556)分配加工气体,所述加工气体包含氧、氢和/或氮和/或这种加工气体的等离子体流出物。在一些实施方式中,通过第一通道512引入RPS 510和/或室等离子体区域520的加工气体可以包含以下的一种或多种:氧气(O2),臭氧(O3),N2O,NO,NO2,NH3,NZxHy(包括N2H4),硅烷,乙硅烷,TSA和DSA。所述加工气体还可以包括载气,例如氦气、氩气、氮气(N2)等。所述第二通道513还可以用来输送加工气体和/或载气,和/或膜固化气体,所述膜固化气体用来从生长中的或者新沉积的膜中除去不希望有的组分。等离子体流出物可以包括加工气体的离子化衍生物或中性衍生物,在本文中也可以称作自由基-氧前体和/或自由基-氮前体,表示引入的加工气体的原子组分。
在一些实施方式中,通孔556的数量可以约为60-2000。通孔556可以具有各种形状,但是最方便的情况是制成圆形。在所述实施方式中,通孔556的最小直径550可以约为0.5-20毫米,或者约为1-6毫米。通孔的横截面形状还可以在一定的范围内选择,所述横截面形状可以是圆锥形、圆柱形、或者这两种形状的组合。在不同的实施方式中,用来将气体引入基材加工区域570的小孔555的数量可以约为100至5000,或者约为500至2000。所述小孔555的直径可以约为0.1-2毫米。
图5B是根据所述的实施方式,用于加工室的莲蓬头553的底视图。莲蓬头553对应于图5A所示的莲蓬头。通孔556设置成在莲蓬头553的底部具有较大的内径(ID),在顶部具有较小的内径。小孔555基本均一地分布在莲蓬头的表面上,甚至分布在通孔556之中,与本发明所述的其它实施方式相比,所述小孔555有助于更均一的混合。
当通过莲蓬头553中的通孔556到达的等离子体流出物与从空心体积551通过小孔555到达的含硅前体合并的时候,在基材加工区域570中由底座(图中未显示)支承的基材上形成示例性的膜。虽然可以对基材加工区域570进行装配来支承等离子体用于其它的加工(例如固化),在示例性的膜的生长过程中,没有等离子体。
可以在莲蓬头553上方的室等离子体区域520内或者在莲蓬头553下方的基材加工区域570内激发等离子体。在室等离子体区域520中存在等离子体,用来由流入的含氮-和-氢的气体产生自由基氮前体。在沉积过程中,通常在所述加工室的传导性顶部部分521和莲蓬头553之间施加射频(RF)范围的直流电压,从而在室等离子体区域520中激发等离子体。RF能量源产生13.56MHz的高RF频率,但是还会单独产生其它频率或者与13.56MHz频率组合产生其它的频率。
当基材加工区域570内的底部等离子体开启的时候,顶部等离子体可以处于低功率或无功率的状态,用来使得膜固化或者对限定基材加工区域570的内表面进行清洁。通过在莲蓬头553以及底座或者室的底部之间施加直流电压,在基材加工区域570内激发等离子体。可以在存在等离子体的情况下,将清洁气体引入所述基材加工区域570。
所述底座可以具有热交换通道,热交换流体通过所述热交换通道,用来控制基材的温度。此种构型允许对基材的温度进行冷却或加热,以保持较低的温度(从室温至大约120°C)。热交换流体可以包括乙二醇和水。还可以使用嵌入的单环路加热元件对底座的晶片支承盘(优选是铝、陶瓷或其组合)进行电阻加热,以获得较高的温度(从大约120°C至1100°C),所述加热器元件设计成以平行的同心圆的形式形成两个完整的圆圈。所述加热器元件的外部部分可以与支承盘的外周相邻,而同心圆路径上的内部部分具有较小的半径。与加热器元件相连的金属导线通过底座的连接杆。
用系统控制器对基材加工系统进行控制。在一个示例性的实施方式中,所述系统控制器包括硬盘驱动器、软盘驱动器和处理器。所述处理器包括单板计算机(SBC),类似物和数字输入/输出面板,界面板和步进电机控制器板。CVD系统的各个部分符合Versa模块欧洲(VME)标准,限定了面板、插件箱以及连接器的尺寸和种类。所述VME标准还限定了总线结构具有16-比特数据总线和24-比特地址总线。
所述系统控制器控制CVD机器的所有活动。所述系统控制器支配系统控制软件,所述软件是储存在计算机可读介质中的计算机程序。较佳的是,所述介质是硬盘驱动器,但是所述介质也可以是其它种类的存储器。所述计算机程序包括很多组指令,用来指示时机选择、气体混合物、室压力、室温度、RF功率水平、基座位置以及具体工艺的其它参数。储存在其它存储装置(例如软盘或其它合适的驱动器)中的其它计算机程序也可以用来为系统控制器提供指令。
可以使用由系统控制器主导的计算机程序产品来实施在基材上沉积膜层叠体的过程或者用来清洁室的过程。可以使用任何常规的计算机可读编程语言编写所述计算机程序代码:例如,68000组合语言,C,C++,Pascal,Fortran等。使用常规的文件编辑器将合适的程序代码输入单个文件或者多个文件,在计算机可用介质(例如计算机的存储系统)中储存和实施。如果输入的代码文本是高等级语言,对编码进行汇编,然后将所得的汇编代码与预先汇编的微软视窗系统(Microsoft
Figure BDA00001755286200131
)图书馆路径的目标代码相关联。为了执行所述关联的汇编目标代码,系统用户调用目标代码,使得计算机系统导入存储器中的代码。然后CPU读取并执行代码,进行程序中设定的任务。
用户和控制器之间的界面是平板触敏监视器。在优选的实施方式中,使用两个监视器,一个安装在净室壁中供操作者使用,另一个安装在墙后供维护技术人员使用。所述两个监视器同时显示相同的信息,其中每次只有一个接受输入。为了选择特定的屏幕或者功能,操作者接触触敏监视器的指定区域。被接触的区域改变了其高亮度的颜色,或者显示新的菜单或屏幕,证明操作者和触敏监视器之间的通信。作为触敏监视器的替代或者补充,用户可以使用其它的装置,例如键盘、鼠标或者其它指向或通信装置与系统控制器相互交流。
在本文中,"基材"可以是其上形成有层或者没有层的支承基材。所述支承基材可以是绝缘体或者具有各种掺杂浓度和曲线的半导体,例如可以是在制造集成电路时使用的半导体基材。"氧化硅"层是含硅-氧材料的缩写,二者可以互换使用。因此,氧化硅可以包含各种浓度的其它元素态组分,例如氮、氢、碳等。在一些实施方式中,氧化硅主要由硅和氧组成。术语“前体”用来表示参与反应从而从表面除去材料或者在表面上沉积材料的任何加工气体。处于"激发态"的气体表示至少一部分气体分子处于振动激发态、解离态和/或离子化状态。气体(或前体)可以是两种或更多种气体(或前体)的组合。“自由基前体”表示参与反应用来从表面除去材料或者在表面上沉积材料的等离子体流出物(作为等离子体排出的激发态的气体)。“自由基-氮前体”表示含氮的自由基前体,“自由基-氢前体”表示含氢的自由基前体。术语"惰性气体"表示当进行蚀刻或者结合入膜中的时候不会形成化学键的任何气体。示例性的惰性气体包括稀有气体,但是还可以包括其它的气体,只要当膜中捕获痕量的所述气体时(通常)不会形成化学键即可。
在本文中使用术语"沟槽"并不表示蚀刻的几何结构具有大的水平纵横比。从表面上方观察,沟槽可以是圆形、椭圆形、多边形、矩形、或者各种其它的形状。术语“通路(via)”用来表示低纵横比的沟槽,其中可以填充了金属,也可以未填充金属,从而形成垂直的电连接。在本文中,保形层表示在表面上形成的具有与所述表面相同形状的大体均一的材料层,也即是说,所述层的表面和所述被覆盖的表面是大体平行的。本领域普通技术人员能够认识到,沉积的材料可能不会100%保形,因此术语“大体”可以包括可接受的容差。
虽然已经描述了一些实施方式,但是本领域技术人员能够认识到,可以在不背离本发明精神的前提下进行各种改良、替代结构和等价方式。另外,我们省去了对大量公知的工艺和元件的描述,以免对本发明造成不利的混淆。因此,以上描述不应看作对本发明范围的限制。
提供数值范围时,也应视作具体公开了该范围的上限和下限之间以下限单位十分之一为间隔的各中间数值,除非上下文另有明确说明。本发明还包括设定范围内任何设定数值或中间数值和该设定范围内任何其它设定数值或中间数值之间的较小范围。取决于设定范围内任何明确排除的限值,所述范围可独立地包含或排除这些较小范围的上下限,本发明也包括这些较小范围不包含限值、包含任一或两个限值的各范围。所述范围包含一个或两个限值时,排除这一个或两个限值以外的范围也包括在本发明范围内。
本文和所附权利要求书所用的单数形式“一个”、“一种”和“所述”包括复数含义,除非上下文另有明确说明。因此,例如,提到“一种工艺”包括多种这类工艺,提到“前体”包括本领域技术人员已知的一种或多种前体和其等同物,等等。
另外,在说明书和所附权利要求书中,用术语"包括"、"包含"、"含有"、"具有"和"有"来描述存在所述的特征、整数、组分或步骤,但是并不排除存在或附加一种或多种其它特征、整数、组分、步骤、动作或组的情况。

Claims (19)

1.一种在基材加工室内的无等离子体基材加工区域中的基材上形成含硅-氧的层的方法,所述方法包括:
在所述基材上沉积无碳含硅-氮-和-氢的层;以及
在含臭氧的气氛中,在固化温度条件下对所述含硅-氮-和-氢-的层进行固化,使得所述含硅-氮-和-氢的层转化为氧化硅层。
2.如权利要求1所述的方法,其特征在于,所述无碳含硅-氮-和-氢的层通过以下方式形成:
使得含氮-和/或-氢的前体流入等离子体区域,制得自由基-氮-和/或-氢前体;
在无等离子体的基材加工区域内,将无碳含硅前体与所述自由基-氮-和/或-氢前体合并;以及
在所述基材上沉积无碳含硅-氮-和-氢的层。
3.如权利要求1所述的方法,其特征在于,所述固化温度约等于或低于400℃。
4.如权利要求1所述的方法,其特征在于,所述固化温度约等于或低于200℃。
5.如权利要求1所述的方法,其特征在于,在固化操作过程中,所述固化温度从约等于或低于250℃升高到高于400℃的较高温度,从而进一步将所述无碳含硅-氮-和-氢的层转化为氧化硅层。
6.如权利要求5所述的方法,其特征在于,所述含臭氧的气氛还包含水蒸气(H2O),同时基材处于较高的温度。
7.如权利要求1所述的方法,其特征在于,所述含氮-和/或-氢的气体包括以下物质中的至少一种:N2H2,NH3,N2和H2
8.如权利要求1所述的方法,其特征在于,所述含氮-和/或-氢的前体含氮,所述无碳含硅前体基本不含氮。
9.如权利要求1所述的方法,其特征在于,所述含氮-和/或-氢的前体不含氮,所述无碳含硅前体含氮。
10.如权利要求1所述的方法,其特征在于,所述无碳含硅的前体包括含硅-氮的前体。
11.如权利要求1所述的方法,其特征在于,所述无碳含硅前体包括N(SiH3)3
12.如权利要求1所述的方法,其特征在于,所述无碳含硅-氮-和-氢的层包括Si-N键和Si-H键。
13.如权利要求1所述的方法,所述方法还包括在含氧气氛中,使得基材的温度升高到约等于或高于600℃的氧退火温度。
14.如权利要求13所述的方法,其特征在于,所述含氧气氛包括选自下组的一种或多种气体:原子氧、臭氧、二氧化氮和水蒸气(H2O)。
15.如权利要求1所述的方法,其特征在于,所述基材是图案化的,包括宽度约等于或小于50纳米的沟槽。
16.如权利要求15所述的方法,其特征在于,所述沟槽中的氧化硅层是基本无孔穴的。
17.如权利要求1所述的方法,其特征在于,所述等离子体区域位于远程等离子体系统中。
18.如权利要求1所述的方法,其特征在于,所述等离子体区域是用莲蓬头与无等离子体基材加工区域隔开的基材加工室的隔离部分。
19.如权利要求1所述的方法,其特征在于,所述氧化硅主要由硅和氧组成。
CN2010800564165A 2009-11-12 2010-10-22 固化无碳可流动cvd膜 Pending CN102741989A (zh)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US26056809P 2009-11-12 2009-11-12
US61/260,568 2009-11-12
US12/891,937 2010-09-28
US12/891,937 US8449942B2 (en) 2009-11-12 2010-09-28 Methods of curing non-carbon flowable CVD films
PCT/US2010/053833 WO2011059675A2 (en) 2009-11-12 2010-10-22 Curing non-carbon flowable cvd films

Publications (1)

Publication Number Publication Date
CN102741989A true CN102741989A (zh) 2012-10-17

Family

ID=43974362

Family Applications (1)

Application Number Title Priority Date Filing Date
CN2010800564165A Pending CN102741989A (zh) 2009-11-12 2010-10-22 固化无碳可流动cvd膜

Country Status (6)

Country Link
US (1) US8449942B2 (zh)
JP (1) JP2013511150A (zh)
KR (1) KR20120094490A (zh)
CN (1) CN102741989A (zh)
TW (1) TW201126010A (zh)
WO (1) WO2011059675A2 (zh)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103794543A (zh) * 2012-10-31 2014-05-14 中芯国际集成电路制造(上海)有限公司 隔离结构及其形成方法
CN105489484A (zh) * 2014-10-13 2016-04-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN112382604A (zh) * 2020-11-02 2021-02-19 上海华力集成电路制造有限公司 可流动性电介质层的稳定方法

Families Citing this family (88)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7825038B2 (en) * 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US8232176B2 (en) * 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US7867923B2 (en) * 2007-10-22 2011-01-11 Applied Materials, Inc. High quality silicon oxide films by remote plasma CVD from disilane precursors
US20090120584A1 (en) * 2007-11-08 2009-05-14 Applied Materials, Inc. Counter-balanced substrate support
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
GB2462589B (en) * 2008-08-04 2013-02-20 Sony Comp Entertainment Europe Apparatus and method of viewing electronic documents
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) * 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
SG181670A1 (en) * 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US8329262B2 (en) * 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) * 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
JP2013516788A (ja) * 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
KR20110096843A (ko) * 2010-02-23 2011-08-31 삼성전자주식회사 반도체 소자의 제조 방법
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) * 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US8476142B2 (en) 2010-04-12 2013-07-02 Applied Materials, Inc. Preferential dielectric gapfill
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US20120180954A1 (en) 2011-01-18 2012-07-19 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing
US9404178B2 (en) * 2011-07-15 2016-08-02 Applied Materials, Inc. Surface treatment and deposition for reduced outgassing
US8617989B2 (en) 2011-09-26 2013-12-31 Applied Materials, Inc. Liner property improvement
US8551891B2 (en) 2011-10-04 2013-10-08 Applied Materials, Inc. Remote plasma burn-in
KR101867961B1 (ko) * 2012-02-13 2018-06-15 삼성전자주식회사 관통전극을 갖는 반도체 소자 및 그 제조방법
US9361429B2 (en) 2012-06-08 2016-06-07 Liposcience, Inc. Multi-parameter diabetes risk evaluations
US9470771B2 (en) 2012-06-08 2016-10-18 Liposcience, Inc. NMR measurements of NMR biomarker GlycA
US9928345B2 (en) 2012-06-08 2018-03-27 Liposciences, Inc. Multiple-marker risk parameters predictive of conversion to diabetes
US8889566B2 (en) 2012-09-11 2014-11-18 Applied Materials, Inc. Low cost flowable dielectric films
US8937011B2 (en) 2012-12-18 2015-01-20 Sandisk 3D Llc Method of forming crack free gap fill
US9018108B2 (en) * 2013-01-25 2015-04-28 Applied Materials, Inc. Low shrinkage dielectric films
US20140329027A1 (en) * 2013-05-02 2014-11-06 Applied Materials, Inc. Low temperature flowable curing for stress accommodation
KR102053350B1 (ko) 2013-06-13 2019-12-06 삼성전자주식회사 저유전율 절연층을 가진 반도체 소자를 형성하는 방법
US9190263B2 (en) * 2013-08-22 2015-11-17 Asm Ip Holding B.V. Method for forming SiOCH film using organoaminosilane annealing
US9698015B2 (en) 2013-10-21 2017-07-04 Applied Materials, Inc. Method for patterning a semiconductor substrate
CN105829259A (zh) * 2013-12-22 2016-08-03 应用材料公司 用于紫外线光刻的玻璃陶瓷及其制造方法
US9219006B2 (en) 2014-01-13 2015-12-22 Applied Materials, Inc. Flowable carbon film by FCVD hardware using remote plasma PECVD
US9412581B2 (en) 2014-07-16 2016-08-09 Applied Materials, Inc. Low-K dielectric gapfill by flowable deposition
US9362107B2 (en) 2014-09-30 2016-06-07 Applied Materials, Inc. Flowable low-k dielectric gapfill treatment
US9281314B1 (en) 2014-10-10 2016-03-08 Sandisk Technologies Inc. Non-volatile storage having oxide/nitride sidewall
TWI670756B (zh) 2014-12-22 2019-09-01 美商應用材料股份有限公司 藉由沉積調整來解決fcvd的線條彎曲
US9777378B2 (en) 2015-01-07 2017-10-03 Applied Materials, Inc. Advanced process flow for high quality FCVD films
US9728402B2 (en) 2015-08-21 2017-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Flowable films and methods of forming flowable films
KR102458309B1 (ko) 2015-12-28 2022-10-24 삼성전자주식회사 SiOCN 물질막의 형성 방법 및 반도체 소자의 제조 방법
US9991154B2 (en) * 2016-02-25 2018-06-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method for fabricating a fin field effect transistor and a shallow trench isolation
US11017998B2 (en) 2016-08-30 2021-05-25 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US10468244B2 (en) 2016-08-30 2019-11-05 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-K films to fill surface features
US11735413B2 (en) 2016-11-01 2023-08-22 Versum Materials Us, Llc Precursors and flowable CVD methods for making low-k films to fill surface features
US10224224B2 (en) 2017-03-10 2019-03-05 Micromaterials, LLC High pressure wafer processing systems and related methods
US10017856B1 (en) 2017-04-17 2018-07-10 Applied Materials, Inc. Flowable gapfill using solvents
US10847360B2 (en) 2017-05-25 2020-11-24 Applied Materials, Inc. High pressure treatment of silicon nitride film
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
WO2018222771A1 (en) 2017-06-02 2018-12-06 Applied Materials, Inc. Dry stripping of boron carbide hardmask
US10269571B2 (en) 2017-07-12 2019-04-23 Applied Materials, Inc. Methods for fabricating nanowire for semiconductor applications
US10234630B2 (en) 2017-07-12 2019-03-19 Applied Materials, Inc. Method for creating a high refractive index wave guide
US10179941B1 (en) 2017-07-14 2019-01-15 Applied Materials, Inc. Gas delivery system for high pressure processing chamber
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10096516B1 (en) 2017-08-18 2018-10-09 Applied Materials, Inc. Method of forming a barrier layer for through via applications
CN111095524B (zh) 2017-09-12 2023-10-03 应用材料公司 用于使用保护阻挡物层制造半导体结构的设备和方法
US10643867B2 (en) 2017-11-03 2020-05-05 Applied Materials, Inc. Annealing system and method
EP4321649A2 (en) 2017-11-11 2024-02-14 Micromaterials LLC Gas delivery system for high pressure processing chamber
JP7330181B2 (ja) 2017-11-16 2023-08-21 アプライド マテリアルズ インコーポレイテッド 高圧蒸気アニール処理装置
JP2021503714A (ja) 2017-11-17 2021-02-12 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 高圧処理システムのためのコンデンサシステム
JP7299898B2 (ja) 2018-01-24 2023-06-28 アプライド マテリアルズ インコーポレイテッド 高圧アニールを用いたシーム修復
SG11202006604RA (en) * 2018-01-26 2020-08-28 Applied Materials Inc Treatment methods for silicon nitride thin films
KR20230079236A (ko) 2018-03-09 2023-06-05 어플라이드 머티어리얼스, 인코포레이티드 금속 함유 재료들을 위한 고압 어닐링 프로세스
US10714331B2 (en) * 2018-04-04 2020-07-14 Applied Materials, Inc. Method to fabricate thermally stable low K-FinFET spacer
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US10566188B2 (en) 2018-05-17 2020-02-18 Applied Materials, Inc. Method to improve film stability
US11450526B2 (en) 2018-05-30 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Cyclic spin-on coating process for forming dielectric material
US10704141B2 (en) 2018-06-01 2020-07-07 Applied Materials, Inc. In-situ CVD and ALD coating of chamber to control metal contamination
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
US10675581B2 (en) 2018-08-06 2020-06-09 Applied Materials, Inc. Gas abatement apparatus
WO2020092002A1 (en) 2018-10-30 2020-05-07 Applied Materials, Inc. Methods for etching a structure for semiconductor applications
KR20210077779A (ko) 2018-11-16 2021-06-25 어플라이드 머티어리얼스, 인코포레이티드 강화된 확산 프로세스를 사용한 막 증착
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
US11756786B2 (en) 2019-01-18 2023-09-12 International Business Machines Corporation Forming high carbon content flowable dielectric film with low processing damage
KR20210021420A (ko) 2019-08-16 2021-02-26 삼성전자주식회사 저유전체 물질 층을 포함하는 반도체 소자 형성 방법
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020182893A1 (en) * 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
US20040082131A1 (en) * 2000-06-30 2004-04-29 Hitachi, Ltd. Semiconductor device and production method thereof
US20070066022A1 (en) * 2005-09-22 2007-03-22 Neng-Kuo Chen Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US20080305648A1 (en) * 2007-06-06 2008-12-11 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
CN101418438A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜

Family Cites Families (340)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US31598A (en) * 1861-03-05 Improvement in processes of treating gold and silver ores
US252240A (en) * 1882-01-10 Eichaed mokbis
US136347A (en) * 1873-02-25 Improvement in forks and grain-rakes
US4147571A (en) 1977-07-11 1979-04-03 Hewlett-Packard Company Method for vapor epitaxial deposition of III/V materials utilizing organometallic compounds and a halogen or halide in a hot wall system
US4200666A (en) * 1978-08-02 1980-04-29 Texas Instruments Incorporated Single component monomer for silicon nitride deposition
JPS61234534A (ja) * 1985-04-11 1986-10-18 Semiconductor Energy Lab Co Ltd 窒化珪素被膜作成方法
FR2598520B1 (fr) 1986-01-21 1994-01-28 Seiko Epson Corp Pellicule protectrice minerale
US4946593A (en) 1987-03-31 1990-08-07 Acushnet Company Rubber composition for use with potable water
US4910043A (en) * 1987-07-16 1990-03-20 Texas Instruments Incorporated Processing apparatus and method
US4818326A (en) 1987-07-16 1989-04-04 Texas Instruments Incorporated Processing apparatus
US4816098A (en) 1987-07-16 1989-03-28 Texas Instruments Incorporated Apparatus for transferring workpieces
US4931354A (en) 1987-11-02 1990-06-05 Murata Manufacturing Co., Ltd. Multilayer printed circuit board
JPH03257182A (ja) 1990-03-07 1991-11-15 Hitachi Ltd 表面加工装置
US5016332A (en) 1990-04-13 1991-05-21 Branson International Plasma Corporation Plasma reactor and process with wafer temperature control
US5578532A (en) 1990-07-16 1996-11-26 Novellus Systems, Inc. Wafer surface protection in a gas deposition process
US5843233A (en) 1990-07-16 1998-12-01 Novellus Systems, Inc. Exclusion guard and gas-based substrate protection for chemical vapor deposition apparatus
US5620525A (en) * 1990-07-16 1997-04-15 Novellus Systems, Inc. Apparatus for supporting a substrate and introducing gas flow doximate to an edge of the substrate
KR930009549B1 (ko) 1990-11-28 1993-10-06 현대전자산업 주식회사 고저항용 다결정 실리콘의 저항치 유지방법
US5436172A (en) 1991-05-20 1995-07-25 Texas Instruments Incorporated Real-time multi-zone semiconductor wafer temperature and process uniformity control system
US5426076A (en) 1991-07-16 1995-06-20 Intel Corporation Dielectric deposition and cleaning process for improved gap filling and device planarization
US5279784A (en) * 1992-05-05 1994-01-18 Bandag Licensing Corporation Method of fabrication of composite tire thread
US5271972A (en) 1992-08-17 1993-12-21 Applied Materials, Inc. Method for depositing ozone/TEOS silicon oxide films of reduced surface sensitivity
US5393708A (en) 1992-10-08 1995-02-28 Industrial Technology Research Institute Inter-metal-dielectric planarization process
JP2684942B2 (ja) * 1992-11-30 1997-12-03 日本電気株式会社 化学気相成長法と化学気相成長装置および多層配線の製造方法
US5377139A (en) * 1992-12-11 1994-12-27 Motorola, Inc. Process forming an integrated circuit
US5434109A (en) * 1993-04-27 1995-07-18 International Business Machines Corporation Oxidation of silicon nitride in semiconductor devices
US5587014A (en) 1993-12-22 1996-12-24 Sumitomo Chemical Company, Limited Method for manufacturing group III-V compound semiconductor crystals
US5679152A (en) 1994-01-27 1997-10-21 Advanced Technology Materials, Inc. Method of making a single crystals Ga*N article
US5547703A (en) 1994-04-11 1996-08-20 Dow Corning Corporation Method of forming si-o containing coatings
US5468687A (en) 1994-07-27 1995-11-21 International Business Machines Corporation Method of making TA2 O5 thin film by low temperature ozone plasma annealing (oxidation)
US5576071A (en) 1994-11-08 1996-11-19 Micron Technology, Inc. Method of reducing carbon incorporation into films produced by chemical vapor deposition involving organic precursor compounds
JPH08148559A (ja) 1994-11-15 1996-06-07 Fujitsu Ltd 絶縁膜を有する半導体装置の製造方法
US5530293A (en) 1994-11-28 1996-06-25 International Business Machines Corporation Carbon-free hydrogen silsesquioxane with dielectric constant less than 3.2 annealed in hydrogen for integrated circuits
US5558717A (en) 1994-11-30 1996-09-24 Applied Materials CVD Processing chamber
US5786263A (en) 1995-04-04 1998-07-28 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
JPH09237785A (ja) 1995-12-28 1997-09-09 Toshiba Corp 半導体装置およびその製造方法
JP2871580B2 (ja) * 1996-03-29 1999-03-17 日本電気株式会社 半導体装置の製造方法
US6070551A (en) 1996-05-13 2000-06-06 Applied Materials, Inc. Deposition chamber and method for depositing low dielectric constant films
US5827783A (en) 1996-08-23 1998-10-27 Mosel Vitelic, Inc. Stacked capacitor having improved charge storage capacity
US5935340A (en) 1996-11-13 1999-08-10 Applied Materials, Inc. Method and apparatus for gettering fluorine from chamber material surfaces
US5873781A (en) 1996-11-14 1999-02-23 Bally Gaming International, Inc. Gaming machine having truly random results
US5811325A (en) 1996-12-31 1998-09-22 Industrial Technology Research Institute Method of making a polysilicon carbon source/drain heterojunction thin-film transistor
US6090723A (en) 1997-02-10 2000-07-18 Micron Technology, Inc. Conditioning of dielectric materials
FR2759362B1 (fr) * 1997-02-10 1999-03-12 Saint Gobain Vitrage Substrat transparent muni d'au moins une couche mince a base de nitrure ou d'oxynitrure de silicium et son procede d'obtention
TW388100B (en) * 1997-02-18 2000-04-21 Hitachi Ulsi Eng Corp Semiconductor deivce and process for producing the same
US5937308A (en) 1997-03-26 1999-08-10 Advanced Micro Devices, Inc. Semiconductor trench isolation structure formed substantially within a single chamber
US6090442A (en) 1997-04-14 2000-07-18 University Technology Corporation Method of growing films on substrates at room temperatures using catalyzed binary reaction sequence chemistry
US6551665B1 (en) 1997-04-17 2003-04-22 Micron Technology, Inc. Method for improving thickness uniformity of deposited ozone-TEOS silicate glass layers
US5937323A (en) 1997-06-03 1999-08-10 Applied Materials, Inc. Sequencing of the recipe steps for the optimal low-k HDP-CVD processing
US6207587B1 (en) * 1997-06-24 2001-03-27 Micron Technology, Inc. Method for forming a dielectric
TW416100B (en) 1997-07-02 2000-12-21 Applied Materials Inc Control of oxygen to silane ratio in a seasoning process to improve particle performance in an HDP-CVD system
US6114219A (en) 1997-09-15 2000-09-05 Advanced Micro Devices, Inc. Method of manufacturing an isolation region in a semiconductor device using a flowable oxide-generating material
US6024044A (en) * 1997-10-09 2000-02-15 Applied Komatsu Technology, Inc. Dual frequency excitation of plasma for film deposition
US6624064B1 (en) 1997-10-10 2003-09-23 Applied Materials, Inc. Chamber seasoning method to improve adhesion of F-containing dielectric film to metal for VLSI application
US6087243A (en) 1997-10-21 2000-07-11 Advanced Micro Devices, Inc. Method of forming trench isolation with high integrity, ultra thin gate oxide
US6017791A (en) * 1997-11-10 2000-01-25 Taiwan Semiconductor Manufacturing Company Multi-layer silicon nitride deposition method for forming low oxidation temperature thermally oxidized silicon nitride/silicon oxide (no) layer
JP3141827B2 (ja) 1997-11-20 2001-03-07 日本電気株式会社 半導体装置の製造方法
US6009830A (en) * 1997-11-21 2000-01-04 Applied Materials Inc. Independent gas feeds in a plasma reactor
KR100253079B1 (ko) 1997-12-01 2000-04-15 윤종용 반도체 장치의 트렌치 격리 형성 방법
US6054379A (en) 1998-02-11 2000-04-25 Applied Materials, Inc. Method of depositing a low k dielectric with organo silane
US6413583B1 (en) 1998-02-11 2002-07-02 Applied Materials, Inc. Formation of a liquid-like silica layer by reaction of an organosilicon compound and a hydroxyl forming compound
US6156394A (en) 1998-04-17 2000-12-05 Optical Coating Laboratory, Inc. Polymeric optical substrate method of treatment
US6068884A (en) 1998-04-28 2000-05-30 Silcon Valley Group Thermal Systems, Llc Method of making low κ dielectric inorganic/organic hybrid films
US6165834A (en) 1998-05-07 2000-12-26 Micron Technology, Inc. Method of forming capacitors, method of processing dielectric layers, method of forming a DRAM cell
US6509283B1 (en) * 1998-05-13 2003-01-21 National Semiconductor Corporation Thermal oxidation method utilizing atomic oxygen to reduce dangling bonds in silicon dioxide grown on silicon
US6146970A (en) 1998-05-26 2000-11-14 Motorola Inc. Capped shallow trench isolation and method of formation
US6187682B1 (en) * 1998-05-26 2001-02-13 Motorola Inc. Inert plasma gas surface cleaning process performed insitu with physical vapor deposition (PVD) of a layer of material
US6667553B2 (en) 1998-05-29 2003-12-23 Dow Corning Corporation H:SiOC coated substrates
US6461970B1 (en) 1998-06-10 2002-10-08 Micron Technology, Inc. Method of reducing defects in anti-reflective coatings and semiconductor structures fabricated thereby
US6302964B1 (en) 1998-06-16 2001-10-16 Applied Materials, Inc. One-piece dual gas faceplate for a showerhead in a semiconductor wafer processing system
US6014979A (en) * 1998-06-22 2000-01-18 Applied Materials, Inc. Localizing cleaning plasma for semiconductor processing
US6406677B1 (en) 1998-07-22 2002-06-18 Eltron Research, Inc. Methods for low and ambient temperature preparation of precursors of compounds of group III metals and group V elements
US6410149B1 (en) 1998-08-27 2002-06-25 Alliedsignal Inc. Silane-based nanoporous silica thin films and precursors for making same
US6800571B2 (en) 1998-09-29 2004-10-05 Applied Materials Inc. CVD plasma assisted low dielectric constant films
US6197658B1 (en) 1998-10-30 2001-03-06 Taiwan Semiconductor Manufacturing Company Sub-atmospheric pressure thermal chemical vapor deposition (SACVD) trench isolation method with attenuated surface sensitivity
US6245690B1 (en) 1998-11-04 2001-06-12 Applied Materials, Inc. Method of improving moisture resistance of low dielectric constant films
US6121130A (en) 1998-11-16 2000-09-19 Chartered Semiconductor Manufacturing Ltd. Laser curing of spin-on dielectric thin films
US6583063B1 (en) 1998-12-03 2003-06-24 Applied Materials, Inc. Plasma etching of silicon using fluorinated gas mixtures
TW445570B (en) * 1998-12-11 2001-07-11 United Microelectronics Corp Manufacturing method for shallow trench isolation
US6469283B1 (en) 1999-03-04 2002-10-22 Applied Materials, Inc. Method and apparatus for reducing thermal gradients within a substrate support
US6290774B1 (en) * 1999-05-07 2001-09-18 Cbl Technology, Inc. Sequential hydride vapor phase epitaxy
US7091605B2 (en) 2001-09-21 2006-08-15 Eastman Kodak Company Highly moisture-sensitive electronic device element and method for fabrication
US6180490B1 (en) * 1999-05-25 2001-01-30 Chartered Semiconductor Manufacturing Ltd. Method of filling shallow trenches
US6204201B1 (en) * 1999-06-11 2001-03-20 Electron Vision Corporation Method of processing films prior to chemical vapor deposition using electron beam processing
US6524931B1 (en) * 1999-07-20 2003-02-25 Motorola, Inc. Method for forming a trench isolation structure in an integrated circuit
US6383954B1 (en) 1999-07-27 2002-05-07 Applied Materials, Inc. Process gas distribution for forming stable fluorine-doped silicate glass and other films
US6602806B1 (en) 1999-08-17 2003-08-05 Applied Materials, Inc. Thermal CVD process for depositing a low dielectric constant carbon-doped silicon oxide film
DE60025872T2 (de) 1999-10-25 2006-08-17 Dow Corning Corp., Midland Lösliche Siliconharzzusammensetzungen
US6682659B1 (en) * 1999-11-08 2004-01-27 Taiwan Semiconductor Manufacturing Company Method for forming corrosion inhibited conductor layer
JP2001144325A (ja) * 1999-11-12 2001-05-25 Sony Corp 窒化物系iii−v族化合物半導体の製造方法および半導体素子の製造方法
FI118804B (fi) * 1999-12-03 2008-03-31 Asm Int Menetelmä oksidikalvojen kasvattamiseksi
US6348420B1 (en) * 1999-12-23 2002-02-19 Asm America, Inc. Situ dielectric stacks
US6150286A (en) * 2000-01-03 2000-11-21 Advanced Micro Devices, Inc. Method of making an ultra thin silicon nitride film
US6440860B1 (en) 2000-01-18 2002-08-27 Micron Technology, Inc. Semiconductor processing methods of transferring patterns from patterned photoresists to materials, and structures comprising silicon nitride
US6541367B1 (en) 2000-01-18 2003-04-01 Applied Materials, Inc. Very low dielectric constant plasma-enhanced CVD films
US6461980B1 (en) 2000-01-28 2002-10-08 Applied Materials, Inc. Apparatus and process for controlling the temperature of a substrate in a plasma reactor chamber
EP1124252A2 (en) * 2000-02-10 2001-08-16 Applied Materials, Inc. Apparatus and process for processing substrates
EP1130633A1 (en) 2000-02-29 2001-09-05 STMicroelectronics S.r.l. A method of depositing silicon oxynitride polimer layers
US7419903B2 (en) 2000-03-07 2008-09-02 Asm International N.V. Thin films
US6558755B2 (en) 2000-03-20 2003-05-06 Dow Corning Corporation Plasma curing process for porous silica thin film
CN1227311C (zh) 2000-04-04 2005-11-16 旭化成株式会社 用于制备绝缘薄膜的涂料组合物
US6387207B1 (en) 2000-04-28 2002-05-14 Applied Materials, Inc. Integration of remote plasma generator with semiconductor processing chamber
US6630413B2 (en) 2000-04-28 2003-10-07 Asm Japan K.K. CVD syntheses of silicon nitride materials
US6495479B1 (en) * 2000-05-05 2002-12-17 Honeywell International, Inc. Simplified method to produce nanoporous silicon-based films
US6553932B2 (en) 2000-05-12 2003-04-29 Applied Materials, Inc. Reduction of plasma edge effect on plasma enhanced CVD processes
US6559026B1 (en) 2000-05-25 2003-05-06 Applied Materials, Inc Trench fill with HDP-CVD process including coupled high power density plasma deposition
JP4371543B2 (ja) * 2000-06-29 2009-11-25 日本電気株式会社 リモートプラズマcvd装置及び膜形成方法
US6835278B2 (en) 2000-07-07 2004-12-28 Mattson Technology Inc. Systems and methods for remote plasma clean
US7183177B2 (en) * 2000-08-11 2007-02-27 Applied Materials, Inc. Silicon-on-insulator wafer transfer method using surface activation plasma immersion ion implantation for wafer-to-wafer adhesion enhancement
US6614181B1 (en) 2000-08-23 2003-09-02 Applied Materials, Inc. UV radiation source for densification of CVD carbon-doped silicon oxide films
US6566278B1 (en) 2000-08-24 2003-05-20 Applied Materials Inc. Method for densification of CVD carbon-doped silicon oxide films through UV irradiation
US6682969B1 (en) * 2000-08-31 2004-01-27 Micron Technology, Inc. Top electrode in a strongly oxidizing environment
US6706634B1 (en) * 2000-09-19 2004-03-16 Infineon Technologies Ag Control of separation between transfer gate and storage node in vertical DRAM
JP4232330B2 (ja) * 2000-09-22 2009-03-04 東京エレクトロン株式会社 励起ガス形成装置、処理装置及び処理方法
JP3712356B2 (ja) * 2000-10-23 2005-11-02 アプライド マテリアルズ インコーポレイテッド 成膜方法および半導体装置の製造方法
US20020060322A1 (en) 2000-11-20 2002-05-23 Hiroshi Tanabe Thin film transistor having high mobility and high on-current and method for manufacturing the same
US6287962B1 (en) 2000-11-30 2001-09-11 Taiwan Semiconductor Manufacturing Company Method for making a novel graded silicon nitride/silicon oxide (SNO) hard mask for improved deep sub-micrometer semiconductor processing
US6531413B2 (en) 2000-12-05 2003-03-11 United Microelectronics Corp. Method for depositing an undoped silicate glass layer
KR100385947B1 (ko) 2000-12-06 2003-06-02 삼성전자주식회사 원자층 증착 방법에 의한 박막 형성 방법
US6930041B2 (en) 2000-12-07 2005-08-16 Micron Technology, Inc. Photo-assisted method for semiconductor fabrication
US6576564B2 (en) * 2000-12-07 2003-06-10 Micron Technology, Inc. Photo-assisted remote plasma apparatus and method
US6538274B2 (en) 2000-12-20 2003-03-25 Micron Technology, Inc. Reduction of damage in semiconductor container capacitors
US20020081817A1 (en) 2000-12-22 2002-06-27 Jayendra Bhakta Void reduction and increased throughput in trench fill processes
US6660662B2 (en) * 2001-01-26 2003-12-09 Applied Materials, Inc. Method of reducing plasma charge damage for plasma processes
US6599839B1 (en) 2001-02-02 2003-07-29 Advanced Micro Devices, Inc. Plasma etch process for nonhomogenous film
US6589868B2 (en) 2001-02-08 2003-07-08 Applied Materials, Inc. Si seasoning to reduce particles, extend clean frequency, block mobile ions and increase chamber throughput
KR100364026B1 (ko) 2001-02-22 2002-12-11 삼성전자 주식회사 층간 절연막 형성방법
US6632478B2 (en) 2001-02-22 2003-10-14 Applied Materials, Inc. Process for forming a low dielectric constant carbon-containing film
US6447651B1 (en) 2001-03-07 2002-09-10 Applied Materials, Inc. High-permeability magnetic shield for improved process uniformity in nonmagnetized plasma process chambers
JP3990920B2 (ja) 2001-03-13 2007-10-17 東京エレクトロン株式会社 膜形成方法及び膜形成装置
KR100897771B1 (ko) 2001-03-13 2009-05-15 도쿄엘렉트론가부시키가이샤 막형성방법 및 막형성장치
JP3924483B2 (ja) 2001-03-19 2007-06-06 アイピーエス リミテッド 化学気相蒸着装置
WO2002077320A1 (en) 2001-03-23 2002-10-03 Dow Corning Corporation Method for producing hydrogenated silicon oxycarbide films
US6596576B2 (en) 2001-04-10 2003-07-22 Applied Materials, Inc. Limiting hydrogen ion diffusion using multiple layers of SiO2 and Si3N4
FR2824062B1 (fr) * 2001-04-27 2004-10-15 Atofina Procede de fabrication de solutions aqueuses de sels insatures d'ammonium quaternaire
US6528332B2 (en) * 2001-04-27 2003-03-04 Advanced Micro Devices, Inc. Method and system for reducing polymer build up during plasma etch of an intermetal dielectric
US6780499B2 (en) 2001-05-03 2004-08-24 International Business Machines Corporation Ordered two-phase dielectric film, and semiconductor device containing the same
US6596653B2 (en) 2001-05-11 2003-07-22 Applied Materials, Inc. Hydrogen assisted undoped silicon oxide deposition process for HDP-CVD
US6716770B2 (en) * 2001-05-23 2004-04-06 Air Products And Chemicals, Inc. Low dielectric constant material and method of processing by CVD
JP2003017556A (ja) * 2001-06-29 2003-01-17 Mitsubishi Electric Corp 半導体装置およびその製造方法
KR100421046B1 (ko) * 2001-07-13 2004-03-04 삼성전자주식회사 반도체 장치 및 그 제조방법
US6548416B2 (en) * 2001-07-24 2003-04-15 Axcelis Technolgoies, Inc. Plasma ashing process
US7670770B2 (en) * 2001-07-25 2010-03-02 The Trustees Of Princeton University Nanochannel arrays and their preparation and use for high throughput macromolecular analysis
US6596654B1 (en) 2001-08-24 2003-07-22 Novellus Systems, Inc. Gap fill for high aspect ratio structures
AU2002323040A1 (en) * 2001-08-06 2003-02-24 Advanced Technology Material, Inc. Low-k dielectric thin films and chemical vapor deposition method of making same
US6531412B2 (en) 2001-08-10 2003-03-11 International Business Machines Corporation Method for low temperature chemical vapor deposition of low-k films using selected cyclosiloxane and ozone gases for semiconductor applications
KR100428768B1 (ko) * 2001-08-29 2004-04-30 삼성전자주식회사 트렌치 소자 분리형 반도체 장치 및 그 형성 방법
US6756085B2 (en) 2001-09-14 2004-06-29 Axcelis Technologies, Inc. Ultraviolet curing processes for advanced low-k materials
US6872323B1 (en) * 2001-11-01 2005-03-29 Novellus Systems, Inc. In situ plasma process to remove fluorine residues from the interior surfaces of a CVD reactor
US6770521B2 (en) * 2001-11-30 2004-08-03 Texas Instruments Incorporated Method of making multiple work function gates by implanting metals with metallic alloying additives
US6794290B1 (en) 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
JP3891267B2 (ja) 2001-12-25 2007-03-14 キヤノンアネルバ株式会社 シリコン酸化膜作製方法
US20030124873A1 (en) 2001-12-28 2003-07-03 Guangcai Xing Method of annealing an oxide film
JP2003204063A (ja) * 2002-01-10 2003-07-18 Toshiba Corp 半導体装置及びその製造方法
AU2003238853A1 (en) 2002-01-25 2003-09-02 Applied Materials, Inc. Apparatus for cyclical deposition of thin films
US6998014B2 (en) * 2002-01-26 2006-02-14 Applied Materials, Inc. Apparatus and method for plasma assisted deposition
US6911391B2 (en) 2002-01-26 2005-06-28 Applied Materials, Inc. Integration of titanium and titanium nitride layers
JP3868324B2 (ja) 2002-04-15 2007-01-17 三菱電機株式会社 シリコン窒化膜の成膜方法、成膜装置、及び半導体装置の製造方法
TW536775B (en) 2002-04-18 2003-06-11 Nanya Technology Corp Manufacturing method of shallow trench isolation structure
AU2003235305A1 (en) 2002-04-19 2003-11-03 Tokyo Electron Limited Method of treating substrate and process for producing semiconductor device
KR100468729B1 (ko) 2002-04-25 2005-01-29 삼성전자주식회사 Hcd 소스를 이용하여 실리콘 산화막을 원자층 증착하는방법
US6936551B2 (en) 2002-05-08 2005-08-30 Applied Materials Inc. Methods and apparatus for E-beam treatment used to fabricate integrated circuit devices
US7307273B2 (en) * 2002-06-07 2007-12-11 Amberwave Systems Corporation Control of strain in device layers by selective relaxation
TWI283899B (en) * 2002-07-09 2007-07-11 Applied Materials Inc Capacitively coupled plasma reactor with magnetic plasma control
US6900881B2 (en) * 2002-07-11 2005-05-31 Molecular Imprints, Inc. Step and repeat imprint lithography systems
US7294582B2 (en) * 2002-07-19 2007-11-13 Asm International, N.V. Low temperature silicon compound deposition
US6734082B2 (en) * 2002-08-06 2004-05-11 Chartered Semiconductor Manufacturing Ltd. Method of forming a shallow trench isolation structure featuring a group of insulator liner layers located on the surfaces of a shallow trench shape
US6825097B2 (en) * 2002-08-07 2004-11-30 International Business Machines Corporation Triple oxide fill for trench isolation
JP2004095889A (ja) 2002-08-30 2004-03-25 Fasl Japan Ltd 半導体記憶装置及びその製造方法
KR100459724B1 (ko) * 2002-09-11 2004-12-03 삼성전자주식회사 저온 원자층증착에 의한 질화막을 식각저지층으로이용하는 반도체 소자 및 그 제조방법
US7335609B2 (en) * 2004-08-27 2008-02-26 Applied Materials, Inc. Gap-fill depositions introducing hydroxyl-containing precursors in the formation of silicon containing dielectric materials
US7456116B2 (en) 2002-09-19 2008-11-25 Applied Materials, Inc. Gap-fill depositions in the formation of silicon containing dielectric materials
JP4358492B2 (ja) * 2002-09-25 2009-11-04 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード 熱化学気相成長法によるシリコン窒化物膜またはシリコンオキシ窒化物膜の製造方法
US6828211B2 (en) 2002-10-01 2004-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench filled with two or more dielectrics for isolation and coupling or for stress control
US6833322B2 (en) 2002-10-17 2004-12-21 Applied Materials, Inc. Apparatuses and methods for depositing an oxide film
US6819886B2 (en) 2002-10-23 2004-11-16 Nex Press Solutions Llc Gloss/density measurement device with feedback to control gloss and density of images produced by an electrographic reproduction apparatus
US7080528B2 (en) * 2002-10-23 2006-07-25 Applied Materials, Inc. Method of forming a phosphorus doped optical core using a PECVD process
JP4142941B2 (ja) 2002-12-06 2008-09-03 株式会社東芝 半導体装置の製造方法
US6858532B2 (en) 2002-12-10 2005-02-22 International Business Machines Corporation Low defect pre-emitter and pre-base oxide etch for bipolar transistors and related tooling
US6900067B2 (en) 2002-12-11 2005-05-31 Lumileds Lighting U.S., Llc Growth of III-nitride films on mismatched substrates without conventional low temperature nucleation layers
US7972663B2 (en) 2002-12-20 2011-07-05 Applied Materials, Inc. Method and apparatus for forming a high quality low temperature silicon nitride layer
US6923189B2 (en) 2003-01-16 2005-08-02 Applied Materials, Inc. Cleaning of CVD chambers using remote source with cxfyoz based chemistry
US7122222B2 (en) 2003-01-23 2006-10-17 Air Products And Chemicals, Inc. Precursors for depositing silicon containing films and processes thereof
US6808748B2 (en) 2003-01-23 2004-10-26 Applied Materials, Inc. Hydrogen assisted HDP-CVD deposition process for aggressive gap-fill technology
US7723242B2 (en) 2004-03-15 2010-05-25 Sharp Laboratories Of America, Inc. Enhanced thin-film oxidation process
US7205248B2 (en) * 2003-02-04 2007-04-17 Micron Technology, Inc. Method of eliminating residual carbon from flowable oxide fill
US6884685B2 (en) 2003-02-14 2005-04-26 Freescale Semiconductors, Inc. Radical oxidation and/or nitridation during metal oxide layer deposition process
US7084076B2 (en) 2003-02-27 2006-08-01 Samsung Electronics, Co., Ltd. Method for forming silicon dioxide film using siloxane
US7098149B2 (en) 2003-03-04 2006-08-29 Air Products And Chemicals, Inc. Mechanical enhancement of dense and porous organosilicate materials by UV exposure
US7429540B2 (en) 2003-03-07 2008-09-30 Applied Materials, Inc. Silicon oxynitride gate dielectric formation using multiple annealing steps
US6867086B1 (en) * 2003-03-13 2005-03-15 Novellus Systems, Inc. Multi-step deposition and etch back gap fill process
JP2004283065A (ja) 2003-03-20 2004-10-14 Ushio Inc 化学走性機能制御膜の製造方法および人工材料並びに人工材料の製造方法
US7176144B1 (en) * 2003-03-31 2007-02-13 Novellus Systems, Inc. Plasma detemplating and silanol capping of porous dielectric films
JP4140768B2 (ja) 2003-04-24 2008-08-27 株式会社日立国際電気 半導体原料
JP3976703B2 (ja) 2003-04-30 2007-09-19 エルピーダメモリ株式会社 半導体装置の製造方法
US6830624B2 (en) 2003-05-02 2004-12-14 Applied Materials, Inc. Blocker plate by-pass for remote plasma clean
US20040231590A1 (en) 2003-05-19 2004-11-25 Ovshinsky Stanford R. Deposition apparatus for the formation of polycrystalline materials on mobile substrates
US6958112B2 (en) 2003-05-27 2005-10-25 Applied Materials, Inc. Methods and systems for high-aspect-ratio gapfill using atomic-oxygen generation
JP2005033173A (ja) 2003-06-16 2005-02-03 Renesas Technology Corp 半導体集積回路装置の製造方法
US7399388B2 (en) * 2003-07-25 2008-07-15 Applied Materials, Inc. Sequential gas flow oxide deposition technique
US7192891B2 (en) * 2003-08-01 2007-03-20 Samsung Electronics, Co., Ltd. Method for forming a silicon oxide layer using spin-on glass
US6818517B1 (en) 2003-08-29 2004-11-16 Asm International N.V. Methods of depositing two or more layers on a substrate in situ
US7361991B2 (en) * 2003-09-19 2008-04-22 International Business Machines Corporation Closed air gap interconnect structure
US20050121145A1 (en) 2003-09-25 2005-06-09 Du Bois Dale R. Thermal processing system with cross flow injection system with rotatable injectors
JP4285184B2 (ja) 2003-10-14 2009-06-24 東京エレクトロン株式会社 成膜方法及び成膜装置
DE10350752A1 (de) 2003-10-30 2005-06-09 Infineon Technologies Ag Verfahren zum Ausbilden eines Dielektrikums auf einer kupferhaltigen Metallisierung und Kondensatoranordnung
US20050227017A1 (en) * 2003-10-31 2005-10-13 Yoshihide Senzaki Low temperature deposition of silicon nitride
JP4273932B2 (ja) 2003-11-07 2009-06-03 株式会社島津製作所 表面波励起プラズマcvd装置
CA2540039A1 (en) 2003-12-17 2005-07-28 Cedraeus Inc. Method for a random-based decision-making process
US7030468B2 (en) * 2004-01-16 2006-04-18 International Business Machines Corporation Low k and ultra low k SiCOH dielectric films and methods to form the same
KR101157683B1 (ko) 2004-02-17 2012-07-06 도아고세이가부시키가이샤 실리콘 산화막의 제조 방법
US7067438B2 (en) 2004-02-19 2006-06-27 Micron Technology, Inc. Atomic layer deposition method of forming an oxide comprising layer on a substrate
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
JP4279176B2 (ja) 2004-03-02 2009-06-17 株式会社アルバック シリコン窒化膜の形成方法
US7087497B2 (en) 2004-03-04 2006-08-08 Applied Materials Low-thermal-budget gapfill process
JP4451684B2 (ja) 2004-03-17 2010-04-14 キヤノンアネルバ株式会社 真空処理装置
US7524735B1 (en) 2004-03-25 2009-04-28 Novellus Systems, Inc Flowable film dielectric gap fill process
US20050221020A1 (en) * 2004-03-30 2005-10-06 Tokyo Electron Limited Method of improving the wafer to wafer uniformity and defectivity of a deposited dielectric film
US7115508B2 (en) 2004-04-02 2006-10-03 Applied-Materials, Inc. Oxide-like seasoning for dielectric low k films
JP2005302848A (ja) 2004-04-07 2005-10-27 Toshiba Corp 半導体製造装置および半導体製造方法
US7125758B2 (en) 2004-04-20 2006-10-24 Applied Materials, Inc. Controlling the properties and uniformity of a silicon nitride film by controlling the film forming precursors
US7109114B2 (en) 2004-05-07 2006-09-19 Applied Materials, Inc. HDP-CVD seasoning process for high power HDP-CVD gapfil to improve particle performance
KR100580584B1 (ko) 2004-05-21 2006-05-16 삼성전자주식회사 리모트 플라즈마 발생 튜브의 표면 세정 방법과 이를이용하는 기판 처리 방법 및 기판 처리 장치
US8119210B2 (en) 2004-05-21 2012-02-21 Applied Materials, Inc. Formation of a silicon oxynitride layer on a high-k dielectric material
WO2005121397A2 (en) * 2004-06-04 2005-12-22 Applied Microstructures, Inc. Controlled vapor deposition of multilayered coatings adhered by an oxide layer
US7297608B1 (en) 2004-06-22 2007-11-20 Novellus Systems, Inc. Method for controlling properties of conformal silica nanolaminates formed by rapid vapor deposition
US7521378B2 (en) 2004-07-01 2009-04-21 Micron Technology, Inc. Low temperature process for polysilazane oxidation/densification
US7129187B2 (en) * 2004-07-14 2006-10-31 Tokyo Electron Limited Low-temperature plasma-enhanced chemical vapor deposition of silicon-nitrogen-containing films
US7642171B2 (en) * 2004-08-04 2010-01-05 Applied Materials, Inc. Multi-step anneal of thin films for film densification and improved gap-fill
US7294574B2 (en) * 2004-08-09 2007-11-13 Applied Materials, Inc. Sputter deposition and etching of metallization seed layer for overhang and sidewall improvement
JP4470023B2 (ja) 2004-08-20 2010-06-02 レール・リキード−ソシエテ・アノニム・プール・レテュード・エ・レクスプロワタシオン・デ・プロセデ・ジョルジュ・クロード シリコン窒化物膜の製造方法
US7629270B2 (en) 2004-08-27 2009-12-08 Asm America, Inc. Remote plasma activated nitridation
US20060046506A1 (en) * 2004-09-01 2006-03-02 Tokyo Electron Limited Soft de-chucking sequence
KR100550351B1 (ko) * 2004-09-07 2006-02-08 삼성전자주식회사 반도체 장치의 막 형성방법 및 이를 수행하기 위한 반도체장치의 막 형성 장치
WO2006039503A2 (en) 2004-09-30 2006-04-13 Aviza Technology, Inc. Method and apparatus for low temperature dielectric for deposition using monomolecular precursors
US7148155B1 (en) 2004-10-26 2006-12-12 Novellus Systems, Inc. Sequential deposition/anneal film densification method
US7226869B2 (en) 2004-10-29 2007-06-05 Lam Research Corporation Methods for protecting silicon or silicon carbide electrode surfaces from morphological modification during plasma etch processing
KR100782369B1 (ko) 2004-11-11 2007-12-07 삼성전자주식회사 반도체 제조장치
US8193096B2 (en) * 2004-12-13 2012-06-05 Novellus Systems, Inc. High dose implantation strip (HDIS) in H2 base chemistry
US20060162661A1 (en) 2005-01-22 2006-07-27 Applied Materials, Inc. Mixing energized and non-energized gases for silicon nitride deposition
JP2006261434A (ja) 2005-03-17 2006-09-28 L'air Liquide Sa Pour L'etude & L'exploitation Des Procede S Georges Claude シリコン酸化膜の形成方法
US20060228903A1 (en) 2005-03-30 2006-10-12 Mcswiney Michael L Precursors for the deposition of carbon-doped silicon nitride or silicon oxynitride films
US7972441B2 (en) 2005-04-05 2011-07-05 Applied Materials, Inc. Thermal oxidation of silicon using ozone
JP5091428B2 (ja) * 2005-06-14 2012-12-05 株式会社東芝 半導体装置の製造方法
US7651955B2 (en) 2005-06-21 2010-01-26 Applied Materials, Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
US20060286774A1 (en) 2005-06-21 2006-12-21 Applied Materials. Inc. Method for forming silicon-containing materials during a photoexcitation deposition process
JP4860953B2 (ja) * 2005-07-08 2012-01-25 富士通株式会社 シリカ系被膜形成用材料、シリカ系被膜及びその製造方法、多層配線及びその製造方法、並びに、半導体装置及びその製造方法
TW200715376A (en) 2005-07-08 2007-04-16 Aviza Tech Inc Method for depositing silicon-containing films
US20070031609A1 (en) * 2005-07-29 2007-02-08 Ajay Kumar Chemical vapor deposition chamber with dual frequency bias and method for manufacturing a photomask using the same
US7323401B2 (en) * 2005-08-08 2008-01-29 Applied Materials, Inc. Semiconductor substrate process using a low temperature deposited carbon-containing hard mask
US7427570B2 (en) * 2005-09-01 2008-09-23 Micron Technology, Inc. Porous organosilicate layers, and vapor deposition systems and methods for preparing same
US7498270B2 (en) 2005-09-30 2009-03-03 Tokyo Electron Limited Method of forming a silicon oxynitride film with tensile stress
US7901743B2 (en) 2005-09-30 2011-03-08 Tokyo Electron Limited Plasma-assisted vapor phase treatment of low dielectric constant films using a batch processing system
JP5154009B2 (ja) 2005-10-21 2013-02-27 株式会社ジャパンディスプレイイースト 有機シロキサン系絶縁膜の製造方法、及び、この製造方法で製造した有機シロキサン系絶縁膜を層間絶縁として用いた液晶表示装置の製造方法
US7884032B2 (en) 2005-10-28 2011-02-08 Applied Materials, Inc. Thin film deposition
US20070099806A1 (en) 2005-10-28 2007-05-03 Stewart Michael P Composition and method for selectively removing native oxide from silicon-containing surfaces
US20070128862A1 (en) 2005-11-04 2007-06-07 Paul Ma Apparatus and process for plasma-enhanced atomic layer deposition
US7521377B2 (en) 2006-01-11 2009-04-21 International Business Machines Corporation SiCOH film preparation using precursors with built-in porogen functionality
JP5070702B2 (ja) 2006-01-19 2012-11-14 富士通セミコンダクター株式会社 半導体装置の製造方法及び製造装置
US7972954B2 (en) 2006-01-24 2011-07-05 Infineon Technologies Ag Porous silicon dielectric
US7435661B2 (en) 2006-01-27 2008-10-14 Atmel Corporation Polish stop and sealing layer for manufacture of semiconductor devices with deep trench isolation
JP4984558B2 (ja) 2006-02-08 2012-07-25 富士通セミコンダクター株式会社 半導体装置の製造方法
JP4618178B2 (ja) 2006-03-27 2011-01-26 オムロン株式会社 端子およびその製造方法
US7780865B2 (en) 2006-03-31 2010-08-24 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US7601651B2 (en) 2006-03-31 2009-10-13 Applied Materials, Inc. Method to improve the step coverage and pattern loading for dielectric films
US8377511B2 (en) 2006-04-03 2013-02-19 L'air Liquide Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method for depositing silicon nitride films and/or silicon oxynitride films by chemical vapor deposition
US7524750B2 (en) 2006-04-17 2009-04-28 Applied Materials, Inc. Integrated process modulation (IPM) a novel solution for gapfill with HDP-CVD
US7498273B2 (en) * 2006-05-30 2009-03-03 Applied Materials, Inc. Formation of high quality dielectric films of silicon dioxide for STI: usage of different siloxane-based precursors for harp II—remote plasma enhanced deposition processes
US20070281106A1 (en) 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US20070289534A1 (en) 2006-05-30 2007-12-20 Applied Materials, Inc. Process chamber for dielectric gapfill
US7902080B2 (en) * 2006-05-30 2011-03-08 Applied Materials, Inc. Deposition-plasma cure cycle process to enhance film quality of silicon dioxide
US7790634B2 (en) * 2006-05-30 2010-09-07 Applied Materials, Inc Method for depositing and curing low-k films for gapfill and conformal film applications
US7825038B2 (en) 2006-05-30 2010-11-02 Applied Materials, Inc. Chemical vapor deposition of high quality flow-like silicon dioxide using a silicon containing precursor and atomic oxygen
US20070277734A1 (en) * 2006-05-30 2007-12-06 Applied Materials, Inc. Process chamber for dielectric gapfill
US8232176B2 (en) 2006-06-22 2012-07-31 Applied Materials, Inc. Dielectric deposition and etch back processes for bottom up gapfill
US20080014759A1 (en) * 2006-07-12 2008-01-17 Applied Materials, Inc. Method for fabricating a gate dielectric layer utilized in a gate structure
US20080038486A1 (en) * 2006-08-03 2008-02-14 Helmuth Treichel Radical Assisted Batch Film Deposition
US8956457B2 (en) * 2006-09-08 2015-02-17 Tokyo Electron Limited Thermal processing system for curing dielectric films
US7629273B2 (en) 2006-09-19 2009-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method for modulating stresses of a contact etch stop layer
TWI462179B (zh) * 2006-09-28 2014-11-21 Tokyo Electron Ltd 用以形成氧化矽膜之成膜方法與裝置
US7737050B2 (en) 2006-10-30 2010-06-15 International Business Machines Corporation Method of fabricating a nitrided silicon oxide gate dielectric layer
US20080102223A1 (en) 2006-11-01 2008-05-01 Sigurd Wagner Hybrid layers for use in coatings on electronic devices or other articles
US7749574B2 (en) 2006-11-14 2010-07-06 Applied Materials, Inc. Low temperature ALD SiO2
US7939422B2 (en) 2006-12-07 2011-05-10 Applied Materials, Inc. Methods of thin film process
JP5177617B2 (ja) 2006-12-25 2013-04-03 独立行政法人産業技術総合研究所 酸化シリコン薄膜形成装置
US8017522B2 (en) 2007-01-24 2011-09-13 International Business Machines Corporation Mechanically robust metal/low-κ interconnects
US7572647B2 (en) 2007-02-02 2009-08-11 Applied Materials, Inc. Internal balanced coil for inductively coupled high density plasma processing chamber
KR100800495B1 (ko) 2007-02-27 2008-02-04 삼성전자주식회사 반도체 장치의 제조방법
JP2008218684A (ja) 2007-03-05 2008-09-18 Sony Corp 半導体装置の製造方法
US7964441B2 (en) 2007-03-30 2011-06-21 Tokyo Electron Limited Catalyst-assisted atomic layer deposition of silicon-containing films with integrated in-situ reactive treatment
KR100866143B1 (ko) 2007-08-03 2008-10-31 주식회사 하이닉스반도체 반도체 소자의 소자분리막 형성방법
US7745352B2 (en) 2007-08-27 2010-06-29 Applied Materials, Inc. Curing methods for silicon dioxide thin films deposited from alkoxysilane precursor with harp II process
US20090095714A1 (en) 2007-10-12 2009-04-16 Tokyo Electron Limited Method and system for low pressure plasma processing
US7541297B2 (en) 2007-10-22 2009-06-02 Applied Materials, Inc. Method and system for improving dielectric film quality for void free gap fill
US7943531B2 (en) 2007-10-22 2011-05-17 Applied Materials, Inc. Methods for forming a silicon oxide layer over a substrate
US7803722B2 (en) 2007-10-22 2010-09-28 Applied Materials, Inc Methods for forming a dielectric layer within trenches
US7651959B2 (en) 2007-12-03 2010-01-26 Asm Japan K.K. Method for forming silazane-based dielectric film
KR20090068179A (ko) 2007-12-21 2009-06-25 에이에스엠 인터내셔널 엔.브이. 실리콘 이산화물을 포함하는 박막의 제조 방법
US7659184B2 (en) 2008-02-25 2010-02-09 Applied Materials, Inc. Plasma immersion ion implantation process with chamber seasoning and seasoning layer plasma discharging for wafer dechucking
US7737052B2 (en) 2008-03-05 2010-06-15 International Business Machines Corporation Advanced multilayer dielectric cap with improved mechanical and electrical properties
US7659158B2 (en) 2008-03-31 2010-02-09 Applied Materials, Inc. Atomic layer deposition processes for non-volatile memory devices
JP2009267366A (ja) 2008-04-02 2009-11-12 Nec Electronics Corp 半導体記憶装置及びその製造方法
US8357435B2 (en) 2008-05-09 2013-01-22 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090277587A1 (en) 2008-05-09 2009-11-12 Applied Materials, Inc. Flowable dielectric equipment and processes
US20090289284A1 (en) 2008-05-23 2009-11-26 Chartered Semiconductor Manufacturing, Ltd. High shrinkage stress silicon nitride (SiN) layer for NFET improvement
US7622369B1 (en) 2008-05-30 2009-11-24 Asm Japan K.K. Device isolation technology on semiconductor substrate
US20090325391A1 (en) 2008-06-30 2009-12-31 Asm International Nv Ozone and teos process for silicon oxide deposition
US8129555B2 (en) * 2008-08-12 2012-03-06 Air Products And Chemicals, Inc. Precursors for depositing silicon-containing films and methods for making and using same
JP2010087167A (ja) * 2008-09-30 2010-04-15 Hitachi Kokusai Electric Inc 半導体装置の製造方法
US20100081293A1 (en) 2008-10-01 2010-04-01 Applied Materials, Inc. Methods for forming silicon nitride based film or silicon carbon based film
US8765233B2 (en) 2008-12-09 2014-07-01 Asm Japan K.K. Method for forming low-carbon CVD film for filling trenches
US7972980B2 (en) 2009-01-21 2011-07-05 Asm Japan K.K. Method of forming conformal dielectric film having Si-N bonds by PECVD
US8980382B2 (en) 2009-12-02 2015-03-17 Applied Materials, Inc. Oxygen-doping for non-carbon radical-component CVD films
US8741788B2 (en) * 2009-08-06 2014-06-03 Applied Materials, Inc. Formation of silicon oxide using non-carbon flowable CVD processes
US7935643B2 (en) * 2009-08-06 2011-05-03 Applied Materials, Inc. Stress management for tensile films
US7989365B2 (en) * 2009-08-18 2011-08-02 Applied Materials, Inc. Remote plasma source seasoning
US20110136347A1 (en) 2009-10-21 2011-06-09 Applied Materials, Inc. Point-of-use silylamine generation
SG181670A1 (en) 2009-12-30 2012-07-30 Applied Materials Inc Dielectric film growth with radicals produced using flexible nitrogen/hydrogen ratio
US20110159213A1 (en) 2009-12-30 2011-06-30 Applied Materials, Inc. Chemical vapor deposition improvements through radical-component modification
US8329262B2 (en) 2010-01-05 2012-12-11 Applied Materials, Inc. Dielectric film formation using inert gas excitation
KR101528832B1 (ko) 2010-01-06 2015-06-15 어플라이드 머티어리얼스, 인코포레이티드 유동성 유전체 층의 형성 방법
JP2013516788A (ja) * 2010-01-07 2013-05-13 アプライド マテリアルズ インコーポレイテッド ラジカル成分cvd用のインサイチュオゾン硬化
WO2011109148A2 (en) 2010-03-05 2011-09-09 Applied Materials, Inc. Conformal layers by radical-component cvd
US8236708B2 (en) 2010-03-09 2012-08-07 Applied Materials, Inc. Reduced pattern loading using bis(diethylamino)silane (C8H22N2Si) as silicon precursor
US7994019B1 (en) 2010-04-01 2011-08-09 Applied Materials, Inc. Silicon-ozone CVD with reduced pattern loading using incubation period deposition
US9611544B2 (en) * 2010-04-15 2017-04-04 Novellus Systems, Inc. Plasma activated conformal dielectric film deposition
US8524004B2 (en) 2010-06-16 2013-09-03 Applied Materials, Inc. Loadlock batch ozone cure
US8318584B2 (en) 2010-07-30 2012-11-27 Applied Materials, Inc. Oxide-rich liner layer for flowable CVD gapfill
US20120213940A1 (en) 2010-10-04 2012-08-23 Applied Materials, Inc. Atomic layer deposition of silicon nitride using dual-source precursor and interleaved plasma
US20120083133A1 (en) 2010-10-05 2012-04-05 Applied Materials, Inc. Amine curing silicon-nitride-hydride films
US9285168B2 (en) 2010-10-05 2016-03-15 Applied Materials, Inc. Module for ozone cure and post-cure moisture treatment
JP5566845B2 (ja) * 2010-10-14 2014-08-06 株式会社東芝 半導体装置の製造方法
US8664127B2 (en) 2010-10-15 2014-03-04 Applied Materials, Inc. Two silicon-containing precursors for gapfill enhancing dielectric liner
US8470187B2 (en) 2010-11-05 2013-06-25 Asm Japan K.K. Method of depositing film with tailored comformality
US20120177846A1 (en) 2011-01-07 2012-07-12 Applied Materials, Inc. Radical steam cvd
US8450191B2 (en) 2011-01-24 2013-05-28 Applied Materials, Inc. Polysilicon films by HDP-CVD
US8716154B2 (en) 2011-03-04 2014-05-06 Applied Materials, Inc. Reduced pattern loading using silicon oxide multi-layers
US20120238108A1 (en) 2011-03-14 2012-09-20 Applied Materials, Inc. Two-stage ozone cure for dielectric films
US8445078B2 (en) 2011-04-20 2013-05-21 Applied Materials, Inc. Low temperature silicon oxide conversion
US8466073B2 (en) 2011-06-03 2013-06-18 Applied Materials, Inc. Capping layer for reduced outgassing

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040082131A1 (en) * 2000-06-30 2004-04-29 Hitachi, Ltd. Semiconductor device and production method thereof
US20020182893A1 (en) * 2001-06-05 2002-12-05 International Business Machines Corporation Oxidation of silicon nitride films in semiconductor devices
US20070066022A1 (en) * 2005-09-22 2007-03-22 Neng-Kuo Chen Method of fabricating silicon nitride layer and method of fabricating semiconductor device
US20080305648A1 (en) * 2007-06-06 2008-12-11 Asm Japan K.K. Method for forming inorganic silazane-based dielectric film
US20090075490A1 (en) * 2007-09-18 2009-03-19 L'air Liquite Societe Anonyme Pour L'etude Et L'exploitation Des Procedes Georges Claude Method of forming silicon-containing films
CN101418438A (zh) * 2007-10-22 2009-04-29 应用材料股份有限公司 通过来自乙硅烷前体的远程等离子体cvd的高质量氧化硅膜

Cited By (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103794543A (zh) * 2012-10-31 2014-05-14 中芯国际集成电路制造(上海)有限公司 隔离结构及其形成方法
CN103794543B (zh) * 2012-10-31 2018-07-10 中芯国际集成电路制造(上海)有限公司 隔离结构及其形成方法
CN105489484A (zh) * 2014-10-13 2016-04-13 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN105489484B (zh) * 2014-10-13 2018-07-20 中芯国际集成电路制造(上海)有限公司 一种半导体器件及其制造方法、电子装置
CN112382604A (zh) * 2020-11-02 2021-02-19 上海华力集成电路制造有限公司 可流动性电介质层的稳定方法

Also Published As

Publication number Publication date
KR20120094490A (ko) 2012-08-24
US20110111137A1 (en) 2011-05-12
WO2011059675A2 (en) 2011-05-19
WO2011059675A3 (en) 2011-07-28
JP2013511150A (ja) 2013-03-28
TW201126010A (en) 2011-08-01
US8449942B2 (en) 2013-05-28

Similar Documents

Publication Publication Date Title
CN102741989A (zh) 固化无碳可流动cvd膜
KR101528832B1 (ko) 유동성 유전체 층의 형성 방법
CN102714156A (zh) 自由基成分cvd的原位臭氧固化
KR102011079B1 (ko) 감소된 아웃개싱을 위한 표면 처리 및 증착
CN101310039B (zh) 使用含硅前驱物和原子氧进行高质量流体状硅氧化物的化学气相沉积
CN102498551A (zh) 使用非碳可流动cvd处理形成氧化硅
CN101454877B (zh) 提高二氧化硅膜品质的新颖沉积-等离子硬化循环方法
CN103348456A (zh) 自由基蒸汽化学气相沉积
CN102598228A (zh) 拉伸膜的应力管理
CN102668045A (zh) 不含碳自由基成分cvd膜的氧掺杂
CN102668061A (zh) 后平坦化致密化
CN103477422A (zh) 低温氧化硅转换
US8765573B2 (en) Air gap formation
CN103238206A (zh) 原位低介电常数加盖以改良整合损坏抗性
CN103415914A (zh) 平面化后的致密化
KR20120111738A (ko) 융통성을 가진 질소/수소 비율을 이용하여 제조된 라디칼에 의한 유전체 필름의 성장
US20110159213A1 (en) Chemical vapor deposition improvements through radical-component modification
CN102844848A (zh) 通过自由基成分化学气相沉积的共形层
CN103154102A (zh) 胺硬化的硅-氮-氢薄膜
CN103038868A (zh) 用于流动式cvd间隙填充的富含氧化物的衬垫层

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
C05 Deemed withdrawal (patent law before 1993)
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20121017